content
stringlengths 1
1.04M
⌀ |
---|
-- *********************************************************************
-- Copyright 2008, Cypress Semiconductor Corporation.
--
-- This software is owned by Cypress Semiconductor Corporation (Cypress)
-- and is protected by United States copyright laws and international
-- treaty provisions. Therefore, you must treat this software like any
-- other copyrighted material (e.g., book, or musical recording), with
-- the exception that one copy may be made for personal use or
-- evaluation. Reproduction, modification, translation, compilation, or
-- representation of this software in any other form (e.g., paper,
-- magnetic, optical, silicon, etc.) is prohibited without the express
-- written permission of Cypress.
--
-- Disclaimer: Cypress makes no warranty of any kind, express or
-- implied, with regard to this material, including, but not limited to,
-- the implied warranties of merchantability and fitness for a particular
-- purpose. Cypress reserves the right to make changes without further
-- notice to the materials described herein. Cypress does not assume any
-- liability arising out of the application or use of any product or
-- circuit described herein. Cypress' products described herein are not
-- authorized for use as components in life-support devices.
--
-- This software is protected by and subject to worldwide patent
-- coverage, including U.S. and foreign patents. Use may be limited by
-- and subject to the Cypress Software License Agreement.
--
-- *********************************************************************
-- Author : $Author: fwi $ @ cypress.com
-- Department : MPD_BE
-- Date : $Date: 2011-02-01 09:18:32 +0100 (di, 01 feb 2011) $
-- Revision : $Revision: 747 $
-- *********************************************************************
-- Description
--
-- *********************************************************************
library ieee;
use ieee.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
entity iserdes_idelayctrl is
generic (
NROF_DELAYCTRLS : integer;
IDELAYCLK_MULT : integer;
IDELAYCLK_DIV : integer;
GENIDELAYCLK : boolean
);
port (
CLOCK : in std_logic;
RESET : in std_logic;
CLK200 : in std_logic;
idelay_ctrl_rdy : out std_logic
);
end entity iserdes_idelayctrl;
architecture syn of iserdes_idelayctrl is
constant ONES : std_logic_vector(NROF_DELAYCTRLS-1 downto 0) := (others => '1');
constant zeros : std_logic_vector(15 downto 0) := (others => '0');
constant zero : std_logic := '0';
signal idelay_ctrl_rdy_i : std_logic_vector(NROF_DELAYCTRLS-1 downto 0);
signal REF_CLK0 : std_logic;
signal REF_CLK180 : std_logic;
signal REF_CLK270 : std_logic;
signal REF_CLK2X : std_logic;
signal REF_CLK2X180 : std_logic;
signal REF_CLK90 : std_logic;
signal REF_CLKDV : std_logic;
signal REF_CLKFX : std_logic;
signal REF_CLKFX180 : std_logic;
signal REF_LOCKED : std_logic;
signal REF_CLKFB : std_logic;
signal REF_CLKIN : std_logic;
signal RESET_DELAYCTRL : std_logic;
signal REF_CLK : std_logic;
begin
gen_own_clk: if (GENIDELAYCLK = TRUE) generate
--needs bufg on feedback & output
ref_feedback_BUFG_inst : BUFG
port map (
O => REF_CLKFB, -- Clock buffer output
I => REF_CLK0 -- Clock buffer input
);
ref_out_BUFG_inst : BUFG
port map (
O => REF_CLK, -- Clock buffer output
I => REF_CLKFX -- Clock buffer input
);
REF_CLKIN <= CLOCK;
DCM_ADV_inst : DCM_ADV
generic map (
CLKDV_DIVIDE => 2.0, -- Divide by: 1.5,2.0,2.5,3.0,3.5,4.0,4.5,5.0,5.5,6.0,6.5,7.0,7.5,8.0,9.0,10.0,11.0,12.0,13.0,14.0,15.0 or 16.0
CLKFX_DIVIDE => IDELAYCLK_DIV, -- Can be any integer from 1 to 32
CLKFX_MULTIPLY => IDELAYCLK_MULT, -- Can be any integer from 2 to 32
CLKIN_DIVIDE_BY_2 => FALSE, -- TRUE/FALSE to enable CLKIN divide by two feature
CLKIN_PERIOD => 10.0, -- Specify period of input clock in ns from 1.25 to 1000.00
CLKOUT_PHASE_SHIFT => "NONE", -- Specify phase shift mode of NONE, FIXED,
-- VARIABLE_POSITIVE, VARIABLE_CENTER or DIRECT
CLK_FEEDBACK => "1X", -- Specify clock feedback of NONE or 1X
DCM_AUTOCALIBRATION => TRUE, -- DCM calibration circuitry TRUE/FALSE
DCM_PERFORMANCE_MODE => "MAX_SPEED", -- Can be MAX_SPEED or MAX_RANGE
DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- SOURCE_SYNCHRONOUS, SYSTEM_SYNCHRONOUS or
-- an integer from 0 to 15
DFS_FREQUENCY_MODE => "HIGH", -- HIGH or LOW frequency mode for frequency synthesis
-- HIGH: 25MHz < CLKIN < 350MHz
-- : 140MHz < CLKFX < 350MHz
DLL_FREQUENCY_MODE => "LOW", -- LOW, HIGH, or HIGH_SER frequency mode for DLL
-- HIGH or LOW frequency mode for frequency synthesis
DUTY_CYCLE_CORRECTION => TRUE, -- Duty cycle correction, TRUE or FALSE
FACTORY_JF => X"F0F0", -- FACTORY JF Values Suggested to be set to X"F0F0"
PHASE_SHIFT => 0, -- Amount of fixed phase shift from -255 to 1023
SIM_DEVICE => "VIRTEX5", -- Set target device, "VIRTEX4" or "VIRTEX5"
STARTUP_WAIT => FALSE -- Delay configuration DONE until DCM LOCK, TRUE/FALSE
)
port map (
CLK0 => REF_CLK0, -- 0 degree DCM CLK output
CLK180 => REF_CLK180, -- 180 degree DCM CLK output
CLK270 => REF_CLK270, -- 270 degree DCM CLK output
CLK2X => REF_CLK2X, -- 2X DCM CLK output
CLK2X180 => REF_CLK2X180, -- 2X, 180 degree DCM CLK out
CLK90 => REF_CLK90, -- 90 degree DCM CLK output
CLKDV => REF_CLKDV, -- Divided DCM CLK out (CLKDV_DIVIDE)
CLKFX => REF_CLKFX, -- DCM CLK synthesis out (M/D)
CLKFX180 => REF_CLKFX180, -- 180 degree CLK synthesis out
DO => open, -- 16-bit data output for Dynamic Reconfiguration Port (DRP)
DRDY => open, -- Ready output signal from the DRP
LOCKED => REF_LOCKED, -- DCM LOCK status output
PSDONE => open, -- Dynamic phase adjust done output
CLKFB => REF_CLKFB, -- DCM clock feedback
CLKIN => REF_CLKIN, -- Clock input (from IBUFG, BUFG or DCM)
DADDR => zeros(6 downto 0), -- 7-bit address for the DRP
DCLK => zero, -- Clock for the DRP
DEN => zero, -- Enable input for the DRP
DI => zeros(15 downto 0), -- 16-bit data input for the DRP
DWE => zero, -- Active high allows for writing configuration memory
PSCLK => zero, -- Dynamic phase adjust clock input
PSEN => zero, -- Dynamic phase adjust enable input
PSINCDEC => zero, -- Dynamic phase adjust increment/decrement
RST => RESET -- DCM asynchronous reset input
);
RESET_DELAYCTRL <= not REF_LOCKED;
end generate;
use_ext_clk: if (GENIDELAYCLK = FALSE) generate
RESET_DELAYCTRL <= RESET;
REF_CLK <= CLK200;
end generate;
IDELAYCTRL_INST : for bnk_i in 0 to NROF_DELAYCTRLS-1 generate
u_idelayctrl : IDELAYCTRL
port map (
rdy => idelay_ctrl_rdy_i(bnk_i),
refclk => REF_CLK,
rst => RESET_DELAYCTRL
);
end generate IDELAYCTRL_INST;
idelay_ctrl_rdy <= '1' when (idelay_ctrl_rdy_i = ONES) else
'0';
end architecture syn;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ap_a_fg_a_10.vhd,v 1.1.1.1 2001-08-22 18:20:47 paw Exp $
-- $Revision: 1.1.1.1 $
--
-- ---------------------------------------------------------------------
entity fg_a_10 is
end entity fg_a_10;
library ieee; use ieee.std_logic_1164.all;
architecture test of fg_a_10 is
signal clk25M, resetl : std_ulogic;
signal data, odat : std_ulogic_vector(7 downto 0);
begin
-- code from book
right_way : process ( clk25M, resetl )
begin
if resetl = '0' then
odat <= B"0000_0000";
elsif rising_edge(clk25M) then
if data = B"0000_0000" then
odat <= B"0000_0001";
else
odat <= data;
end if;
end if;
end process right_way;
-- end code from book
data <= odat(6 downto 0) & '0';
clk_gen : process is
begin
clk25M <= '0', '1' after 10 ns;
wait for 20 ns;
end process clk_gen;
resetl <= '1', '0' after 20 ns, '1' after 60 ns;
end architecture test;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ap_a_fg_a_10.vhd,v 1.1.1.1 2001-08-22 18:20:47 paw Exp $
-- $Revision: 1.1.1.1 $
--
-- ---------------------------------------------------------------------
entity fg_a_10 is
end entity fg_a_10;
library ieee; use ieee.std_logic_1164.all;
architecture test of fg_a_10 is
signal clk25M, resetl : std_ulogic;
signal data, odat : std_ulogic_vector(7 downto 0);
begin
-- code from book
right_way : process ( clk25M, resetl )
begin
if resetl = '0' then
odat <= B"0000_0000";
elsif rising_edge(clk25M) then
if data = B"0000_0000" then
odat <= B"0000_0001";
else
odat <= data;
end if;
end if;
end process right_way;
-- end code from book
data <= odat(6 downto 0) & '0';
clk_gen : process is
begin
clk25M <= '0', '1' after 10 ns;
wait for 20 ns;
end process clk_gen;
resetl <= '1', '0' after 20 ns, '1' after 60 ns;
end architecture test;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ap_a_fg_a_10.vhd,v 1.1.1.1 2001-08-22 18:20:47 paw Exp $
-- $Revision: 1.1.1.1 $
--
-- ---------------------------------------------------------------------
entity fg_a_10 is
end entity fg_a_10;
library ieee; use ieee.std_logic_1164.all;
architecture test of fg_a_10 is
signal clk25M, resetl : std_ulogic;
signal data, odat : std_ulogic_vector(7 downto 0);
begin
-- code from book
right_way : process ( clk25M, resetl )
begin
if resetl = '0' then
odat <= B"0000_0000";
elsif rising_edge(clk25M) then
if data = B"0000_0000" then
odat <= B"0000_0001";
else
odat <= data;
end if;
end if;
end process right_way;
-- end code from book
data <= odat(6 downto 0) & '0';
clk_gen : process is
begin
clk25M <= '0', '1' after 10 ns;
wait for 20 ns;
end process clk_gen;
resetl <= '1', '0' after 20 ns, '1' after 60 ns;
end architecture test;
|
----------------------------------------------------------------------------------
-- Company: TU Vienna
-- Engineer: Armin Faltinger
--
-- Create Date: 09:35:01 11/19/2009
-- Module Name: Uart - structure
-- Project Name: Uart
-- Description: Uart binds all modules
--
-- Dependencies: pure structure
-- TxModule
-- |- BaudGenerator: TXBAUD
-- |- TxDataStateMachine: TXSM
-- |- FIFOSyncTop: TXFIFO,
-- |- FIFODualPortRam: DualPortRam
-- |- FIFOBinaryCounter: WriteCounter, ReadCounter
-- |- FIFOSyncCmp: SyncCmp
-- RxModule
-- |- BaudGenerator: RXBAUD
-- |- RxDataStateMachine: RXSM
-- |- ErrorIndicator: RXERRORIND
-- |- ErrorBit: PARITYERR, STOPERR, RXBUFFERR
-- |- FIFOSyncTop: RXFIFO
-- |- FIFODualPortRam: DualPortRam
-- |- FIFOBinaryCounter: WriteCounter, ReadCounter
-- |- FIFOSyncCmp: SyncCmp
-- package: UartPkg
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.UartPkg.all;
-- Uart is the implementation of the UART core
-- MaxDataWith is in the range of 5-9 bits
-- The Fifo Size of Rx and Tx - module is independent
-- The 16550 Standard is determined by a 16 entries sized FIFO
-- (2^Oversampling)-1 = number of samplings for every bit; used in RXSM
-- coding of PartiyOn: parity is on at '1', off at '0'
entity Uart is
generic ( MaxDataWidth : integer range 5 to 9 := 9; -- 9
MaxSpeedDividerWidth : integer range 2 to 32 := 16; -- 16 bits
TxFifoAdressWidth : integer range 2 to 10 := 4; -- 16 entries
RxFifoAdressWidth : integer range 2 to 10 := 4; -- 16 entries
Oversampling : integer range 2 to 2 := 2); -- only 2 allowed due to majority decision logic
Port ( -- Parallel data inputs; CPU sided
TxData_i : in STD_LOGIC_VECTOR((MaxDataWidth-1) downto 0);
TxWr_i : in STD_LOGIC;
TxEmpty_o : out STD_LOGIC;
TxFull_o : out STD_LOGIC;
RxData_o : out STD_LOGIC_VECTOR((MaxDataWidth-1) downto 0);
RxRd_i : in STD_LOGIC;
RxFull_o : out STD_LOGIC;
RxEmpty_o : out STD_LOGIC;
-- Configuration bits
BitsSelect_i : in BitSelectionType;
ParityOn_i : in STD_LOGIC;
ParityEvenOdd_i : in ParityType;
SpeedDivider_i : in STD_LOGIC_VECTOR((MaxSpeedDividerWidth-1) downto 0);
-- Global Signals
Clk_i : in STD_LOGIC;
Reset_i_n : in STD_LOGIC;
ErrorReset_i : in STD_LOGIC;
-- Error Signals
RxParityErrorIndicator_o : out STD_LOGIC;
RxStopBitErrorIndicator_o : out STD_LOGIC;
RxBufferFullErrorIndicator_o : out STD_LOGIC;
-- Seriell in/output ports
TxD_o : out STD_LOGIC;
RxD_i : in STD_LOGIC;
--------------------------------------------------------------------------
-- Scan Chain
ScanEnable_i : in std_logic;
ScanClk_i : in std_logic;
ScanDataIn_i : in std_logic;
ScanDataOut_o : out std_logic
);
end Uart;
|
-- $Id: gsr_pulse.vhd 1181 2019-07-08 17:00:50Z mueller $
-- SPDX-License-Identifier: GPL-3.0-or-later
-- Copyright 2016- by Walter F.J. Mueller <[email protected]>
--
------------------------------------------------------------------------------
-- Module Name: gsr_pulse - sim
-- Description: pulse GSR at startup
--
-- Dependencies: -
-- Test bench: -
-- Target Devices: generic
-- Tool versions: viv 2016.2; ghdl 0.33
-- Revision History:
-- 2016-09-17 808 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library unisim;
use unisim.vcomponents.ALL;
entity gsr_pulse is -- pulse GSR at startup
generic (
GSR_WIDTH : Delay_length:= 100 ns); -- GSR pulse length
end gsr_pulse;
architecture sim of gsr_pulse is
begin
process
begin
-- Uses weak driver to prevent a driver clash when glbl.v is loaded too
-- In case glbl.v is present it will overwrite (to be tested...)
UNISIM.VCOMPONENTS.GSR <= 'H';
wait for GSR_WIDTH;
UNISIM.VCOMPONENTS.GSR <= 'L';
wait;
end process;
end sim;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: bv_arithmetic.vhd,v 1.2 2001-10-25 01:24:24 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
--------------------------------------------------------------------------
--
-- Bit-vector arithmetic package interface.
--
-- Does arithmetic and logical operations on bit vectors, treating them
-- as either unsigned or signed (two's complement) integers. Leftmost bit
-- is most-significant or sign bit, rightmost bit is least-significant
-- bit. Dyadic operations need the two arguments to be of the same
-- length; however, their index ranges and directions may differ. Results
-- must be of the same length as the operands.
--
--------------------------------------------------------------------------
package bv_arithmetic is
function bv_to_natural ( bv : in bit_vector ) return natural;
function natural_to_bv ( nat : in natural;
length : in natural ) return bit_vector;
function bv_to_integer ( bv : in bit_vector ) return integer;
function integer_to_bv ( int : in integer;
length : in natural ) return bit_vector;
procedure bv_add ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function "+" ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_sub ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function "-" ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_addu ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function bv_addu ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_subu ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function bv_subu ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_neg ( bv : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function "-" ( bv : in bit_vector ) return bit_vector;
procedure bv_mult ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function "*" ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_multu ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function bv_multu ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_div ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
div_by_zero : out boolean;
overflow : out boolean );
function "/" ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_divu ( bv1, bv2 : in bit_vector;
bv_quotient : out bit_vector;
bv_remainder : out bit_vector;
div_by_zero : out boolean );
procedure bv_divu ( bv1, bv2 : in bit_vector;
bv_quotient : out bit_vector;
div_by_zero : out boolean );
function bv_divu ( bv1, bv2 : in bit_vector ) return bit_vector;
function bv_lt ( bv1, bv2 : in bit_vector ) return boolean;
function bv_le ( bv1, bv2 : in bit_vector ) return boolean;
function bv_gt ( bv1, bv2 : in bit_vector ) return boolean;
function bv_ge ( bv1, bv2 : in bit_vector ) return boolean;
function bv_sext ( bv : in bit_vector;
length : in natural ) return bit_vector;
function bv_zext ( bv : in bit_vector;
length : in natural ) return bit_vector;
end package bv_arithmetic;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: bv_arithmetic.vhd,v 1.2 2001-10-25 01:24:24 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
--------------------------------------------------------------------------
--
-- Bit-vector arithmetic package interface.
--
-- Does arithmetic and logical operations on bit vectors, treating them
-- as either unsigned or signed (two's complement) integers. Leftmost bit
-- is most-significant or sign bit, rightmost bit is least-significant
-- bit. Dyadic operations need the two arguments to be of the same
-- length; however, their index ranges and directions may differ. Results
-- must be of the same length as the operands.
--
--------------------------------------------------------------------------
package bv_arithmetic is
function bv_to_natural ( bv : in bit_vector ) return natural;
function natural_to_bv ( nat : in natural;
length : in natural ) return bit_vector;
function bv_to_integer ( bv : in bit_vector ) return integer;
function integer_to_bv ( int : in integer;
length : in natural ) return bit_vector;
procedure bv_add ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function "+" ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_sub ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function "-" ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_addu ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function bv_addu ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_subu ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function bv_subu ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_neg ( bv : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function "-" ( bv : in bit_vector ) return bit_vector;
procedure bv_mult ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function "*" ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_multu ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function bv_multu ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_div ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
div_by_zero : out boolean;
overflow : out boolean );
function "/" ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_divu ( bv1, bv2 : in bit_vector;
bv_quotient : out bit_vector;
bv_remainder : out bit_vector;
div_by_zero : out boolean );
procedure bv_divu ( bv1, bv2 : in bit_vector;
bv_quotient : out bit_vector;
div_by_zero : out boolean );
function bv_divu ( bv1, bv2 : in bit_vector ) return bit_vector;
function bv_lt ( bv1, bv2 : in bit_vector ) return boolean;
function bv_le ( bv1, bv2 : in bit_vector ) return boolean;
function bv_gt ( bv1, bv2 : in bit_vector ) return boolean;
function bv_ge ( bv1, bv2 : in bit_vector ) return boolean;
function bv_sext ( bv : in bit_vector;
length : in natural ) return bit_vector;
function bv_zext ( bv : in bit_vector;
length : in natural ) return bit_vector;
end package bv_arithmetic;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: bv_arithmetic.vhd,v 1.2 2001-10-25 01:24:24 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
--------------------------------------------------------------------------
--
-- Bit-vector arithmetic package interface.
--
-- Does arithmetic and logical operations on bit vectors, treating them
-- as either unsigned or signed (two's complement) integers. Leftmost bit
-- is most-significant or sign bit, rightmost bit is least-significant
-- bit. Dyadic operations need the two arguments to be of the same
-- length; however, their index ranges and directions may differ. Results
-- must be of the same length as the operands.
--
--------------------------------------------------------------------------
package bv_arithmetic is
function bv_to_natural ( bv : in bit_vector ) return natural;
function natural_to_bv ( nat : in natural;
length : in natural ) return bit_vector;
function bv_to_integer ( bv : in bit_vector ) return integer;
function integer_to_bv ( int : in integer;
length : in natural ) return bit_vector;
procedure bv_add ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function "+" ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_sub ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function "-" ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_addu ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function bv_addu ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_subu ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function bv_subu ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_neg ( bv : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function "-" ( bv : in bit_vector ) return bit_vector;
procedure bv_mult ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function "*" ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_multu ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
overflow : out boolean );
function bv_multu ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_div ( bv1, bv2 : in bit_vector;
bv_result : out bit_vector;
div_by_zero : out boolean;
overflow : out boolean );
function "/" ( bv1, bv2 : in bit_vector ) return bit_vector;
procedure bv_divu ( bv1, bv2 : in bit_vector;
bv_quotient : out bit_vector;
bv_remainder : out bit_vector;
div_by_zero : out boolean );
procedure bv_divu ( bv1, bv2 : in bit_vector;
bv_quotient : out bit_vector;
div_by_zero : out boolean );
function bv_divu ( bv1, bv2 : in bit_vector ) return bit_vector;
function bv_lt ( bv1, bv2 : in bit_vector ) return boolean;
function bv_le ( bv1, bv2 : in bit_vector ) return boolean;
function bv_gt ( bv1, bv2 : in bit_vector ) return boolean;
function bv_ge ( bv1, bv2 : in bit_vector ) return boolean;
function bv_sext ( bv : in bit_vector;
length : in natural ) return bit_vector;
function bv_zext ( bv : in bit_vector;
length : in natural ) return bit_vector;
end package bv_arithmetic;
|
-- VHDL do modulo verificador de jogada
library ieee;
use ieee.std_logic_1164.all;
entity valida_jogada is
port(
caractere : in std_logic_vector(6 downto 0);
jogadas : in std_logic_vector(8 downto 0);
jogada_ok : out std_logic
);
end valida_jogada;
architecture estrutural of valida_jogada is
component valida_caractere is
port(
caractere : in std_logic_vector(6 downto 0);
caractere_valido : out std_logic
);
end component;
component valida_posicao is
port(
posicao : in std_logic_vector(8 downto 0);
caractere : in std_logic_vector(6 downto 0);
posicao_valida : out std_logic
);
end component;
signal s_caractere_valido, s_posicao_valida: std_logic;
begin
valida_char : valida_caractere port map (caractere, s_caractere_valido);
valida_pos : valida_posicao port map (jogadas, caractere, s_posicao_valida);
jogada_ok <= s_caractere_valido AND s_posicao_valida;
end estrutural;
|
-- wasca_rst_controller_002.vhd
-- Generated using ACDS version 15.0 145
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity wasca_rst_controller_002 is
generic (
NUM_RESET_INPUTS : integer := 1;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 1;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := '0'; -- reset_in0.reset
clk : in std_logic := '0'; -- clk.clk
reset_out : out std_logic; -- reset_out.reset
reset_req : out std_logic; -- .reset_req
reset_in1 : in std_logic := '0';
reset_in10 : in std_logic := '0';
reset_in11 : in std_logic := '0';
reset_in12 : in std_logic := '0';
reset_in13 : in std_logic := '0';
reset_in14 : in std_logic := '0';
reset_in15 : in std_logic := '0';
reset_in2 : in std_logic := '0';
reset_in3 : in std_logic := '0';
reset_in4 : in std_logic := '0';
reset_in5 : in std_logic := '0';
reset_in6 : in std_logic := '0';
reset_in7 : in std_logic := '0';
reset_in8 : in std_logic := '0';
reset_in9 : in std_logic := '0';
reset_req_in0 : in std_logic := '0';
reset_req_in1 : in std_logic := '0';
reset_req_in10 : in std_logic := '0';
reset_req_in11 : in std_logic := '0';
reset_req_in12 : in std_logic := '0';
reset_req_in13 : in std_logic := '0';
reset_req_in14 : in std_logic := '0';
reset_req_in15 : in std_logic := '0';
reset_req_in2 : in std_logic := '0';
reset_req_in3 : in std_logic := '0';
reset_req_in4 : in std_logic := '0';
reset_req_in5 : in std_logic := '0';
reset_req_in6 : in std_logic := '0';
reset_req_in7 : in std_logic := '0';
reset_req_in8 : in std_logic := '0';
reset_req_in9 : in std_logic := '0'
);
end entity wasca_rst_controller_002;
architecture rtl of wasca_rst_controller_002 is
component altera_reset_controller is
generic (
NUM_RESET_INPUTS : integer := 6;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 0;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := 'X'; -- reset
clk : in std_logic := 'X'; -- clk
reset_out : out std_logic; -- reset
reset_req : out std_logic; -- reset_req
reset_req_in0 : in std_logic := 'X'; -- reset_req
reset_in1 : in std_logic := 'X'; -- reset
reset_req_in1 : in std_logic := 'X'; -- reset_req
reset_in2 : in std_logic := 'X'; -- reset
reset_req_in2 : in std_logic := 'X'; -- reset_req
reset_in3 : in std_logic := 'X'; -- reset
reset_req_in3 : in std_logic := 'X'; -- reset_req
reset_in4 : in std_logic := 'X'; -- reset
reset_req_in4 : in std_logic := 'X'; -- reset_req
reset_in5 : in std_logic := 'X'; -- reset
reset_req_in5 : in std_logic := 'X'; -- reset_req
reset_in6 : in std_logic := 'X'; -- reset
reset_req_in6 : in std_logic := 'X'; -- reset_req
reset_in7 : in std_logic := 'X'; -- reset
reset_req_in7 : in std_logic := 'X'; -- reset_req
reset_in8 : in std_logic := 'X'; -- reset
reset_req_in8 : in std_logic := 'X'; -- reset_req
reset_in9 : in std_logic := 'X'; -- reset
reset_req_in9 : in std_logic := 'X'; -- reset_req
reset_in10 : in std_logic := 'X'; -- reset
reset_req_in10 : in std_logic := 'X'; -- reset_req
reset_in11 : in std_logic := 'X'; -- reset
reset_req_in11 : in std_logic := 'X'; -- reset_req
reset_in12 : in std_logic := 'X'; -- reset
reset_req_in12 : in std_logic := 'X'; -- reset_req
reset_in13 : in std_logic := 'X'; -- reset
reset_req_in13 : in std_logic := 'X'; -- reset_req
reset_in14 : in std_logic := 'X'; -- reset
reset_req_in14 : in std_logic := 'X'; -- reset_req
reset_in15 : in std_logic := 'X'; -- reset
reset_req_in15 : in std_logic := 'X' -- reset_req
);
end component altera_reset_controller;
begin
rst_controller_002 : component altera_reset_controller
generic map (
NUM_RESET_INPUTS => NUM_RESET_INPUTS,
OUTPUT_RESET_SYNC_EDGES => OUTPUT_RESET_SYNC_EDGES,
SYNC_DEPTH => SYNC_DEPTH,
RESET_REQUEST_PRESENT => RESET_REQUEST_PRESENT,
RESET_REQ_WAIT_TIME => RESET_REQ_WAIT_TIME,
MIN_RST_ASSERTION_TIME => MIN_RST_ASSERTION_TIME,
RESET_REQ_EARLY_DSRT_TIME => RESET_REQ_EARLY_DSRT_TIME,
USE_RESET_REQUEST_IN0 => USE_RESET_REQUEST_IN0,
USE_RESET_REQUEST_IN1 => USE_RESET_REQUEST_IN1,
USE_RESET_REQUEST_IN2 => USE_RESET_REQUEST_IN2,
USE_RESET_REQUEST_IN3 => USE_RESET_REQUEST_IN3,
USE_RESET_REQUEST_IN4 => USE_RESET_REQUEST_IN4,
USE_RESET_REQUEST_IN5 => USE_RESET_REQUEST_IN5,
USE_RESET_REQUEST_IN6 => USE_RESET_REQUEST_IN6,
USE_RESET_REQUEST_IN7 => USE_RESET_REQUEST_IN7,
USE_RESET_REQUEST_IN8 => USE_RESET_REQUEST_IN8,
USE_RESET_REQUEST_IN9 => USE_RESET_REQUEST_IN9,
USE_RESET_REQUEST_IN10 => USE_RESET_REQUEST_IN10,
USE_RESET_REQUEST_IN11 => USE_RESET_REQUEST_IN11,
USE_RESET_REQUEST_IN12 => USE_RESET_REQUEST_IN12,
USE_RESET_REQUEST_IN13 => USE_RESET_REQUEST_IN13,
USE_RESET_REQUEST_IN14 => USE_RESET_REQUEST_IN14,
USE_RESET_REQUEST_IN15 => USE_RESET_REQUEST_IN15,
ADAPT_RESET_REQUEST => ADAPT_RESET_REQUEST
)
port map (
reset_in0 => reset_in0, -- reset_in0.reset
clk => clk, -- clk.clk
reset_out => reset_out, -- reset_out.reset
reset_req => reset_req, -- .reset_req
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
end architecture rtl; -- of wasca_rst_controller_002
|
-- wasca_rst_controller_002.vhd
-- Generated using ACDS version 15.0 145
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity wasca_rst_controller_002 is
generic (
NUM_RESET_INPUTS : integer := 1;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 1;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := '0'; -- reset_in0.reset
clk : in std_logic := '0'; -- clk.clk
reset_out : out std_logic; -- reset_out.reset
reset_req : out std_logic; -- .reset_req
reset_in1 : in std_logic := '0';
reset_in10 : in std_logic := '0';
reset_in11 : in std_logic := '0';
reset_in12 : in std_logic := '0';
reset_in13 : in std_logic := '0';
reset_in14 : in std_logic := '0';
reset_in15 : in std_logic := '0';
reset_in2 : in std_logic := '0';
reset_in3 : in std_logic := '0';
reset_in4 : in std_logic := '0';
reset_in5 : in std_logic := '0';
reset_in6 : in std_logic := '0';
reset_in7 : in std_logic := '0';
reset_in8 : in std_logic := '0';
reset_in9 : in std_logic := '0';
reset_req_in0 : in std_logic := '0';
reset_req_in1 : in std_logic := '0';
reset_req_in10 : in std_logic := '0';
reset_req_in11 : in std_logic := '0';
reset_req_in12 : in std_logic := '0';
reset_req_in13 : in std_logic := '0';
reset_req_in14 : in std_logic := '0';
reset_req_in15 : in std_logic := '0';
reset_req_in2 : in std_logic := '0';
reset_req_in3 : in std_logic := '0';
reset_req_in4 : in std_logic := '0';
reset_req_in5 : in std_logic := '0';
reset_req_in6 : in std_logic := '0';
reset_req_in7 : in std_logic := '0';
reset_req_in8 : in std_logic := '0';
reset_req_in9 : in std_logic := '0'
);
end entity wasca_rst_controller_002;
architecture rtl of wasca_rst_controller_002 is
component altera_reset_controller is
generic (
NUM_RESET_INPUTS : integer := 6;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 0;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := 'X'; -- reset
clk : in std_logic := 'X'; -- clk
reset_out : out std_logic; -- reset
reset_req : out std_logic; -- reset_req
reset_req_in0 : in std_logic := 'X'; -- reset_req
reset_in1 : in std_logic := 'X'; -- reset
reset_req_in1 : in std_logic := 'X'; -- reset_req
reset_in2 : in std_logic := 'X'; -- reset
reset_req_in2 : in std_logic := 'X'; -- reset_req
reset_in3 : in std_logic := 'X'; -- reset
reset_req_in3 : in std_logic := 'X'; -- reset_req
reset_in4 : in std_logic := 'X'; -- reset
reset_req_in4 : in std_logic := 'X'; -- reset_req
reset_in5 : in std_logic := 'X'; -- reset
reset_req_in5 : in std_logic := 'X'; -- reset_req
reset_in6 : in std_logic := 'X'; -- reset
reset_req_in6 : in std_logic := 'X'; -- reset_req
reset_in7 : in std_logic := 'X'; -- reset
reset_req_in7 : in std_logic := 'X'; -- reset_req
reset_in8 : in std_logic := 'X'; -- reset
reset_req_in8 : in std_logic := 'X'; -- reset_req
reset_in9 : in std_logic := 'X'; -- reset
reset_req_in9 : in std_logic := 'X'; -- reset_req
reset_in10 : in std_logic := 'X'; -- reset
reset_req_in10 : in std_logic := 'X'; -- reset_req
reset_in11 : in std_logic := 'X'; -- reset
reset_req_in11 : in std_logic := 'X'; -- reset_req
reset_in12 : in std_logic := 'X'; -- reset
reset_req_in12 : in std_logic := 'X'; -- reset_req
reset_in13 : in std_logic := 'X'; -- reset
reset_req_in13 : in std_logic := 'X'; -- reset_req
reset_in14 : in std_logic := 'X'; -- reset
reset_req_in14 : in std_logic := 'X'; -- reset_req
reset_in15 : in std_logic := 'X'; -- reset
reset_req_in15 : in std_logic := 'X' -- reset_req
);
end component altera_reset_controller;
begin
rst_controller_002 : component altera_reset_controller
generic map (
NUM_RESET_INPUTS => NUM_RESET_INPUTS,
OUTPUT_RESET_SYNC_EDGES => OUTPUT_RESET_SYNC_EDGES,
SYNC_DEPTH => SYNC_DEPTH,
RESET_REQUEST_PRESENT => RESET_REQUEST_PRESENT,
RESET_REQ_WAIT_TIME => RESET_REQ_WAIT_TIME,
MIN_RST_ASSERTION_TIME => MIN_RST_ASSERTION_TIME,
RESET_REQ_EARLY_DSRT_TIME => RESET_REQ_EARLY_DSRT_TIME,
USE_RESET_REQUEST_IN0 => USE_RESET_REQUEST_IN0,
USE_RESET_REQUEST_IN1 => USE_RESET_REQUEST_IN1,
USE_RESET_REQUEST_IN2 => USE_RESET_REQUEST_IN2,
USE_RESET_REQUEST_IN3 => USE_RESET_REQUEST_IN3,
USE_RESET_REQUEST_IN4 => USE_RESET_REQUEST_IN4,
USE_RESET_REQUEST_IN5 => USE_RESET_REQUEST_IN5,
USE_RESET_REQUEST_IN6 => USE_RESET_REQUEST_IN6,
USE_RESET_REQUEST_IN7 => USE_RESET_REQUEST_IN7,
USE_RESET_REQUEST_IN8 => USE_RESET_REQUEST_IN8,
USE_RESET_REQUEST_IN9 => USE_RESET_REQUEST_IN9,
USE_RESET_REQUEST_IN10 => USE_RESET_REQUEST_IN10,
USE_RESET_REQUEST_IN11 => USE_RESET_REQUEST_IN11,
USE_RESET_REQUEST_IN12 => USE_RESET_REQUEST_IN12,
USE_RESET_REQUEST_IN13 => USE_RESET_REQUEST_IN13,
USE_RESET_REQUEST_IN14 => USE_RESET_REQUEST_IN14,
USE_RESET_REQUEST_IN15 => USE_RESET_REQUEST_IN15,
ADAPT_RESET_REQUEST => ADAPT_RESET_REQUEST
)
port map (
reset_in0 => reset_in0, -- reset_in0.reset
clk => clk, -- clk.clk
reset_out => reset_out, -- reset_out.reset
reset_req => reset_req, -- .reset_req
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
end architecture rtl; -- of wasca_rst_controller_002
|
-- wasca_rst_controller_002.vhd
-- Generated using ACDS version 15.0 145
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity wasca_rst_controller_002 is
generic (
NUM_RESET_INPUTS : integer := 1;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 1;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := '0'; -- reset_in0.reset
clk : in std_logic := '0'; -- clk.clk
reset_out : out std_logic; -- reset_out.reset
reset_req : out std_logic; -- .reset_req
reset_in1 : in std_logic := '0';
reset_in10 : in std_logic := '0';
reset_in11 : in std_logic := '0';
reset_in12 : in std_logic := '0';
reset_in13 : in std_logic := '0';
reset_in14 : in std_logic := '0';
reset_in15 : in std_logic := '0';
reset_in2 : in std_logic := '0';
reset_in3 : in std_logic := '0';
reset_in4 : in std_logic := '0';
reset_in5 : in std_logic := '0';
reset_in6 : in std_logic := '0';
reset_in7 : in std_logic := '0';
reset_in8 : in std_logic := '0';
reset_in9 : in std_logic := '0';
reset_req_in0 : in std_logic := '0';
reset_req_in1 : in std_logic := '0';
reset_req_in10 : in std_logic := '0';
reset_req_in11 : in std_logic := '0';
reset_req_in12 : in std_logic := '0';
reset_req_in13 : in std_logic := '0';
reset_req_in14 : in std_logic := '0';
reset_req_in15 : in std_logic := '0';
reset_req_in2 : in std_logic := '0';
reset_req_in3 : in std_logic := '0';
reset_req_in4 : in std_logic := '0';
reset_req_in5 : in std_logic := '0';
reset_req_in6 : in std_logic := '0';
reset_req_in7 : in std_logic := '0';
reset_req_in8 : in std_logic := '0';
reset_req_in9 : in std_logic := '0'
);
end entity wasca_rst_controller_002;
architecture rtl of wasca_rst_controller_002 is
component altera_reset_controller is
generic (
NUM_RESET_INPUTS : integer := 6;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 0;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := 'X'; -- reset
clk : in std_logic := 'X'; -- clk
reset_out : out std_logic; -- reset
reset_req : out std_logic; -- reset_req
reset_req_in0 : in std_logic := 'X'; -- reset_req
reset_in1 : in std_logic := 'X'; -- reset
reset_req_in1 : in std_logic := 'X'; -- reset_req
reset_in2 : in std_logic := 'X'; -- reset
reset_req_in2 : in std_logic := 'X'; -- reset_req
reset_in3 : in std_logic := 'X'; -- reset
reset_req_in3 : in std_logic := 'X'; -- reset_req
reset_in4 : in std_logic := 'X'; -- reset
reset_req_in4 : in std_logic := 'X'; -- reset_req
reset_in5 : in std_logic := 'X'; -- reset
reset_req_in5 : in std_logic := 'X'; -- reset_req
reset_in6 : in std_logic := 'X'; -- reset
reset_req_in6 : in std_logic := 'X'; -- reset_req
reset_in7 : in std_logic := 'X'; -- reset
reset_req_in7 : in std_logic := 'X'; -- reset_req
reset_in8 : in std_logic := 'X'; -- reset
reset_req_in8 : in std_logic := 'X'; -- reset_req
reset_in9 : in std_logic := 'X'; -- reset
reset_req_in9 : in std_logic := 'X'; -- reset_req
reset_in10 : in std_logic := 'X'; -- reset
reset_req_in10 : in std_logic := 'X'; -- reset_req
reset_in11 : in std_logic := 'X'; -- reset
reset_req_in11 : in std_logic := 'X'; -- reset_req
reset_in12 : in std_logic := 'X'; -- reset
reset_req_in12 : in std_logic := 'X'; -- reset_req
reset_in13 : in std_logic := 'X'; -- reset
reset_req_in13 : in std_logic := 'X'; -- reset_req
reset_in14 : in std_logic := 'X'; -- reset
reset_req_in14 : in std_logic := 'X'; -- reset_req
reset_in15 : in std_logic := 'X'; -- reset
reset_req_in15 : in std_logic := 'X' -- reset_req
);
end component altera_reset_controller;
begin
rst_controller_002 : component altera_reset_controller
generic map (
NUM_RESET_INPUTS => NUM_RESET_INPUTS,
OUTPUT_RESET_SYNC_EDGES => OUTPUT_RESET_SYNC_EDGES,
SYNC_DEPTH => SYNC_DEPTH,
RESET_REQUEST_PRESENT => RESET_REQUEST_PRESENT,
RESET_REQ_WAIT_TIME => RESET_REQ_WAIT_TIME,
MIN_RST_ASSERTION_TIME => MIN_RST_ASSERTION_TIME,
RESET_REQ_EARLY_DSRT_TIME => RESET_REQ_EARLY_DSRT_TIME,
USE_RESET_REQUEST_IN0 => USE_RESET_REQUEST_IN0,
USE_RESET_REQUEST_IN1 => USE_RESET_REQUEST_IN1,
USE_RESET_REQUEST_IN2 => USE_RESET_REQUEST_IN2,
USE_RESET_REQUEST_IN3 => USE_RESET_REQUEST_IN3,
USE_RESET_REQUEST_IN4 => USE_RESET_REQUEST_IN4,
USE_RESET_REQUEST_IN5 => USE_RESET_REQUEST_IN5,
USE_RESET_REQUEST_IN6 => USE_RESET_REQUEST_IN6,
USE_RESET_REQUEST_IN7 => USE_RESET_REQUEST_IN7,
USE_RESET_REQUEST_IN8 => USE_RESET_REQUEST_IN8,
USE_RESET_REQUEST_IN9 => USE_RESET_REQUEST_IN9,
USE_RESET_REQUEST_IN10 => USE_RESET_REQUEST_IN10,
USE_RESET_REQUEST_IN11 => USE_RESET_REQUEST_IN11,
USE_RESET_REQUEST_IN12 => USE_RESET_REQUEST_IN12,
USE_RESET_REQUEST_IN13 => USE_RESET_REQUEST_IN13,
USE_RESET_REQUEST_IN14 => USE_RESET_REQUEST_IN14,
USE_RESET_REQUEST_IN15 => USE_RESET_REQUEST_IN15,
ADAPT_RESET_REQUEST => ADAPT_RESET_REQUEST
)
port map (
reset_in0 => reset_in0, -- reset_in0.reset
clk => clk, -- clk.clk
reset_out => reset_out, -- reset_out.reset
reset_req => reset_req, -- .reset_req
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
end architecture rtl; -- of wasca_rst_controller_002
|
-- wasca_rst_controller_002.vhd
-- Generated using ACDS version 15.0 145
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity wasca_rst_controller_002 is
generic (
NUM_RESET_INPUTS : integer := 1;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 1;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := '0'; -- reset_in0.reset
clk : in std_logic := '0'; -- clk.clk
reset_out : out std_logic; -- reset_out.reset
reset_req : out std_logic; -- .reset_req
reset_in1 : in std_logic := '0';
reset_in10 : in std_logic := '0';
reset_in11 : in std_logic := '0';
reset_in12 : in std_logic := '0';
reset_in13 : in std_logic := '0';
reset_in14 : in std_logic := '0';
reset_in15 : in std_logic := '0';
reset_in2 : in std_logic := '0';
reset_in3 : in std_logic := '0';
reset_in4 : in std_logic := '0';
reset_in5 : in std_logic := '0';
reset_in6 : in std_logic := '0';
reset_in7 : in std_logic := '0';
reset_in8 : in std_logic := '0';
reset_in9 : in std_logic := '0';
reset_req_in0 : in std_logic := '0';
reset_req_in1 : in std_logic := '0';
reset_req_in10 : in std_logic := '0';
reset_req_in11 : in std_logic := '0';
reset_req_in12 : in std_logic := '0';
reset_req_in13 : in std_logic := '0';
reset_req_in14 : in std_logic := '0';
reset_req_in15 : in std_logic := '0';
reset_req_in2 : in std_logic := '0';
reset_req_in3 : in std_logic := '0';
reset_req_in4 : in std_logic := '0';
reset_req_in5 : in std_logic := '0';
reset_req_in6 : in std_logic := '0';
reset_req_in7 : in std_logic := '0';
reset_req_in8 : in std_logic := '0';
reset_req_in9 : in std_logic := '0'
);
end entity wasca_rst_controller_002;
architecture rtl of wasca_rst_controller_002 is
component altera_reset_controller is
generic (
NUM_RESET_INPUTS : integer := 6;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 0;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := 'X'; -- reset
clk : in std_logic := 'X'; -- clk
reset_out : out std_logic; -- reset
reset_req : out std_logic; -- reset_req
reset_req_in0 : in std_logic := 'X'; -- reset_req
reset_in1 : in std_logic := 'X'; -- reset
reset_req_in1 : in std_logic := 'X'; -- reset_req
reset_in2 : in std_logic := 'X'; -- reset
reset_req_in2 : in std_logic := 'X'; -- reset_req
reset_in3 : in std_logic := 'X'; -- reset
reset_req_in3 : in std_logic := 'X'; -- reset_req
reset_in4 : in std_logic := 'X'; -- reset
reset_req_in4 : in std_logic := 'X'; -- reset_req
reset_in5 : in std_logic := 'X'; -- reset
reset_req_in5 : in std_logic := 'X'; -- reset_req
reset_in6 : in std_logic := 'X'; -- reset
reset_req_in6 : in std_logic := 'X'; -- reset_req
reset_in7 : in std_logic := 'X'; -- reset
reset_req_in7 : in std_logic := 'X'; -- reset_req
reset_in8 : in std_logic := 'X'; -- reset
reset_req_in8 : in std_logic := 'X'; -- reset_req
reset_in9 : in std_logic := 'X'; -- reset
reset_req_in9 : in std_logic := 'X'; -- reset_req
reset_in10 : in std_logic := 'X'; -- reset
reset_req_in10 : in std_logic := 'X'; -- reset_req
reset_in11 : in std_logic := 'X'; -- reset
reset_req_in11 : in std_logic := 'X'; -- reset_req
reset_in12 : in std_logic := 'X'; -- reset
reset_req_in12 : in std_logic := 'X'; -- reset_req
reset_in13 : in std_logic := 'X'; -- reset
reset_req_in13 : in std_logic := 'X'; -- reset_req
reset_in14 : in std_logic := 'X'; -- reset
reset_req_in14 : in std_logic := 'X'; -- reset_req
reset_in15 : in std_logic := 'X'; -- reset
reset_req_in15 : in std_logic := 'X' -- reset_req
);
end component altera_reset_controller;
begin
rst_controller_002 : component altera_reset_controller
generic map (
NUM_RESET_INPUTS => NUM_RESET_INPUTS,
OUTPUT_RESET_SYNC_EDGES => OUTPUT_RESET_SYNC_EDGES,
SYNC_DEPTH => SYNC_DEPTH,
RESET_REQUEST_PRESENT => RESET_REQUEST_PRESENT,
RESET_REQ_WAIT_TIME => RESET_REQ_WAIT_TIME,
MIN_RST_ASSERTION_TIME => MIN_RST_ASSERTION_TIME,
RESET_REQ_EARLY_DSRT_TIME => RESET_REQ_EARLY_DSRT_TIME,
USE_RESET_REQUEST_IN0 => USE_RESET_REQUEST_IN0,
USE_RESET_REQUEST_IN1 => USE_RESET_REQUEST_IN1,
USE_RESET_REQUEST_IN2 => USE_RESET_REQUEST_IN2,
USE_RESET_REQUEST_IN3 => USE_RESET_REQUEST_IN3,
USE_RESET_REQUEST_IN4 => USE_RESET_REQUEST_IN4,
USE_RESET_REQUEST_IN5 => USE_RESET_REQUEST_IN5,
USE_RESET_REQUEST_IN6 => USE_RESET_REQUEST_IN6,
USE_RESET_REQUEST_IN7 => USE_RESET_REQUEST_IN7,
USE_RESET_REQUEST_IN8 => USE_RESET_REQUEST_IN8,
USE_RESET_REQUEST_IN9 => USE_RESET_REQUEST_IN9,
USE_RESET_REQUEST_IN10 => USE_RESET_REQUEST_IN10,
USE_RESET_REQUEST_IN11 => USE_RESET_REQUEST_IN11,
USE_RESET_REQUEST_IN12 => USE_RESET_REQUEST_IN12,
USE_RESET_REQUEST_IN13 => USE_RESET_REQUEST_IN13,
USE_RESET_REQUEST_IN14 => USE_RESET_REQUEST_IN14,
USE_RESET_REQUEST_IN15 => USE_RESET_REQUEST_IN15,
ADAPT_RESET_REQUEST => ADAPT_RESET_REQUEST
)
port map (
reset_in0 => reset_in0, -- reset_in0.reset
clk => clk, -- clk.clk
reset_out => reset_out, -- reset_out.reset
reset_req => reset_req, -- .reset_req
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
end architecture rtl; -- of wasca_rst_controller_002
|
-- wasca_rst_controller_002.vhd
-- Generated using ACDS version 15.0 145
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity wasca_rst_controller_002 is
generic (
NUM_RESET_INPUTS : integer := 1;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 1;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := '0'; -- reset_in0.reset
clk : in std_logic := '0'; -- clk.clk
reset_out : out std_logic; -- reset_out.reset
reset_req : out std_logic; -- .reset_req
reset_in1 : in std_logic := '0';
reset_in10 : in std_logic := '0';
reset_in11 : in std_logic := '0';
reset_in12 : in std_logic := '0';
reset_in13 : in std_logic := '0';
reset_in14 : in std_logic := '0';
reset_in15 : in std_logic := '0';
reset_in2 : in std_logic := '0';
reset_in3 : in std_logic := '0';
reset_in4 : in std_logic := '0';
reset_in5 : in std_logic := '0';
reset_in6 : in std_logic := '0';
reset_in7 : in std_logic := '0';
reset_in8 : in std_logic := '0';
reset_in9 : in std_logic := '0';
reset_req_in0 : in std_logic := '0';
reset_req_in1 : in std_logic := '0';
reset_req_in10 : in std_logic := '0';
reset_req_in11 : in std_logic := '0';
reset_req_in12 : in std_logic := '0';
reset_req_in13 : in std_logic := '0';
reset_req_in14 : in std_logic := '0';
reset_req_in15 : in std_logic := '0';
reset_req_in2 : in std_logic := '0';
reset_req_in3 : in std_logic := '0';
reset_req_in4 : in std_logic := '0';
reset_req_in5 : in std_logic := '0';
reset_req_in6 : in std_logic := '0';
reset_req_in7 : in std_logic := '0';
reset_req_in8 : in std_logic := '0';
reset_req_in9 : in std_logic := '0'
);
end entity wasca_rst_controller_002;
architecture rtl of wasca_rst_controller_002 is
component altera_reset_controller is
generic (
NUM_RESET_INPUTS : integer := 6;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 0;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := 'X'; -- reset
clk : in std_logic := 'X'; -- clk
reset_out : out std_logic; -- reset
reset_req : out std_logic; -- reset_req
reset_req_in0 : in std_logic := 'X'; -- reset_req
reset_in1 : in std_logic := 'X'; -- reset
reset_req_in1 : in std_logic := 'X'; -- reset_req
reset_in2 : in std_logic := 'X'; -- reset
reset_req_in2 : in std_logic := 'X'; -- reset_req
reset_in3 : in std_logic := 'X'; -- reset
reset_req_in3 : in std_logic := 'X'; -- reset_req
reset_in4 : in std_logic := 'X'; -- reset
reset_req_in4 : in std_logic := 'X'; -- reset_req
reset_in5 : in std_logic := 'X'; -- reset
reset_req_in5 : in std_logic := 'X'; -- reset_req
reset_in6 : in std_logic := 'X'; -- reset
reset_req_in6 : in std_logic := 'X'; -- reset_req
reset_in7 : in std_logic := 'X'; -- reset
reset_req_in7 : in std_logic := 'X'; -- reset_req
reset_in8 : in std_logic := 'X'; -- reset
reset_req_in8 : in std_logic := 'X'; -- reset_req
reset_in9 : in std_logic := 'X'; -- reset
reset_req_in9 : in std_logic := 'X'; -- reset_req
reset_in10 : in std_logic := 'X'; -- reset
reset_req_in10 : in std_logic := 'X'; -- reset_req
reset_in11 : in std_logic := 'X'; -- reset
reset_req_in11 : in std_logic := 'X'; -- reset_req
reset_in12 : in std_logic := 'X'; -- reset
reset_req_in12 : in std_logic := 'X'; -- reset_req
reset_in13 : in std_logic := 'X'; -- reset
reset_req_in13 : in std_logic := 'X'; -- reset_req
reset_in14 : in std_logic := 'X'; -- reset
reset_req_in14 : in std_logic := 'X'; -- reset_req
reset_in15 : in std_logic := 'X'; -- reset
reset_req_in15 : in std_logic := 'X' -- reset_req
);
end component altera_reset_controller;
begin
rst_controller_002 : component altera_reset_controller
generic map (
NUM_RESET_INPUTS => NUM_RESET_INPUTS,
OUTPUT_RESET_SYNC_EDGES => OUTPUT_RESET_SYNC_EDGES,
SYNC_DEPTH => SYNC_DEPTH,
RESET_REQUEST_PRESENT => RESET_REQUEST_PRESENT,
RESET_REQ_WAIT_TIME => RESET_REQ_WAIT_TIME,
MIN_RST_ASSERTION_TIME => MIN_RST_ASSERTION_TIME,
RESET_REQ_EARLY_DSRT_TIME => RESET_REQ_EARLY_DSRT_TIME,
USE_RESET_REQUEST_IN0 => USE_RESET_REQUEST_IN0,
USE_RESET_REQUEST_IN1 => USE_RESET_REQUEST_IN1,
USE_RESET_REQUEST_IN2 => USE_RESET_REQUEST_IN2,
USE_RESET_REQUEST_IN3 => USE_RESET_REQUEST_IN3,
USE_RESET_REQUEST_IN4 => USE_RESET_REQUEST_IN4,
USE_RESET_REQUEST_IN5 => USE_RESET_REQUEST_IN5,
USE_RESET_REQUEST_IN6 => USE_RESET_REQUEST_IN6,
USE_RESET_REQUEST_IN7 => USE_RESET_REQUEST_IN7,
USE_RESET_REQUEST_IN8 => USE_RESET_REQUEST_IN8,
USE_RESET_REQUEST_IN9 => USE_RESET_REQUEST_IN9,
USE_RESET_REQUEST_IN10 => USE_RESET_REQUEST_IN10,
USE_RESET_REQUEST_IN11 => USE_RESET_REQUEST_IN11,
USE_RESET_REQUEST_IN12 => USE_RESET_REQUEST_IN12,
USE_RESET_REQUEST_IN13 => USE_RESET_REQUEST_IN13,
USE_RESET_REQUEST_IN14 => USE_RESET_REQUEST_IN14,
USE_RESET_REQUEST_IN15 => USE_RESET_REQUEST_IN15,
ADAPT_RESET_REQUEST => ADAPT_RESET_REQUEST
)
port map (
reset_in0 => reset_in0, -- reset_in0.reset
clk => clk, -- clk.clk
reset_out => reset_out, -- reset_out.reset
reset_req => reset_req, -- .reset_req
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
end architecture rtl; -- of wasca_rst_controller_002
|
-- wasca_rst_controller_002.vhd
-- Generated using ACDS version 15.0 145
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity wasca_rst_controller_002 is
generic (
NUM_RESET_INPUTS : integer := 1;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 1;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := '0'; -- reset_in0.reset
clk : in std_logic := '0'; -- clk.clk
reset_out : out std_logic; -- reset_out.reset
reset_req : out std_logic; -- .reset_req
reset_in1 : in std_logic := '0';
reset_in10 : in std_logic := '0';
reset_in11 : in std_logic := '0';
reset_in12 : in std_logic := '0';
reset_in13 : in std_logic := '0';
reset_in14 : in std_logic := '0';
reset_in15 : in std_logic := '0';
reset_in2 : in std_logic := '0';
reset_in3 : in std_logic := '0';
reset_in4 : in std_logic := '0';
reset_in5 : in std_logic := '0';
reset_in6 : in std_logic := '0';
reset_in7 : in std_logic := '0';
reset_in8 : in std_logic := '0';
reset_in9 : in std_logic := '0';
reset_req_in0 : in std_logic := '0';
reset_req_in1 : in std_logic := '0';
reset_req_in10 : in std_logic := '0';
reset_req_in11 : in std_logic := '0';
reset_req_in12 : in std_logic := '0';
reset_req_in13 : in std_logic := '0';
reset_req_in14 : in std_logic := '0';
reset_req_in15 : in std_logic := '0';
reset_req_in2 : in std_logic := '0';
reset_req_in3 : in std_logic := '0';
reset_req_in4 : in std_logic := '0';
reset_req_in5 : in std_logic := '0';
reset_req_in6 : in std_logic := '0';
reset_req_in7 : in std_logic := '0';
reset_req_in8 : in std_logic := '0';
reset_req_in9 : in std_logic := '0'
);
end entity wasca_rst_controller_002;
architecture rtl of wasca_rst_controller_002 is
component altera_reset_controller is
generic (
NUM_RESET_INPUTS : integer := 6;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 0;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := 'X'; -- reset
clk : in std_logic := 'X'; -- clk
reset_out : out std_logic; -- reset
reset_req : out std_logic; -- reset_req
reset_req_in0 : in std_logic := 'X'; -- reset_req
reset_in1 : in std_logic := 'X'; -- reset
reset_req_in1 : in std_logic := 'X'; -- reset_req
reset_in2 : in std_logic := 'X'; -- reset
reset_req_in2 : in std_logic := 'X'; -- reset_req
reset_in3 : in std_logic := 'X'; -- reset
reset_req_in3 : in std_logic := 'X'; -- reset_req
reset_in4 : in std_logic := 'X'; -- reset
reset_req_in4 : in std_logic := 'X'; -- reset_req
reset_in5 : in std_logic := 'X'; -- reset
reset_req_in5 : in std_logic := 'X'; -- reset_req
reset_in6 : in std_logic := 'X'; -- reset
reset_req_in6 : in std_logic := 'X'; -- reset_req
reset_in7 : in std_logic := 'X'; -- reset
reset_req_in7 : in std_logic := 'X'; -- reset_req
reset_in8 : in std_logic := 'X'; -- reset
reset_req_in8 : in std_logic := 'X'; -- reset_req
reset_in9 : in std_logic := 'X'; -- reset
reset_req_in9 : in std_logic := 'X'; -- reset_req
reset_in10 : in std_logic := 'X'; -- reset
reset_req_in10 : in std_logic := 'X'; -- reset_req
reset_in11 : in std_logic := 'X'; -- reset
reset_req_in11 : in std_logic := 'X'; -- reset_req
reset_in12 : in std_logic := 'X'; -- reset
reset_req_in12 : in std_logic := 'X'; -- reset_req
reset_in13 : in std_logic := 'X'; -- reset
reset_req_in13 : in std_logic := 'X'; -- reset_req
reset_in14 : in std_logic := 'X'; -- reset
reset_req_in14 : in std_logic := 'X'; -- reset_req
reset_in15 : in std_logic := 'X'; -- reset
reset_req_in15 : in std_logic := 'X' -- reset_req
);
end component altera_reset_controller;
begin
rst_controller_002 : component altera_reset_controller
generic map (
NUM_RESET_INPUTS => NUM_RESET_INPUTS,
OUTPUT_RESET_SYNC_EDGES => OUTPUT_RESET_SYNC_EDGES,
SYNC_DEPTH => SYNC_DEPTH,
RESET_REQUEST_PRESENT => RESET_REQUEST_PRESENT,
RESET_REQ_WAIT_TIME => RESET_REQ_WAIT_TIME,
MIN_RST_ASSERTION_TIME => MIN_RST_ASSERTION_TIME,
RESET_REQ_EARLY_DSRT_TIME => RESET_REQ_EARLY_DSRT_TIME,
USE_RESET_REQUEST_IN0 => USE_RESET_REQUEST_IN0,
USE_RESET_REQUEST_IN1 => USE_RESET_REQUEST_IN1,
USE_RESET_REQUEST_IN2 => USE_RESET_REQUEST_IN2,
USE_RESET_REQUEST_IN3 => USE_RESET_REQUEST_IN3,
USE_RESET_REQUEST_IN4 => USE_RESET_REQUEST_IN4,
USE_RESET_REQUEST_IN5 => USE_RESET_REQUEST_IN5,
USE_RESET_REQUEST_IN6 => USE_RESET_REQUEST_IN6,
USE_RESET_REQUEST_IN7 => USE_RESET_REQUEST_IN7,
USE_RESET_REQUEST_IN8 => USE_RESET_REQUEST_IN8,
USE_RESET_REQUEST_IN9 => USE_RESET_REQUEST_IN9,
USE_RESET_REQUEST_IN10 => USE_RESET_REQUEST_IN10,
USE_RESET_REQUEST_IN11 => USE_RESET_REQUEST_IN11,
USE_RESET_REQUEST_IN12 => USE_RESET_REQUEST_IN12,
USE_RESET_REQUEST_IN13 => USE_RESET_REQUEST_IN13,
USE_RESET_REQUEST_IN14 => USE_RESET_REQUEST_IN14,
USE_RESET_REQUEST_IN15 => USE_RESET_REQUEST_IN15,
ADAPT_RESET_REQUEST => ADAPT_RESET_REQUEST
)
port map (
reset_in0 => reset_in0, -- reset_in0.reset
clk => clk, -- clk.clk
reset_out => reset_out, -- reset_out.reset
reset_req => reset_req, -- .reset_req
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
end architecture rtl; -- of wasca_rst_controller_002
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
--
-- Title Thread Manager
--
-- 26 Jul 2004: Mike Finley: Original author
-- 08 Jun 2005: Erik Anderson: Changes for new interface between TM and
-- Scheduler. Also adding function isQueue().
-- 15 Apr 2009: Jim Stevens: Ported to PLB version 4.6.
--
---------------------------------------------------------------------------
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_CS -- Bus to IP chip select
-- Bus2IP_RNW -- Bus to IP read/not write
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 1;
-- DO NOT EDIT ABOVE THIS LINE ---------------------
C_RESET_TIMEOUT : natural := 4096
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_CS : in std_logic_vector(0 to 0);
Bus2IP_RNW : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic;
-- DO NOT EDIT ABOVE THIS LINE ---------------------
Access_Intr : out std_logic;
Scheduler_Reset : out std_logic;
Scheduler_Reset_Done : in std_logic;
Semaphore_Reset : out std_logic;
Semaphore_Reset_Done : in std_logic;
SpinLock_Reset : out std_logic;
SpinLock_Reset_Done : in std_logic;
User_IP_Reset : out std_logic;
User_IP_Reset_Done : in std_logic;
Soft_Stop : out std_logic;
tm2sch_cpu_thread_id : out std_logic_vector(0 to 7);
tm2sch_opcode : out std_logic_vector(0 to 5);
tm2sch_data : out std_logic_vector(0 to 7);
tm2sch_request : out std_logic;
tm2sch_DOB : out std_logic_vector(0 to 31);
sch2tm_ADDRB : in std_logic_vector(0 to 8);
sch2tm_DIB : in std_logic_vector(0 to 31);
sch2tm_ENB : in std_logic;
sch2tm_WEB : in std_logic;
sch2tm_busy : in std_logic;
sch2tm_data : in std_logic_vector(0 to 7);
sch2tm_next_id : in std_logic_vector(0 to 7);
sch2tm_next_id_valid : in std_logic
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
-- Define the memory map for each register, Address[16 to 21]
--
constant C_CLEAR_THREAD : std_logic_vector(0 to 5) := "000000";
constant C_JOIN_THREAD : std_logic_vector(0 to 5) := "000001";
constant C_READ_THREAD : std_logic_vector(0 to 5) := "000011";
constant C_ADD_THREAD : std_logic_vector(0 to 5) := "000100";
constant C_CREATE_THREAD_J : std_logic_vector(0 to 5) := "000101";
constant C_CREATE_THREAD_D : std_logic_vector(0 to 5) := "000110";
constant C_EXIT_THREAD : std_logic_vector(0 to 5) := "000111";
constant C_NEXT_THREAD : std_logic_vector(0 to 5) := "001000";
constant C_YIELD_THREAD : std_logic_vector(0 to 5) := "001001";
constant C_CURRENT_THREAD : std_logic_vector(0 to 5) := "010000";
constant C_IS_DETACHED : std_logic_vector(0 to 5) := "011000";
constant C_IS_QUEUED : std_logic_vector(0 to 5) := "011001";
constant C_EXCEPTION_ADDR : std_logic_vector(0 to 5) := "010011";
constant C_EXCEPTION_REG : std_logic_vector(0 to 5) := "010100";
constant C_SOFT_START : std_logic_vector(0 to 5) := "010101";
constant C_SOFT_STOP : std_logic_vector(0 to 5) := "010110";
constant C_SOFT_RESET : std_logic_vector(0 to 5) := "010111";
constant C_SCHED_LINES : std_logic_vector(0 to 5) := "011010";
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
constant OPCODE_IS_QUEUED : std_logic_vector(0 to 5) := "000001";
constant OPCODE_ENQUEUE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DEQUEUE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_IS_EMPTY : std_logic_vector(0 to 5) := "000110";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
constant H32 : std_logic_vector(0 to 31) := (others => '1');
constant MAX_QUEUE_SIZE : std_logic_vector(0 to 7) := (others => '1');
constant TOUT_CYCLES : natural := 3; -- assert timeout suppress
signal cycle_count : std_logic_vector(0 to 15);
signal timeout_expired : std_logic;
-- Extended Thread Error Codes returned in lower 4 bits
constant ERROR_IN_STATUS : std_logic_vector(0 to 3) := "0001";
constant THREAD_ALREADY_TERMINATED : std_logic_vector(0 to 3) := "0011";
constant THREAD_ALREADY_QUEUED : std_logic_vector(0 to 3) := "0101";
constant ERROR_FROM_SCHEDULER : std_logic_vector(0 to 3) := "0111";
constant JOIN_ERROR_CHILD_JOINED : std_logic_vector(0 to 3) := "1001";
constant JOIN_ERROR_NOT_CHILD : std_logic_vector(0 to 3) := "1011";
constant JOIN_ERROR_CHILD_DETACHED : std_logic_vector(0 to 3) := "1101";
constant JOIN_ERROR_CHILD_NOT_USED : std_logic_vector(0 to 3) := "1111";
constant JOIN_ERROR_UNKNOWN : std_logic_vector(0 to 3) := "0001";
constant CLEAR_ERROR_NOT_USED : std_logic_vector(0 to 3) := "1001";
-- Exception "cause" returned in Exception register
constant EXCEPTION_WRITE_TO_READ_ONLY : std_logic_vector(0 to 3) := "0001";
constant EXCEPTION_UNDEFINED_ADDRESS : std_logic_vector(0 to 3) := "0010";
constant EXCEPTION_TO_SOFT_RESET : std_logic_vector(0 to 3) := "0011";
constant EXCEPTION_TO_SCHD_ISQUEUED : std_logic_vector(0 to 3) := "0100";
constant EXCEPTION_TO_SCHD_ENQUEUE : std_logic_vector(0 to 3) := "0101";
constant EXCEPTION_TO_SCHD_DEQUEUE : std_logic_vector(0 to 3) := "0110";
constant EXCEPTION_TO_SCHD_ISEMPTY : std_logic_vector(0 to 3) := "0111";
constant EXCEPTION_TO_SCHD_NEXT_THREAD : std_logic_vector(0 to 3) := "1000";
constant EXCEPTION_SCHD_INVALID_THREAD : std_logic_vector(0 to 3) := "1001";
constant EXCEPTION_ILLEGAL_STATE : std_logic_vector(0 to 3) := "1111";
-- BRAM constants
constant BRAM_ADDRESS_BITS : integer := 9;
constant BRAM_DATA_BITS : integer := 32;
-- Address,Cause for access exceptions
--
signal Exception_Address : std_logic_vector(0 to 31);
signal Exception_Address_next : std_logic_vector(0 to 31);
signal Exception_Cause : std_logic_vector(0 to 3);
signal Exception_Cause_next : std_logic_vector(0 to 3);
signal access_error : std_logic;
-- Debug control signals
--
-- Soft reset signals, LSB = SWTM reset; reset IP(s) if '1'
-- Resets done, handshake from IPs if done resetting(1)
-- core_stop , halt state machines at next appropriate point if '1'
--
signal soft_resets : std_logic_vector(0 to 4);
signal soft_resets_next : std_logic_vector(0 to 4);
signal resets_done : std_logic_vector(0 to 4);
signal reset_status : std_logic_vector(0 to 4);
signal reset_status_next : std_logic_vector(0 to 4);
signal core_stop : std_logic;
signal core_stop_next : std_logic;
-- Declarations for each register
-- Current thread,Idle thread : bits 0..7 = ID, bit 8 = '1' = invalid
signal current_cpu_thread : std_logic_vector(0 to 8);
signal current_cpu_thread_next : std_logic_vector(0 to 8);
-- internal signals
signal next_ID : std_logic_vector(0 to 8);
signal next_ID_next : std_logic_vector(0 to 8);
signal temp_thread_id : std_logic_vector(0 to 7);
signal temp_thread_id_next : std_logic_vector(0 to 7);
signal temp_thread_id2 : std_logic_vector(0 to 7);
signal temp_thread_id2_next : std_logic_vector(0 to 7);
signal reset_ID : std_logic_vector(0 to 8);
type swtm_state_type is
(IDLE_STATE,
SOFT_RESET_WRITE_INIT,
SOFT_RESET_INIT_TABLE,
SOFT_RESET_WAIT,
READ_THREAD_INIT,
READ_THREAD_RD_WAIT,
READ_THREAD_DONE,
CREATE_THREAD_INIT,
CT_NEW_ID_RD_WAIT,
CT_NEW_ID_AVAILABLE,
CT_ENTRY_RD_WAIT,
CT_ENTRY_AVAILABLE,
CT_DONE,
CLEAR_THREAD_INIT,
CLEAR_ENTRY_RD_WAIT,
CLEAR_ENTRY_AVAIABLE,
DEALLOCATE_ID,
DEALLOCATE_NEXT_ENTRY_RD_WAIT,
DEALLOCATE_NEXT_ENTRY_AVAIL,
JOIN_THREAD_INIT,
JOIN_RD_ENTRY_RD_WAIT,
JOIN_RD_ENTRY_AVAILABLE,
IS_QUEUED_INIT,
IS_QUEUED_DONE,
IS_DETACHED_THREAD_INIT,
IS_DETACHED_ENTRY_RD_WAIT,
IS_DETACHED_ENTRY_AVAILABLE,
NEXT_THREAD_INIT,
NEXT_THREAD_WAIT4_SCHEDULER,
NEXT_THREAD_RD_WAIT,
NEXT_THREAD_AVAILABLE,
NEXT_THREAD_CHECK_DEQUEUE,
ADD_THREAD_INIT,
AT_ENTRY_RD_WAIT,
AT_ENTRY_AVAILABLE,
AT_ISQUEUED_WAIT,
AT_CHECK_ISQUEUE,
AT_ENQUEUE_WAIT,
AT_CHECK_ENQUEUE,
ISQUEUED_WAIT_ACK,
ISQUEUED_WAIT_COMPLETE,
ENQUEUE_WAIT_ACK,
ENQUEUE_WAIT_COMPLETE,
DEQUEUE_WAIT_ACK,
DEQUEUE_WAIT_COMPLETE,
IS_QUEUE_EMPTY_WAIT_ACK,
IS_QUEUE_EMPTY_WAIT_COMPLETE,
YIELD_THREAD_INIT,
YIELD_CURRENT_THREAD_RD_WAIT,
YIELD_CURRENT_THREAD_AVAILABLE,
YIELD_CHECK_QUEUE_EMPTY,
YIELD_ENQUEUE,
YIELD_CHECK_ENQUEUE,
-- YIELD_dummy_is_queued,
YIELD_DEQUEUE,
YIELD_CHECK_DEQUEUE,
EXIT_THREAD_INIT,
EXIT_THREAD_RD_WAIT,
EXIT_THREAD_AVAIABLE,
EXIT_DEALLOCATE,
EXIT_NEXT_THREAD_RD_WAIT,
EXIT_NEXT_THREAD_AVAILABLE,
EXIT_READ_PARENT,
EXIT_READ_PARENT_WAIT,
EXIT_READ_PARENT_AVAILABLE,
EXIT_CHECK_ENQUEUE,
RAISE_EXCEPTION,
END_TRANSACTION,
END_TRANSACTION_WAIT);
signal current_state, next_state : swtm_state_type := IDLE_STATE;
signal return_state, return_state_next : swtm_state_type := IDLE_STATE;
signal bus_data_out : std_logic_vector(0 to 31);
signal bus_data_out_next : std_logic_vector(0 to 31);
signal current_status : std_logic_vector(0 to 31);
signal current_status_next : std_logic_vector(0 to 31);
signal Swtm_Reset_Done : std_logic;
signal Swtm_Reset_Done_next : std_logic;
signal new_ID : std_logic_vector(0 to 7);
signal new_ID_next : std_logic_vector(0 to 7);
signal tm2sch_request_next : std_logic;
signal tm2sch_request_reg : std_logic;
signal tm2sch_data_next : std_logic_vector(0 to 7);
signal tm2sch_data_reg : std_logic_vector(0 to 7);
signal tm2sch_opcode_next : std_logic_vector(0 to 5);
signal tm2sch_opcode_reg : std_logic_vector(0 to 5);
-- Signals for thread table BRAM
signal ENA : std_logic;
signal WEA : std_logic;
signal ADDRA : std_logic_vector(0 to BRAM_ADDRESS_BITS - 1);
signal DIA : std_logic_vector(0 to BRAM_DATA_BITS - 1);
signal DOA : std_logic_vector(0 to BRAM_DATA_BITS - 1);
alias addr :std_logic_vector(0 to 5) is Bus2IP_Addr(16 to 21);
---------------------------------------------------------------------------
-- Component Instantiation of inferred dual ported block RAM
---------------------------------------------------------------------------
component infer_bram_dual_port is
generic (
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to ADDRESS_BITS - 1);
DIA : in std_logic_vector(0 to DATA_BITS - 1);
DOA : out std_logic_vector(0 to DATA_BITS - 1);
CLKB : in std_logic;
ENB : in std_logic;
WEB : in std_logic;
ADDRB : in std_logic_vector(0 to ADDRESS_BITS - 1);
DIB : in std_logic_vector(0 to DATA_BITS - 1);
DOB : out std_logic_vector(0 to DATA_BITS - 1)
);
end component infer_bram_dual_port;
-------------------------------------------------------------------
-- ICON core signal declarations
-------------------------------------------------------------------
signal control0 : std_logic_vector(35 downto 0);
signal my_ack, my_tout_sup, my_error, my_sched_req : std_logic; -- TODO: This line might be gone.
signal my_counter : std_logic_vector(0 to 31);
-------------------------------------------------------------------
-- ICON core component declaration
-------------------------------------------------------------------
-- simulation translate_off
--component chipscope_icon_v1_03_a
-- port
-- (
-- control0 : out std_logic_vector(35 downto 0)
-- );
--end component;
-- simulation translate_on
-------------------------------------------------------------------
-- ILA core component declaration
-------------------------------------------------------------------
-- simulation translate_off
--component chipscope_ila_v1_02_a
-- port
-- (
--- control : in std_logic_vector(35 downto 0);
-- clk : in std_logic;
-- trig0 : in std_logic_vector(63 downto 0);
-- trig1 : in std_logic_vector(63 downto 0);
-- trig2 : in std_logic_vector(31 downto 0);
-- trig3 : in std_logic_vector(31 downto 0);
-- trig4 : in std_logic_vector(15 downto 0)
-- );
--end component;
-- simulation translate_on
begin
thread_table_bram : infer_bram_dual_port
generic map (
ADDRESS_BITS => BRAM_ADDRESS_BITS,
DATA_BITS => BRAM_DATA_BITS
)
port map (
CLKA => Bus2IP_Clk,
ENA => ENA,
WEA => WEA,
ADDRA => ADDRA,
DIA => DIA,
DOA => DOA,
CLKB => Bus2IP_Clk,
ENB => sch2tm_ENB,
WEB => sch2tm_WEB,
ADDRB => sch2tm_ADDRB,
DIB => sch2tm_DIB,
DOB => tm2sch_DOB
);
tm2sch_opcode <= tm2sch_opcode_reg;
tm2sch_data <= tm2sch_data_reg;
tm2sch_request <= tm2sch_request_reg;
Soft_Stop <= core_stop;
Scheduler_Reset <= soft_resets(3);
Semaphore_Reset <= soft_resets(2);
SpinLock_Reset <= soft_resets(1);
User_IP_Reset <= soft_resets(0);
Access_Intr <= access_error;
CYCLE_PROC : process (Bus2IP_Clk, Bus2IP_CS) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk='1' ) then
if( Bus2IP_CS(0) = '0' ) then
cycle_count <= (others => '0');
else
cycle_count <= cycle_count + 1;
end if;
end if;
end process CYCLE_PROC;
--
-- create a counter for the number of elapsed cycles
-- in each bus transaction.
-- assert TimeOut suppress when count = TOUT_CYCLES
--
CYCLE_CONTROL : process( cycle_count ) is
begin
IP2Bus_Error <= '0'; -- no error
--
-- count the number of elapsed clock cycles in transaction
--
if cycle_count < C_RESET_TIMEOUT then
timeout_expired <= '0';
else
--timeout_expired <= '1';
timeout_expired <= '0'; -- Disable timeouts.
end if;
--
-- activate time out suppress if count exceeds TOUT_CYCLES
-- edk. Why isn't this done inside the clk_event ???
--
-- if cycle_count > TOUT_CYCLES then
-- --IP2Bus_ToutSup <= '1'; -- halt time out counter
-- my_tout_sup <= '1'; -- halt time out counter
-- else
-- --IP2Bus_ToutSup <= '0'; -- release
-- my_tout_sup <= '0'; -- release
-- end if;
end process CYCLE_CONTROL;
-- IP2Bus_ToutSup <= my_tout_sup;
RESET_PROC : process (Bus2IP_Clk, addr, current_state)
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( addr = C_SOFT_RESET and current_state = SOFT_RESET_WRITE_INIT ) then
reset_ID <= (others => '0');
else
reset_ID <= reset_ID + 1;
end if;
end if;
end process;
ACK_PROC : process(my_ack, Bus2IP_RdCE, Bus2IP_WrCE)
begin
if (Bus2IP_RdCE(0) = '1') then
IP2Bus_RdAck <= my_ack;
else
IP2Bus_RdAck <= '0';
end if;
if (Bus2IP_WrCE(0) = '1') then
IP2Bus_WrAck <= my_ack;
else
IP2Bus_WrAck <= '0';
end if;
end process;
SWTM_STATE_PROC : process (Bus2IP_Clk, core_stop_next, new_ID_next, next_ID_next, temp_thread_id_next, temp_thread_id2_next, current_cpu_thread_next, Current_status_next, soft_resets_next, reset_status_next, Swtm_Reset_Done_next, Scheduler_Reset_Done, Semaphore_Reset_Done, SpinLock_Reset_Done, User_IP_Reset_Done, next_state, return_state_next, Bus2IP_Reset,Exception_Cause_next) is
begin
if (Bus2IP_Clk'event and (Bus2IP_Clk = '1')) then
core_stop <= core_stop_next;
new_ID <= new_ID_next;
next_ID <= next_ID_next;
temp_thread_id <= temp_thread_id_next;
temp_thread_id2 <= temp_thread_id2_next;
current_cpu_thread <= current_cpu_thread_next;
tm2sch_cpu_thread_id <= current_cpu_thread_next(0 to 7);
tm2sch_data_reg <= tm2sch_data_next;
tm2sch_opcode_reg <= tm2sch_opcode_next;
tm2sch_request_reg <= tm2sch_request_next;
current_status <= current_status_next;
Exception_Address <= Exception_Address_next;
Exception_Cause <= Exception_Cause_next;
soft_resets <= soft_resets_next;
reset_status <= reset_status_next;
bus_data_out <= bus_data_out_next;
Swtm_Reset_Done <= Swtm_Reset_Done_next;
resets_done(4) <= Swtm_Reset_Done_next;
resets_done(3) <= Scheduler_Reset_Done;
resets_done(2) <= Semaphore_Reset_Done;
resets_done(1) <= SpinLock_Reset_Done;
resets_done(0) <= User_IP_Reset_Done;
return_state <= return_state_next;
if( Bus2IP_Reset = '1' ) then
current_state <= IDLE_STATE;
else
current_state <= next_state;
end if;
end if;
end process SWTM_STATE_PROC;
-- IP2Bus_Ack <= my_ack; -- pulse(010) to end bus transaction
SWTM_LOGIC_PROC : process (current_state, core_stop, new_ID, next_ID, current_cpu_thread, current_status, reset_status, Swtm_Reset_Done, soft_resets, Bus2IP_Addr, Bus2IP_Data, Exception_Address, Bus2IP_WrCE, addr, Bus2IP_RdCE, reset_ID, resets_done, timeout_expired, DOA, sch2tm_next_id_valid, sch2tm_next_id, sch2tm_busy, bus_data_out, Exception_Cause, tm2sch_request_reg, tm2sch_data_reg, tm2sch_opcode_reg, temp_thread_id, temp_thread_id2) is
begin
-- -------------------------------------------------
-- default output signal assignments
-- -------------------------------------------------
my_ack <= '0'; -- pulse(010) to end bus transaction
access_error <= '0'; -- pulse(010) for access error interrupt
IP2Bus_Data <= (others => '0');
ADDRA <= (others => '0');
ENA <= '0';
WEA <= '0';
DIA <= (others => '0');
-- -------------------------------------------------
-- default register assignments
-- -------------------------------------------------
next_state <= current_state;
return_state_next <= return_state;
core_stop_next <= core_stop;
new_ID_next <= new_ID;
next_ID_next <= next_ID;
temp_thread_id_next <= temp_thread_id;
temp_thread_id2_next <= temp_thread_id2;
current_cpu_thread_next <= current_cpu_thread;
current_status_next <= current_status;
Exception_Address_next <= Exception_Address;
reset_status_next <= reset_status;
Swtm_Reset_Done_next <= Swtm_Reset_Done;
Exception_Cause_next <= Exception_Cause;
tm2sch_request_next <= tm2sch_request_reg;
tm2sch_data_next <= tm2sch_data_reg;
tm2sch_opcode_next <= tm2sch_opcode_reg;
bus_data_out_next <= bus_data_out;
soft_resets_next <= soft_resets;
case current_state is
-- Command (addr) decode whenever we are waiting for something new to do.
when IDLE_STATE =>
bus_data_out_next <= (others => '0');
if (Bus2IP_WrCE(0) = '1') then
case addr is
when C_SOFT_START =>
-- Any write to soft_start address clears
-- all soft reset signals and the Soft_Stop signal
soft_resets_next <= (others => '0');
swtm_reset_done_next <= '0'; -- clear SWTM's reset done
core_stop_next <= '0'; -- clear core_stop
next_state <= END_TRANSACTION;
when C_SOFT_STOP =>
-- write any data to Soft_Stop to assert the Soft_Stop signal
core_stop_next <= '1';
next_state <= END_TRANSACTION;
when C_SOFT_RESET =>
next_state <= SOFT_RESET_WRITE_INIT;
when C_READ_THREAD =>
if (core_stop = '1') then
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
WEA <= '1';
ENA <= '1';
DIA <= Bus2IP_Data(0 to 31);
next_state <= END_TRANSACTION;
else
Exception_Cause_next <= EXCEPTION_WRITE_TO_READ_ONLY;
next_state <= RAISE_EXCEPTION;
end if;
when others =>
Exception_Cause_next <= EXCEPTION_UNDEFINED_ADDRESS;
next_state <= RAISE_EXCEPTION;
end case;
elsif (Bus2IP_RdCE(0) = '1') then
case addr is
when C_SOFT_START =>
bus_data_out_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_SOFT_STOP =>
-- returns signal level in LSB on read
bus_data_out_next <= Z32(0 to 30) & core_stop;
next_state <= END_TRANSACTION;
when C_SOFT_RESET =>
-- returns 1's in bit positions that failed
bus_data_out_next <= Z32(0 to 26) & reset_status;
next_state <= END_TRANSACTION;
when C_CURRENT_THREAD =>
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread;
next_state <= END_TRANSACTION;
when C_EXCEPTION_ADDR =>
bus_data_out_next <= Exception_Address;
Exception_Address_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_EXCEPTION_REG =>
bus_data_out_next <= Z32(0 to 27) & Exception_Cause;
Exception_Cause_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_SCHED_LINES =>
bus_data_out_next <= Z32(0 to 6) & sch2tm_busy & sch2tm_data &
Z32(16 to 22) & sch2tm_next_id_valid &
sch2tm_next_id;
next_state <= END_TRANSACTION;
when C_READ_THREAD => next_state <= READ_THREAD_INIT;
when C_CREATE_THREAD_D => next_state <= CREATE_THREAD_INIT;
when C_CREATE_THREAD_J => next_state <= CREATE_THREAD_INIT;
when C_CLEAR_THREAD => next_state <= CLEAR_THREAD_INIT;
when C_JOIN_THREAD => next_state <= JOIN_THREAD_INIT;
when C_IS_DETACHED => next_state <= IS_DETACHED_THREAD_INIT;
when C_IS_QUEUED => next_state <= IS_QUEUED_INIT;
when C_NEXT_THREAD => next_state <= NEXT_THREAD_INIT;
when C_ADD_THREAD => next_state <= ADD_THREAD_INIT;
when C_YIELD_THREAD => next_state <= YIELD_THREAD_INIT;
when C_EXIT_THREAD => next_state <= EXIT_THREAD_INIT;
when others =>
Exception_Cause_next <= EXCEPTION_UNDEFINED_ADDRESS;
next_state <= RAISE_EXCEPTION;
end case;
end if;
--
-- read/write to the soft resets register (1 bit per IP)
-- write '1' to reset, reads '1' if timeout error occured
-- before IP reports finished
--
-- SW Thread Manager = bit#4 (LSB)
-- Scheduler = bit#3
-- Semaphore = bit#2
-- SpinLock = bit#1
-- User_IP = bit#0
--
when SOFT_RESET_WRITE_INIT =>
soft_resets_next <= Bus2IP_Data(27 to 31);
reset_status_next <= (others => '0');
swtm_reset_done_next <= '0'; -- clear SWTM's reset_done
if (Bus2IP_Data(31) = '1') then -- soft_resets(4)
--
-- perform a soft reset on SWTM
--
bus_data_out_next <= (others => '0');
new_ID_next <= (others => '0');
next_ID_next <= (others => '0');
temp_thread_id_next <= (others => '0');
current_cpu_thread_next <= Z32(0 to 7) & '1';
core_stop_next <= '0';
tm2sch_opcode_next <= OPCODE_NOOP;
tm2sch_data_next <= (others => '0');
tm2sch_request_next <= '0';
next_state <= SOFT_RESET_INIT_TABLE;
else
next_state <= SOFT_RESET_WAIT;
end if;
-- initialize the thread ID table to all zeros
-- and the next available stack to 0..255
when SOFT_RESET_INIT_TABLE =>
ADDRA <= reset_ID;
ENA <= '1';
WEA <= '1';
if( reset_ID(0) = '0' ) then
-- init available ID stack & thread ID table
DIA <= reset_ID(1 to 8) & Z32(0 to 23);
else
-- clear 2nd half of table (unused)
DIA <= Z32(0 to 31);
end if;
if( reset_ID = H32(0 to 8) ) then
swtm_reset_done_next<= '1'; -- done
next_state <= soft_reset_wait;
end if;
-- wait for all IPs to finish initialization or
-- the maximum time to be exceeded then
-- ack to finish transaction
when SOFT_RESET_WAIT =>
if (resets_done = soft_resets) then -- done
next_state <= END_TRANSACTION;
elsif (timeout_expired = '1') then
reset_status_next <= (resets_done xor soft_resets);
Exception_Cause_next <= EXCEPTION_TO_SOFT_RESET;
next_state <= RAISE_EXCEPTION; -- timeout
else
next_state <= current_state;
end if;
when READ_THREAD_INIT =>
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
WEA <= '0';
ENA <= '1';
next_state <= READ_THREAD_RD_WAIT;
when READ_THREAD_RD_WAIT =>
next_state <= READ_THREAD_DONE;
when READ_THREAD_DONE =>
bus_data_out_next <= DOA;
next_state <= END_TRANSACTION;
when CREATE_THREAD_INIT =>
if next_ID(0) = '1' then
-- no IDs available, return with error bit set
--
bus_data_out_next <= Z32(0 to 30) & '1';
next_state <= END_TRANSACTION;
else
-- read next ID from stack
--
ADDRA <= next_ID;
ENA <= '1';
next_state <= CT_NEW_ID_RD_WAIT;
end if;
when CT_NEW_ID_RD_WAIT =>
next_state <= CT_NEW_ID_AVAILABLE;
when CT_NEW_ID_AVAILABLE =>
new_ID_next <= DOA(0 to 7); -- save new ID#
ADDRA <= '0' & DOA(0 to 7); -- point to new thread
ENA <= '1';
next_state <= CT_ENTRY_RD_WAIT;
when CT_ENTRY_RD_WAIT =>
next_state <= CT_ENTRY_AVAILABLE;
when CT_ENTRY_AVAILABLE =>
ADDRA <= '0' & new_ID;
ENA <= '1';
WEA <= '1'; -- enable write to bram
-- Determine if the thread to create is DETACHED / JOINABLE
if addr = C_CREATE_THREAD_D then -- set new thread status
-- create detached
DIA <= DOA(0 to 7) & Z32(0 to 7) &
Z32(0 to 7) & "1011" & Z32(0 to 3);
else
-- create joinable
DIA <= DOA(0 to 7) & Z32(0 to 7) &
current_cpu_thread(0 to 7) & "0011" & Z32(0 to 3);
end if;
next_state <= CT_DONE;
when CT_DONE =>
-- return new ID with no error,
bus_data_out_next <= Z32(0 to 22) & new_ID & '0';
-- point to next available ID
next_ID_next <= next_ID + 1;
next_state <= END_TRANSACTION;
when CLEAR_THREAD_INIT =>
-- clear the encoded thread ID if it is used and exited
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= CLEAR_ENTRY_RD_WAIT;
when CLEAR_ENTRY_RD_WAIT =>
next_state <= CLEAR_ENTRY_AVAIABLE ;
when CLEAR_ENTRY_AVAIABLE =>
if (DOA(26 to 27) = "10") then -- used and exited
bus_data_out_next <= Z32; -- success, return zero
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
WEA <= '1'; -- clear old status but
DIA <= DOA(0 to 7) & Z32(0 to 23); -- preserve ID stack
next_state <= DEALLOCATE_ID;
else
-- error occurred, return thread status w/ LSB=1
bus_data_out_next <= DOA(0 to 27) & CLEAR_ERROR_NOT_USED;
next_state <= END_TRANSACTION;
end if;
when DEALLOCATE_ID =>
if (next_ID /= Z32(0 to 8)) then
ADDRA <= next_ID - 1;
ENA <= '1';
next_ID_next <= next_ID - 1;
next_state <= DEALLOCATE_NEXT_ENTRY_RD_WAIT;
else
next_state <= END_TRANSACTION;
end if;
when DEALLOCATE_NEXT_ENTRY_RD_WAIT =>
next_state <= DEALLOCATE_NEXT_ENTRY_AVAIL;
when DEALLOCATE_NEXT_ENTRY_AVAIL =>
-- put ID back on stack, preserve other bits
ADDRA <= next_ID;
ENA <= '1';
WEA <= '1';
DIA <= Bus2IP_Addr(22 to 29) & DOA(8 to 31);
next_state <= END_TRANSACTION;
when JOIN_THREAD_INIT =>
-- join on the encoded thread ID if its PID = current_thread
-- and its status = used,~joined,~detached
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= JOIN_RD_ENTRY_RD_WAIT;
when JOIN_RD_ENTRY_RD_WAIT =>
next_state <= JOIN_RD_ENTRY_AVAILABLE;
when JOIN_RD_ENTRY_AVAILABLE =>
if ((DOA(16 to 23) & '0' = current_cpu_thread) and -- PID = current thread
(DOA(24 to 25) = "00") and -- ~detached,~joined
(DOA(26 to 27) /= "00")) then -- not unused
if DOA(27) = '0' then
-- thread has already exited, return a WARNING code
bus_data_out_next <= Z32(0 to 27) & THREAD_ALREADY_TERMINATED;
next_state <= END_TRANSACTION;
else
-- thread has not exited
bus_data_out_next <= Z32; -- success, return zero
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
WEA <= '1';
-- clear old status but
-- set joined bit; and preserve all other bits
DIA <= DOA(0 to 24) & '1' & DOA(26 to 31);
next_state <= END_TRANSACTION;
end if;
else
-- An error occured. Determine the error and return correct error code.
if( DOA(24) = '1' ) then
-- trying to join on a detached thread
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_DETACHED;
elsif ( DOA(24 to 25) = "01" ) then
-- tyring to join on a thread that is already joined
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_JOINED;
elsif( DOA(26) = '0' ) then
-- trying to join on a thread that is not used
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_NOT_USED;
elsif( DOA(16 to 23) & '0' /= current_cpu_thread ) then
-- trying to join to a thread that is not the current thread's child
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_NOT_CHILD;
else
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_UNKNOWN;
end if;
next_state <= END_TRANSACTION;
end if;
when IS_DETACHED_THREAD_INIT =>
-- Returns a 1 if the encoded thread ID is detached, else returns 0
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= IS_DETACHED_ENTRY_RD_WAIT;
when IS_DETACHED_ENTRY_RD_WAIT =>
next_state <= IS_DETACHED_ENTRY_AVAILABLE;
when IS_DETACHED_ENTRY_AVAILABLE =>
if (DOA(24) = '1' and DOA(26) = '1') then
-- Thread is detached, return 1
bus_data_out_next <= Z32(0 to 29) & "10"; -- The 0 in the last bit indicates no error
else
-- Thread is not detached, or not used, return 0
bus_data_out_next <= Z32;
end if;
next_state <= END_TRANSACTION;
when IS_QUEUED_INIT =>
tm2sch_opcode_next <= OPCODE_IS_QUEUED;
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29); -- thread ID
next_state <= ISQUEUED_WAIT_ACK;
return_state_next <= IS_QUEUED_DONE;
when IS_QUEUED_DONE =>
bus_data_out_next <= Z32(0 to 22) & sch2tm_data & '0';
next_state <= END_TRANSACTION;
when NEXT_THREAD_INIT =>
-- Return to the caller the value of the next thread to run
if sch2tm_next_id_valid = '1' then
-- the next thread has been identified,
-- read from Scheduler and check thread status
-- as stored by SWTM for consistency
ADDRA <= '0' & sch2tm_next_id;
ENA <= '1';
next_state <= NEXT_THREAD_RD_WAIT;
else
next_state <= NEXT_THREAD_WAIT4_SCHEDULER;
end if;
when NEXT_THREAD_WAIT4_SCHEDULER =>
if (sch2tm_next_id_valid = '1') then
-- Scheduler has made a scheduling decision
ADDRA <= '0' & sch2tm_next_id;
ENA <= '1';
next_state <= NEXT_THREAD_RD_WAIT;
elsif (timeout_expired = '1') then
-- Timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_NEXT_THREAD;
next_state <= RAISE_EXCEPTION; -- timeout
else
-- Continue waiting for scheduler
next_state <= current_state;
end if;
when NEXT_THREAD_RD_WAIT =>
next_state <= NEXT_THREAD_AVAILABLE;
when NEXT_THREAD_AVAILABLE =>
if DOA(26 to 27) = "11" then
-- thread status is used and not exited
-- dequeue the next_thread_id from the scheduler's queue
current_cpu_thread_next <= sch2tm_next_id & '0';
-- Send dequeue opperation to scheduler
tm2sch_opcode_next <= OPCODE_DEQUEUE;
tm2sch_request_next <= '1';
tm2sch_data_next <= Z32(0 to 7);
next_state <= DEQUEUE_WAIT_ACK;
return_state_next <= NEXT_THREAD_CHECK_DEQUEUE;
else
-- TM and SCHEDULER disagree if thread was used and not exited
-- return thread ID, set error bit and raise exception
bus_data_out_next <= Z32(0 to 22) & sch2tm_next_id & '1';
Exception_Cause_next <= EXCEPTION_SCHD_INVALID_THREAD;
next_state <= RAISE_EXCEPTION; -- timeout
end if;
when NEXT_THREAD_CHECK_DEQUEUE =>
-- Perform a check to make sure scheduler completed successfully
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
-- return the value of the next thread id (which by now is in the current_cpu_thread register)
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread(0 to 7) & '0';
next_state <= END_TRANSACTION;
end if;
when ADD_THREAD_INIT =>
-- if the thread is !used or exited return error
-- call scheduler to check queued status
-- if queued return error
-- call scheduler to enqueue thread ID
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- encoded thread ID
ENA <= '1';
next_state <= AT_ENTRY_RD_WAIT;
when AT_ENTRY_RD_WAIT =>
next_state <= AT_ENTRY_AVAILABLE;
when AT_ENTRY_AVAILABLE =>
-- check to see if the thread is used and !exited
if (DOA(26 to 27) = "11") then
-- thread is used and not exited
-- call scheduler isQueued
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29);
tm2sch_opcode_next <= OPCODE_IS_QUEUED;
next_state <= ISQUEUED_WAIT_ACK;
return_state_next <= AT_CHECK_ISQUEUE;
else
-- thread is unused or exited (or both)
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when AT_CHECK_ISQUEUE =>
-- Check to see if the thread is queued
if sch2tm_data(7) = '0' then
-- Thread is not queued, call scheduler's enqueue
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29);
tm2sch_opcode_next <= OPCODE_ENQUEUE;
next_state <= ENQUEUE_WAIT_ACK;
return_state_next <= AT_CHECK_ENQUEUE;
else
-- Thread is queued, return error
bus_data_out_next <= DOA(0 to 7) & sch2tm_data & DOA(16 to 27) & THREAD_ALREADY_QUEUED;
next_state <= END_TRANSACTION;
end if;
when AT_CHECK_ENQUEUE =>
-- Check to make sure the scheduler added the thread correctly
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 7) & sch2tm_data & Z32(16 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
bus_data_out_next <= Z32(0 to 7) & sch2tm_data & Z32(16 to 31);
next_state <= END_TRANSACTION;
end if;
when ISQUEUED_WAIT_ACK =>
-- wait for the scheduler to acknowledge the isqueued request
if sch2tm_busy = '0' then
-- scheduler has not yet responded to request
next_state <= current_state;
elsif (timeout_expired = '1') then
-- timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISQUEUED;
next_state <= RAISE_EXCEPTION;
else
-- scheduler acknowledged request, lower request line
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= ISQUEUED_WAIT_COMPLETE;
end if;
when ISQUEUED_WAIT_COMPLETE =>
-- wait for the scheduler to complete the isqueued request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
next_state <= current_state;
elsif (timeout_expired = '1') then
-- timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISQUEUED;
next_state <= RAISE_EXCEPTION;
else
-- scheduler finished request, and (should) have data on data_return line
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when ENQUEUE_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the enqueue request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ENQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= ENQUEUE_WAIT_COMPLETE;
end if;
when ENQUEUE_WAIT_COMPLETE =>
-- wait for the scheduler to complete the enqueue request
if sch2tm_busy = '1' then
-- scheduler has notyet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ENQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when DEQUEUE_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the dequeue request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_DEQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= DEQUEUE_WAIT_COMPLETE;
end if;
when DEQUEUE_WAIT_COMPLETE =>
-- wait for the scheduler to complete the dequeue request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_DEQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when IS_QUEUE_EMPTY_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the is queue empty request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISEMPTY;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= IS_QUEUE_EMPTY_WAIT_COMPLETE;
end if;
when IS_QUEUE_EMPTY_WAIT_COMPLETE =>
-- wait for the scheduler to complete the is queue empty request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISEMPTY;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when YIELD_THREAD_INIT =>
-- Retrieve the status of the current cpu thread
ADDRA <= '0' & current_cpu_thread(0 to 7);
ENA <= '1';
next_state <= YIELD_CURRENT_THREAD_RD_WAIT;
when YIELD_CURRENT_THREAD_RD_WAIT =>
next_state <= YIELD_CURRENT_THREAD_AVAILABLE;
when YIELD_CURRENT_THREAD_AVAILABLE =>
-- check to see if thread's status is used,~exited,~queued
if (DOA(26 to 27) = "11") then
-- check to see if the scheduler's queue is empty
tm2sch_request_next <= '1';
tm2sch_opcode_next <= OPCODE_IS_EMPTY;
tm2sch_data_next <= Z32(0 to 7);
next_state <= IS_QUEUE_EMPTY_WAIT_ACK;
return_state_next <= YIELD_CHECK_QUEUE_EMPTY;
else
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when YIELD_CHECK_QUEUE_EMPTY =>
if (sch2tm_data(7) = '1') then
-- Queue is empty, return the current thread id
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread;
next_state <= END_TRANSACTION;
else
-- Queue is not empty, add currently running thread to Q and then follow with a DEQ
next_state <= YIELD_ENQUEUE;
end if;
when YIELD_ENQUEUE =>
tm2sch_request_next <= '1';
tm2sch_opcode_next <= OPCODE_ENQUEUE;
tm2sch_data_next <= current_cpu_thread(0 to 7);
next_state <= ENQUEUE_WAIT_ACK;
return_state_next <= YIELD_CHECK_ENQUEUE;
when YIELD_CHECK_ENQUEUE =>
if (sch2tm_data(7) = '0') then
-- ENQ was successful, now DEQ to get next scheduling decision
current_cpu_thread_next <= sch2tm_next_id & '0'; -- update the currently running thread to the one that is scheduled to run next (AKA to be DEQ'd)
-- next_state <= YIELD_dummy_is_queued;
next_state <= YIELD_DEQUEUE;
else
-- ENQ failed, return error to caller
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
end if;
-- when YIELD_dummy_is_queued =>
-- tm2sch_request_next <= '1'; -- request the dummy is_queued operation
-- tm2sch_opcode_next <= OPCODE_IS_QUEUED;
-- tm2sch_data_next <= "11111111";
-- next_state <= ISQUEUED_WAIT_ACK;
-- return_state_next <= YIELD_DEQUEUE;
when YIELD_DEQUEUE =>
tm2sch_request_next <= '1'; -- request the DEQ operation to remove the thread to run from Q
tm2sch_opcode_next <= OPCODE_DEQUEUE;
tm2sch_data_next <= Z32(0 to 7);
next_state <= DEQUEUE_WAIT_ACK;
return_state_next <= YIELD_CHECK_DEQUEUE;
when YIELD_CHECK_DEQUEUE =>
if (sch2tm_data(7) = '1') then
-- error during DEQ...
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- DEQ completed successfully, end operation
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread(0 to 7) & '0'; -- setup the return value of the next thread to run (now in the currently running thread)
next_state <= END_TRANSACTION;
end if;
when EXIT_THREAD_INIT =>
bus_data_out_next <= Z32; -- change if failure occurs
ADDRA <= '0' & Bus2IP_Addr(22 to 29);
ENA <= '1';
next_state <= EXIT_THREAD_RD_WAIT;
when EXIT_THREAD_RD_WAIT =>
next_state <= EXIT_THREAD_AVAIABLE;
when EXIT_THREAD_AVAIABLE =>
-- full entry for the current_thread is required in later states
current_status_next <= DOA(0 to 31);
ADDRA <= '0' & Bus2IP_Addr(22 to 29);
ENA <= '1';
WEA <= '1';
if (DOA(24) = '1') then
-- Thread is detached
-- Make the thread status used and exited.
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= END_TRANSACTION;
elsif (DOA(25) = '1') then
-- Thread is joined
-- Make the thread status used and exited, and wake the parent
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= EXIT_READ_PARENT;
else
-- Thread is not detached and still joinable
-- Set the thread status to used and exited
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= END_TRANSACTION;
end if;
when EXIT_READ_PARENT =>
-- The thread that is exiting was joined, wake the parent up
ADDRA <= '0' & current_status(16 to 23);
ENA <= '1';
next_state <= EXIT_READ_PARENT_WAIT;
when EXIT_READ_PARENT_WAIT =>
next_state <= EXIT_READ_PARENT_AVAILABLE;
when EXIT_READ_PARENT_AVAILABLE =>
-- Make sure the parent thread is used and not exited
if (DOA(26 to 27) = "11") then
-- Parent thread is used and not exited.
-- Add the parent thread tothe scheduler's queue
tm2sch_opcode_next <= OPCODE_ENQUEUE;
tm2sch_request_next <= '1';
tm2sch_data_next <= current_status(16 to 23);
return_state_next <= EXIT_CHECK_ENQUEUE;
next_state <= ENQUEUE_WAIT_ACK;
else
-- Parent thread is either unused or exited, neither of which it should be
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when EXIT_CHECK_ENQUEUE =>
-- Check to make sure the scheduler added the thread correctly
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
bus_data_out_next <= Z32(0 to 31);
next_state <= END_TRANSACTION;
end if;
when RAISE_EXCEPTION =>
-- NOTE !!! You must assign Exception_Cause
-- where-ever you assign next_state <= RAISE_EXCEPTION;
Exception_Address_next <= Bus2IP_Addr(0 to 31); -- save address
access_error <= '1'; -- assert interrupt
my_ack <= '1'; -- done, "ack" the bus
next_state <= END_TRANSACTION_WAIT;
when END_TRANSACTION =>
IP2Bus_Data <= bus_data_out;
my_ack <= '1'; -- done, "ack" the bus
next_state <= END_TRANSACTION_WAIT;
when END_TRANSACTION_WAIT =>
if( Bus2IP_RdCE(0)='0' and Bus2IP_WrCE(0)='0' ) then
next_state <= IDLE_STATE;
else
next_state <= current_state;
end if;
when others =>
Exception_Cause_next <= EXCEPTION_ILLEGAL_STATE;
next_state <= RAISE_EXCEPTION;
end case; -- case current_state
end process SWTM_LOGIC_PROC;
-------------------------------------------------------------------
-- ICON core instance
-------------------------------------------------------------------
-- -- simulation translate_off
-- i_icon : chipscope_icon_v1_03_a
-- port map
-- (
-- control0 => control0
-- );
-- -- simulation translate_on
--
-- COUNTER_PROC : process (Bus2IP_Clk) is
-- begin
-- if( Bus2IP_Clk'event and Bus2IP_Clk='1' ) then
-- if (Bus2IP_Reset = '1') then
-- my_counter <= (others => '0');
-- else
-- my_counter <= my_counter + 1;
-- end if;
-- end if;
-- end process COUNTER_PROC;
--
-- --
--
-- -------------------------------------------------------------------
-- -- ILA core instance
-- -------------------------------------------------------------------
--
-- -- simulation translate_off
-- i_ila : chipscope_ila_v1_02_a
-- port map
-- (
-- control => control0,
-- clk => Bus2IP_Clk,
-- trig0(63 downto 32) => Bus2IP_Data,
-- trig0(31 downto 0) => my_counter, -- 64 bits -- Add in chipscope signals and run on board!!!!
-- trig1(63 downto 32) => Bus2IP_Addr,
-- trig1(31 downto 0) => bus_data_out, -- 64 bits
-- trig2 => current_status, -- 32 bits
-- trig3 => Bus2IP_Addr, -- 32 bits
-- trig4(0) => Bus2IP_RdCE, -- 16 bits
-- trig4(1) => Bus2IP_WrCE,
-- trig4(2) => my_ack,
-- trig4(3) => my_tout_sup,
-- trig4(4) => Bus2IP_Reset,
-- trig4(5) => '0',
-- trig4(6) => tm2sch_request_reg,
-- trig4(7) => next_ID(0),
-- trig4(8) => next_ID(1),
-- trig4(9) => next_ID(2),
-- trig4(10) => next_ID(3),
-- trig4(11) => next_ID(4),
-- trig4(12) => next_ID(5),
-- trig4(13) => next_ID(6),
-- trig4(14) => next_ID(7),
-- trig4(15) => next_ID(8)
-- );
-- -- simulation translate_on
--
end IMP;
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
--
-- Title Thread Manager
--
-- 26 Jul 2004: Mike Finley: Original author
-- 08 Jun 2005: Erik Anderson: Changes for new interface between TM and
-- Scheduler. Also adding function isQueue().
-- 15 Apr 2009: Jim Stevens: Ported to PLB version 4.6.
--
---------------------------------------------------------------------------
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_CS -- Bus to IP chip select
-- Bus2IP_RNW -- Bus to IP read/not write
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 1;
-- DO NOT EDIT ABOVE THIS LINE ---------------------
C_RESET_TIMEOUT : natural := 4096
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_CS : in std_logic_vector(0 to 0);
Bus2IP_RNW : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic;
-- DO NOT EDIT ABOVE THIS LINE ---------------------
Access_Intr : out std_logic;
Scheduler_Reset : out std_logic;
Scheduler_Reset_Done : in std_logic;
Semaphore_Reset : out std_logic;
Semaphore_Reset_Done : in std_logic;
SpinLock_Reset : out std_logic;
SpinLock_Reset_Done : in std_logic;
User_IP_Reset : out std_logic;
User_IP_Reset_Done : in std_logic;
Soft_Stop : out std_logic;
tm2sch_cpu_thread_id : out std_logic_vector(0 to 7);
tm2sch_opcode : out std_logic_vector(0 to 5);
tm2sch_data : out std_logic_vector(0 to 7);
tm2sch_request : out std_logic;
tm2sch_DOB : out std_logic_vector(0 to 31);
sch2tm_ADDRB : in std_logic_vector(0 to 8);
sch2tm_DIB : in std_logic_vector(0 to 31);
sch2tm_ENB : in std_logic;
sch2tm_WEB : in std_logic;
sch2tm_busy : in std_logic;
sch2tm_data : in std_logic_vector(0 to 7);
sch2tm_next_id : in std_logic_vector(0 to 7);
sch2tm_next_id_valid : in std_logic
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
-- Define the memory map for each register, Address[16 to 21]
--
constant C_CLEAR_THREAD : std_logic_vector(0 to 5) := "000000";
constant C_JOIN_THREAD : std_logic_vector(0 to 5) := "000001";
constant C_READ_THREAD : std_logic_vector(0 to 5) := "000011";
constant C_ADD_THREAD : std_logic_vector(0 to 5) := "000100";
constant C_CREATE_THREAD_J : std_logic_vector(0 to 5) := "000101";
constant C_CREATE_THREAD_D : std_logic_vector(0 to 5) := "000110";
constant C_EXIT_THREAD : std_logic_vector(0 to 5) := "000111";
constant C_NEXT_THREAD : std_logic_vector(0 to 5) := "001000";
constant C_YIELD_THREAD : std_logic_vector(0 to 5) := "001001";
constant C_CURRENT_THREAD : std_logic_vector(0 to 5) := "010000";
constant C_IS_DETACHED : std_logic_vector(0 to 5) := "011000";
constant C_IS_QUEUED : std_logic_vector(0 to 5) := "011001";
constant C_EXCEPTION_ADDR : std_logic_vector(0 to 5) := "010011";
constant C_EXCEPTION_REG : std_logic_vector(0 to 5) := "010100";
constant C_SOFT_START : std_logic_vector(0 to 5) := "010101";
constant C_SOFT_STOP : std_logic_vector(0 to 5) := "010110";
constant C_SOFT_RESET : std_logic_vector(0 to 5) := "010111";
constant C_SCHED_LINES : std_logic_vector(0 to 5) := "011010";
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
constant OPCODE_IS_QUEUED : std_logic_vector(0 to 5) := "000001";
constant OPCODE_ENQUEUE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DEQUEUE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_IS_EMPTY : std_logic_vector(0 to 5) := "000110";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
constant H32 : std_logic_vector(0 to 31) := (others => '1');
constant MAX_QUEUE_SIZE : std_logic_vector(0 to 7) := (others => '1');
constant TOUT_CYCLES : natural := 3; -- assert timeout suppress
signal cycle_count : std_logic_vector(0 to 15);
signal timeout_expired : std_logic;
-- Extended Thread Error Codes returned in lower 4 bits
constant ERROR_IN_STATUS : std_logic_vector(0 to 3) := "0001";
constant THREAD_ALREADY_TERMINATED : std_logic_vector(0 to 3) := "0011";
constant THREAD_ALREADY_QUEUED : std_logic_vector(0 to 3) := "0101";
constant ERROR_FROM_SCHEDULER : std_logic_vector(0 to 3) := "0111";
constant JOIN_ERROR_CHILD_JOINED : std_logic_vector(0 to 3) := "1001";
constant JOIN_ERROR_NOT_CHILD : std_logic_vector(0 to 3) := "1011";
constant JOIN_ERROR_CHILD_DETACHED : std_logic_vector(0 to 3) := "1101";
constant JOIN_ERROR_CHILD_NOT_USED : std_logic_vector(0 to 3) := "1111";
constant JOIN_ERROR_UNKNOWN : std_logic_vector(0 to 3) := "0001";
constant CLEAR_ERROR_NOT_USED : std_logic_vector(0 to 3) := "1001";
-- Exception "cause" returned in Exception register
constant EXCEPTION_WRITE_TO_READ_ONLY : std_logic_vector(0 to 3) := "0001";
constant EXCEPTION_UNDEFINED_ADDRESS : std_logic_vector(0 to 3) := "0010";
constant EXCEPTION_TO_SOFT_RESET : std_logic_vector(0 to 3) := "0011";
constant EXCEPTION_TO_SCHD_ISQUEUED : std_logic_vector(0 to 3) := "0100";
constant EXCEPTION_TO_SCHD_ENQUEUE : std_logic_vector(0 to 3) := "0101";
constant EXCEPTION_TO_SCHD_DEQUEUE : std_logic_vector(0 to 3) := "0110";
constant EXCEPTION_TO_SCHD_ISEMPTY : std_logic_vector(0 to 3) := "0111";
constant EXCEPTION_TO_SCHD_NEXT_THREAD : std_logic_vector(0 to 3) := "1000";
constant EXCEPTION_SCHD_INVALID_THREAD : std_logic_vector(0 to 3) := "1001";
constant EXCEPTION_ILLEGAL_STATE : std_logic_vector(0 to 3) := "1111";
-- BRAM constants
constant BRAM_ADDRESS_BITS : integer := 9;
constant BRAM_DATA_BITS : integer := 32;
-- Address,Cause for access exceptions
--
signal Exception_Address : std_logic_vector(0 to 31);
signal Exception_Address_next : std_logic_vector(0 to 31);
signal Exception_Cause : std_logic_vector(0 to 3);
signal Exception_Cause_next : std_logic_vector(0 to 3);
signal access_error : std_logic;
-- Debug control signals
--
-- Soft reset signals, LSB = SWTM reset; reset IP(s) if '1'
-- Resets done, handshake from IPs if done resetting(1)
-- core_stop , halt state machines at next appropriate point if '1'
--
signal soft_resets : std_logic_vector(0 to 4);
signal soft_resets_next : std_logic_vector(0 to 4);
signal resets_done : std_logic_vector(0 to 4);
signal reset_status : std_logic_vector(0 to 4);
signal reset_status_next : std_logic_vector(0 to 4);
signal core_stop : std_logic;
signal core_stop_next : std_logic;
-- Declarations for each register
-- Current thread,Idle thread : bits 0..7 = ID, bit 8 = '1' = invalid
signal current_cpu_thread : std_logic_vector(0 to 8);
signal current_cpu_thread_next : std_logic_vector(0 to 8);
-- internal signals
signal next_ID : std_logic_vector(0 to 8);
signal next_ID_next : std_logic_vector(0 to 8);
signal temp_thread_id : std_logic_vector(0 to 7);
signal temp_thread_id_next : std_logic_vector(0 to 7);
signal temp_thread_id2 : std_logic_vector(0 to 7);
signal temp_thread_id2_next : std_logic_vector(0 to 7);
signal reset_ID : std_logic_vector(0 to 8);
type swtm_state_type is
(IDLE_STATE,
SOFT_RESET_WRITE_INIT,
SOFT_RESET_INIT_TABLE,
SOFT_RESET_WAIT,
READ_THREAD_INIT,
READ_THREAD_RD_WAIT,
READ_THREAD_DONE,
CREATE_THREAD_INIT,
CT_NEW_ID_RD_WAIT,
CT_NEW_ID_AVAILABLE,
CT_ENTRY_RD_WAIT,
CT_ENTRY_AVAILABLE,
CT_DONE,
CLEAR_THREAD_INIT,
CLEAR_ENTRY_RD_WAIT,
CLEAR_ENTRY_AVAIABLE,
DEALLOCATE_ID,
DEALLOCATE_NEXT_ENTRY_RD_WAIT,
DEALLOCATE_NEXT_ENTRY_AVAIL,
JOIN_THREAD_INIT,
JOIN_RD_ENTRY_RD_WAIT,
JOIN_RD_ENTRY_AVAILABLE,
IS_QUEUED_INIT,
IS_QUEUED_DONE,
IS_DETACHED_THREAD_INIT,
IS_DETACHED_ENTRY_RD_WAIT,
IS_DETACHED_ENTRY_AVAILABLE,
NEXT_THREAD_INIT,
NEXT_THREAD_WAIT4_SCHEDULER,
NEXT_THREAD_RD_WAIT,
NEXT_THREAD_AVAILABLE,
NEXT_THREAD_CHECK_DEQUEUE,
ADD_THREAD_INIT,
AT_ENTRY_RD_WAIT,
AT_ENTRY_AVAILABLE,
AT_ISQUEUED_WAIT,
AT_CHECK_ISQUEUE,
AT_ENQUEUE_WAIT,
AT_CHECK_ENQUEUE,
ISQUEUED_WAIT_ACK,
ISQUEUED_WAIT_COMPLETE,
ENQUEUE_WAIT_ACK,
ENQUEUE_WAIT_COMPLETE,
DEQUEUE_WAIT_ACK,
DEQUEUE_WAIT_COMPLETE,
IS_QUEUE_EMPTY_WAIT_ACK,
IS_QUEUE_EMPTY_WAIT_COMPLETE,
YIELD_THREAD_INIT,
YIELD_CURRENT_THREAD_RD_WAIT,
YIELD_CURRENT_THREAD_AVAILABLE,
YIELD_CHECK_QUEUE_EMPTY,
YIELD_ENQUEUE,
YIELD_CHECK_ENQUEUE,
-- YIELD_dummy_is_queued,
YIELD_DEQUEUE,
YIELD_CHECK_DEQUEUE,
EXIT_THREAD_INIT,
EXIT_THREAD_RD_WAIT,
EXIT_THREAD_AVAIABLE,
EXIT_DEALLOCATE,
EXIT_NEXT_THREAD_RD_WAIT,
EXIT_NEXT_THREAD_AVAILABLE,
EXIT_READ_PARENT,
EXIT_READ_PARENT_WAIT,
EXIT_READ_PARENT_AVAILABLE,
EXIT_CHECK_ENQUEUE,
RAISE_EXCEPTION,
END_TRANSACTION,
END_TRANSACTION_WAIT);
signal current_state, next_state : swtm_state_type := IDLE_STATE;
signal return_state, return_state_next : swtm_state_type := IDLE_STATE;
signal bus_data_out : std_logic_vector(0 to 31);
signal bus_data_out_next : std_logic_vector(0 to 31);
signal current_status : std_logic_vector(0 to 31);
signal current_status_next : std_logic_vector(0 to 31);
signal Swtm_Reset_Done : std_logic;
signal Swtm_Reset_Done_next : std_logic;
signal new_ID : std_logic_vector(0 to 7);
signal new_ID_next : std_logic_vector(0 to 7);
signal tm2sch_request_next : std_logic;
signal tm2sch_request_reg : std_logic;
signal tm2sch_data_next : std_logic_vector(0 to 7);
signal tm2sch_data_reg : std_logic_vector(0 to 7);
signal tm2sch_opcode_next : std_logic_vector(0 to 5);
signal tm2sch_opcode_reg : std_logic_vector(0 to 5);
-- Signals for thread table BRAM
signal ENA : std_logic;
signal WEA : std_logic;
signal ADDRA : std_logic_vector(0 to BRAM_ADDRESS_BITS - 1);
signal DIA : std_logic_vector(0 to BRAM_DATA_BITS - 1);
signal DOA : std_logic_vector(0 to BRAM_DATA_BITS - 1);
alias addr :std_logic_vector(0 to 5) is Bus2IP_Addr(16 to 21);
---------------------------------------------------------------------------
-- Component Instantiation of inferred dual ported block RAM
---------------------------------------------------------------------------
component infer_bram_dual_port is
generic (
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to ADDRESS_BITS - 1);
DIA : in std_logic_vector(0 to DATA_BITS - 1);
DOA : out std_logic_vector(0 to DATA_BITS - 1);
CLKB : in std_logic;
ENB : in std_logic;
WEB : in std_logic;
ADDRB : in std_logic_vector(0 to ADDRESS_BITS - 1);
DIB : in std_logic_vector(0 to DATA_BITS - 1);
DOB : out std_logic_vector(0 to DATA_BITS - 1)
);
end component infer_bram_dual_port;
-------------------------------------------------------------------
-- ICON core signal declarations
-------------------------------------------------------------------
signal control0 : std_logic_vector(35 downto 0);
signal my_ack, my_tout_sup, my_error, my_sched_req : std_logic; -- TODO: This line might be gone.
signal my_counter : std_logic_vector(0 to 31);
-------------------------------------------------------------------
-- ICON core component declaration
-------------------------------------------------------------------
-- simulation translate_off
--component chipscope_icon_v1_03_a
-- port
-- (
-- control0 : out std_logic_vector(35 downto 0)
-- );
--end component;
-- simulation translate_on
-------------------------------------------------------------------
-- ILA core component declaration
-------------------------------------------------------------------
-- simulation translate_off
--component chipscope_ila_v1_02_a
-- port
-- (
--- control : in std_logic_vector(35 downto 0);
-- clk : in std_logic;
-- trig0 : in std_logic_vector(63 downto 0);
-- trig1 : in std_logic_vector(63 downto 0);
-- trig2 : in std_logic_vector(31 downto 0);
-- trig3 : in std_logic_vector(31 downto 0);
-- trig4 : in std_logic_vector(15 downto 0)
-- );
--end component;
-- simulation translate_on
begin
thread_table_bram : infer_bram_dual_port
generic map (
ADDRESS_BITS => BRAM_ADDRESS_BITS,
DATA_BITS => BRAM_DATA_BITS
)
port map (
CLKA => Bus2IP_Clk,
ENA => ENA,
WEA => WEA,
ADDRA => ADDRA,
DIA => DIA,
DOA => DOA,
CLKB => Bus2IP_Clk,
ENB => sch2tm_ENB,
WEB => sch2tm_WEB,
ADDRB => sch2tm_ADDRB,
DIB => sch2tm_DIB,
DOB => tm2sch_DOB
);
tm2sch_opcode <= tm2sch_opcode_reg;
tm2sch_data <= tm2sch_data_reg;
tm2sch_request <= tm2sch_request_reg;
Soft_Stop <= core_stop;
Scheduler_Reset <= soft_resets(3);
Semaphore_Reset <= soft_resets(2);
SpinLock_Reset <= soft_resets(1);
User_IP_Reset <= soft_resets(0);
Access_Intr <= access_error;
CYCLE_PROC : process (Bus2IP_Clk, Bus2IP_CS) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk='1' ) then
if( Bus2IP_CS(0) = '0' ) then
cycle_count <= (others => '0');
else
cycle_count <= cycle_count + 1;
end if;
end if;
end process CYCLE_PROC;
--
-- create a counter for the number of elapsed cycles
-- in each bus transaction.
-- assert TimeOut suppress when count = TOUT_CYCLES
--
CYCLE_CONTROL : process( cycle_count ) is
begin
IP2Bus_Error <= '0'; -- no error
--
-- count the number of elapsed clock cycles in transaction
--
if cycle_count < C_RESET_TIMEOUT then
timeout_expired <= '0';
else
--timeout_expired <= '1';
timeout_expired <= '0'; -- Disable timeouts.
end if;
--
-- activate time out suppress if count exceeds TOUT_CYCLES
-- edk. Why isn't this done inside the clk_event ???
--
-- if cycle_count > TOUT_CYCLES then
-- --IP2Bus_ToutSup <= '1'; -- halt time out counter
-- my_tout_sup <= '1'; -- halt time out counter
-- else
-- --IP2Bus_ToutSup <= '0'; -- release
-- my_tout_sup <= '0'; -- release
-- end if;
end process CYCLE_CONTROL;
-- IP2Bus_ToutSup <= my_tout_sup;
RESET_PROC : process (Bus2IP_Clk, addr, current_state)
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( addr = C_SOFT_RESET and current_state = SOFT_RESET_WRITE_INIT ) then
reset_ID <= (others => '0');
else
reset_ID <= reset_ID + 1;
end if;
end if;
end process;
ACK_PROC : process(my_ack, Bus2IP_RdCE, Bus2IP_WrCE)
begin
if (Bus2IP_RdCE(0) = '1') then
IP2Bus_RdAck <= my_ack;
else
IP2Bus_RdAck <= '0';
end if;
if (Bus2IP_WrCE(0) = '1') then
IP2Bus_WrAck <= my_ack;
else
IP2Bus_WrAck <= '0';
end if;
end process;
SWTM_STATE_PROC : process (Bus2IP_Clk, core_stop_next, new_ID_next, next_ID_next, temp_thread_id_next, temp_thread_id2_next, current_cpu_thread_next, Current_status_next, soft_resets_next, reset_status_next, Swtm_Reset_Done_next, Scheduler_Reset_Done, Semaphore_Reset_Done, SpinLock_Reset_Done, User_IP_Reset_Done, next_state, return_state_next, Bus2IP_Reset,Exception_Cause_next) is
begin
if (Bus2IP_Clk'event and (Bus2IP_Clk = '1')) then
core_stop <= core_stop_next;
new_ID <= new_ID_next;
next_ID <= next_ID_next;
temp_thread_id <= temp_thread_id_next;
temp_thread_id2 <= temp_thread_id2_next;
current_cpu_thread <= current_cpu_thread_next;
tm2sch_cpu_thread_id <= current_cpu_thread_next(0 to 7);
tm2sch_data_reg <= tm2sch_data_next;
tm2sch_opcode_reg <= tm2sch_opcode_next;
tm2sch_request_reg <= tm2sch_request_next;
current_status <= current_status_next;
Exception_Address <= Exception_Address_next;
Exception_Cause <= Exception_Cause_next;
soft_resets <= soft_resets_next;
reset_status <= reset_status_next;
bus_data_out <= bus_data_out_next;
Swtm_Reset_Done <= Swtm_Reset_Done_next;
resets_done(4) <= Swtm_Reset_Done_next;
resets_done(3) <= Scheduler_Reset_Done;
resets_done(2) <= Semaphore_Reset_Done;
resets_done(1) <= SpinLock_Reset_Done;
resets_done(0) <= User_IP_Reset_Done;
return_state <= return_state_next;
if( Bus2IP_Reset = '1' ) then
current_state <= IDLE_STATE;
else
current_state <= next_state;
end if;
end if;
end process SWTM_STATE_PROC;
-- IP2Bus_Ack <= my_ack; -- pulse(010) to end bus transaction
SWTM_LOGIC_PROC : process (current_state, core_stop, new_ID, next_ID, current_cpu_thread, current_status, reset_status, Swtm_Reset_Done, soft_resets, Bus2IP_Addr, Bus2IP_Data, Exception_Address, Bus2IP_WrCE, addr, Bus2IP_RdCE, reset_ID, resets_done, timeout_expired, DOA, sch2tm_next_id_valid, sch2tm_next_id, sch2tm_busy, bus_data_out, Exception_Cause, tm2sch_request_reg, tm2sch_data_reg, tm2sch_opcode_reg, temp_thread_id, temp_thread_id2) is
begin
-- -------------------------------------------------
-- default output signal assignments
-- -------------------------------------------------
my_ack <= '0'; -- pulse(010) to end bus transaction
access_error <= '0'; -- pulse(010) for access error interrupt
IP2Bus_Data <= (others => '0');
ADDRA <= (others => '0');
ENA <= '0';
WEA <= '0';
DIA <= (others => '0');
-- -------------------------------------------------
-- default register assignments
-- -------------------------------------------------
next_state <= current_state;
return_state_next <= return_state;
core_stop_next <= core_stop;
new_ID_next <= new_ID;
next_ID_next <= next_ID;
temp_thread_id_next <= temp_thread_id;
temp_thread_id2_next <= temp_thread_id2;
current_cpu_thread_next <= current_cpu_thread;
current_status_next <= current_status;
Exception_Address_next <= Exception_Address;
reset_status_next <= reset_status;
Swtm_Reset_Done_next <= Swtm_Reset_Done;
Exception_Cause_next <= Exception_Cause;
tm2sch_request_next <= tm2sch_request_reg;
tm2sch_data_next <= tm2sch_data_reg;
tm2sch_opcode_next <= tm2sch_opcode_reg;
bus_data_out_next <= bus_data_out;
soft_resets_next <= soft_resets;
case current_state is
-- Command (addr) decode whenever we are waiting for something new to do.
when IDLE_STATE =>
bus_data_out_next <= (others => '0');
if (Bus2IP_WrCE(0) = '1') then
case addr is
when C_SOFT_START =>
-- Any write to soft_start address clears
-- all soft reset signals and the Soft_Stop signal
soft_resets_next <= (others => '0');
swtm_reset_done_next <= '0'; -- clear SWTM's reset done
core_stop_next <= '0'; -- clear core_stop
next_state <= END_TRANSACTION;
when C_SOFT_STOP =>
-- write any data to Soft_Stop to assert the Soft_Stop signal
core_stop_next <= '1';
next_state <= END_TRANSACTION;
when C_SOFT_RESET =>
next_state <= SOFT_RESET_WRITE_INIT;
when C_READ_THREAD =>
if (core_stop = '1') then
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
WEA <= '1';
ENA <= '1';
DIA <= Bus2IP_Data(0 to 31);
next_state <= END_TRANSACTION;
else
Exception_Cause_next <= EXCEPTION_WRITE_TO_READ_ONLY;
next_state <= RAISE_EXCEPTION;
end if;
when others =>
Exception_Cause_next <= EXCEPTION_UNDEFINED_ADDRESS;
next_state <= RAISE_EXCEPTION;
end case;
elsif (Bus2IP_RdCE(0) = '1') then
case addr is
when C_SOFT_START =>
bus_data_out_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_SOFT_STOP =>
-- returns signal level in LSB on read
bus_data_out_next <= Z32(0 to 30) & core_stop;
next_state <= END_TRANSACTION;
when C_SOFT_RESET =>
-- returns 1's in bit positions that failed
bus_data_out_next <= Z32(0 to 26) & reset_status;
next_state <= END_TRANSACTION;
when C_CURRENT_THREAD =>
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread;
next_state <= END_TRANSACTION;
when C_EXCEPTION_ADDR =>
bus_data_out_next <= Exception_Address;
Exception_Address_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_EXCEPTION_REG =>
bus_data_out_next <= Z32(0 to 27) & Exception_Cause;
Exception_Cause_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_SCHED_LINES =>
bus_data_out_next <= Z32(0 to 6) & sch2tm_busy & sch2tm_data &
Z32(16 to 22) & sch2tm_next_id_valid &
sch2tm_next_id;
next_state <= END_TRANSACTION;
when C_READ_THREAD => next_state <= READ_THREAD_INIT;
when C_CREATE_THREAD_D => next_state <= CREATE_THREAD_INIT;
when C_CREATE_THREAD_J => next_state <= CREATE_THREAD_INIT;
when C_CLEAR_THREAD => next_state <= CLEAR_THREAD_INIT;
when C_JOIN_THREAD => next_state <= JOIN_THREAD_INIT;
when C_IS_DETACHED => next_state <= IS_DETACHED_THREAD_INIT;
when C_IS_QUEUED => next_state <= IS_QUEUED_INIT;
when C_NEXT_THREAD => next_state <= NEXT_THREAD_INIT;
when C_ADD_THREAD => next_state <= ADD_THREAD_INIT;
when C_YIELD_THREAD => next_state <= YIELD_THREAD_INIT;
when C_EXIT_THREAD => next_state <= EXIT_THREAD_INIT;
when others =>
Exception_Cause_next <= EXCEPTION_UNDEFINED_ADDRESS;
next_state <= RAISE_EXCEPTION;
end case;
end if;
--
-- read/write to the soft resets register (1 bit per IP)
-- write '1' to reset, reads '1' if timeout error occured
-- before IP reports finished
--
-- SW Thread Manager = bit#4 (LSB)
-- Scheduler = bit#3
-- Semaphore = bit#2
-- SpinLock = bit#1
-- User_IP = bit#0
--
when SOFT_RESET_WRITE_INIT =>
soft_resets_next <= Bus2IP_Data(27 to 31);
reset_status_next <= (others => '0');
swtm_reset_done_next <= '0'; -- clear SWTM's reset_done
if (Bus2IP_Data(31) = '1') then -- soft_resets(4)
--
-- perform a soft reset on SWTM
--
bus_data_out_next <= (others => '0');
new_ID_next <= (others => '0');
next_ID_next <= (others => '0');
temp_thread_id_next <= (others => '0');
current_cpu_thread_next <= Z32(0 to 7) & '1';
core_stop_next <= '0';
tm2sch_opcode_next <= OPCODE_NOOP;
tm2sch_data_next <= (others => '0');
tm2sch_request_next <= '0';
next_state <= SOFT_RESET_INIT_TABLE;
else
next_state <= SOFT_RESET_WAIT;
end if;
-- initialize the thread ID table to all zeros
-- and the next available stack to 0..255
when SOFT_RESET_INIT_TABLE =>
ADDRA <= reset_ID;
ENA <= '1';
WEA <= '1';
if( reset_ID(0) = '0' ) then
-- init available ID stack & thread ID table
DIA <= reset_ID(1 to 8) & Z32(0 to 23);
else
-- clear 2nd half of table (unused)
DIA <= Z32(0 to 31);
end if;
if( reset_ID = H32(0 to 8) ) then
swtm_reset_done_next<= '1'; -- done
next_state <= soft_reset_wait;
end if;
-- wait for all IPs to finish initialization or
-- the maximum time to be exceeded then
-- ack to finish transaction
when SOFT_RESET_WAIT =>
if (resets_done = soft_resets) then -- done
next_state <= END_TRANSACTION;
elsif (timeout_expired = '1') then
reset_status_next <= (resets_done xor soft_resets);
Exception_Cause_next <= EXCEPTION_TO_SOFT_RESET;
next_state <= RAISE_EXCEPTION; -- timeout
else
next_state <= current_state;
end if;
when READ_THREAD_INIT =>
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
WEA <= '0';
ENA <= '1';
next_state <= READ_THREAD_RD_WAIT;
when READ_THREAD_RD_WAIT =>
next_state <= READ_THREAD_DONE;
when READ_THREAD_DONE =>
bus_data_out_next <= DOA;
next_state <= END_TRANSACTION;
when CREATE_THREAD_INIT =>
if next_ID(0) = '1' then
-- no IDs available, return with error bit set
--
bus_data_out_next <= Z32(0 to 30) & '1';
next_state <= END_TRANSACTION;
else
-- read next ID from stack
--
ADDRA <= next_ID;
ENA <= '1';
next_state <= CT_NEW_ID_RD_WAIT;
end if;
when CT_NEW_ID_RD_WAIT =>
next_state <= CT_NEW_ID_AVAILABLE;
when CT_NEW_ID_AVAILABLE =>
new_ID_next <= DOA(0 to 7); -- save new ID#
ADDRA <= '0' & DOA(0 to 7); -- point to new thread
ENA <= '1';
next_state <= CT_ENTRY_RD_WAIT;
when CT_ENTRY_RD_WAIT =>
next_state <= CT_ENTRY_AVAILABLE;
when CT_ENTRY_AVAILABLE =>
ADDRA <= '0' & new_ID;
ENA <= '1';
WEA <= '1'; -- enable write to bram
-- Determine if the thread to create is DETACHED / JOINABLE
if addr = C_CREATE_THREAD_D then -- set new thread status
-- create detached
DIA <= DOA(0 to 7) & Z32(0 to 7) &
Z32(0 to 7) & "1011" & Z32(0 to 3);
else
-- create joinable
DIA <= DOA(0 to 7) & Z32(0 to 7) &
current_cpu_thread(0 to 7) & "0011" & Z32(0 to 3);
end if;
next_state <= CT_DONE;
when CT_DONE =>
-- return new ID with no error,
bus_data_out_next <= Z32(0 to 22) & new_ID & '0';
-- point to next available ID
next_ID_next <= next_ID + 1;
next_state <= END_TRANSACTION;
when CLEAR_THREAD_INIT =>
-- clear the encoded thread ID if it is used and exited
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= CLEAR_ENTRY_RD_WAIT;
when CLEAR_ENTRY_RD_WAIT =>
next_state <= CLEAR_ENTRY_AVAIABLE ;
when CLEAR_ENTRY_AVAIABLE =>
if (DOA(26 to 27) = "10") then -- used and exited
bus_data_out_next <= Z32; -- success, return zero
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
WEA <= '1'; -- clear old status but
DIA <= DOA(0 to 7) & Z32(0 to 23); -- preserve ID stack
next_state <= DEALLOCATE_ID;
else
-- error occurred, return thread status w/ LSB=1
bus_data_out_next <= DOA(0 to 27) & CLEAR_ERROR_NOT_USED;
next_state <= END_TRANSACTION;
end if;
when DEALLOCATE_ID =>
if (next_ID /= Z32(0 to 8)) then
ADDRA <= next_ID - 1;
ENA <= '1';
next_ID_next <= next_ID - 1;
next_state <= DEALLOCATE_NEXT_ENTRY_RD_WAIT;
else
next_state <= END_TRANSACTION;
end if;
when DEALLOCATE_NEXT_ENTRY_RD_WAIT =>
next_state <= DEALLOCATE_NEXT_ENTRY_AVAIL;
when DEALLOCATE_NEXT_ENTRY_AVAIL =>
-- put ID back on stack, preserve other bits
ADDRA <= next_ID;
ENA <= '1';
WEA <= '1';
DIA <= Bus2IP_Addr(22 to 29) & DOA(8 to 31);
next_state <= END_TRANSACTION;
when JOIN_THREAD_INIT =>
-- join on the encoded thread ID if its PID = current_thread
-- and its status = used,~joined,~detached
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= JOIN_RD_ENTRY_RD_WAIT;
when JOIN_RD_ENTRY_RD_WAIT =>
next_state <= JOIN_RD_ENTRY_AVAILABLE;
when JOIN_RD_ENTRY_AVAILABLE =>
if ((DOA(16 to 23) & '0' = current_cpu_thread) and -- PID = current thread
(DOA(24 to 25) = "00") and -- ~detached,~joined
(DOA(26 to 27) /= "00")) then -- not unused
if DOA(27) = '0' then
-- thread has already exited, return a WARNING code
bus_data_out_next <= Z32(0 to 27) & THREAD_ALREADY_TERMINATED;
next_state <= END_TRANSACTION;
else
-- thread has not exited
bus_data_out_next <= Z32; -- success, return zero
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
WEA <= '1';
-- clear old status but
-- set joined bit; and preserve all other bits
DIA <= DOA(0 to 24) & '1' & DOA(26 to 31);
next_state <= END_TRANSACTION;
end if;
else
-- An error occured. Determine the error and return correct error code.
if( DOA(24) = '1' ) then
-- trying to join on a detached thread
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_DETACHED;
elsif ( DOA(24 to 25) = "01" ) then
-- tyring to join on a thread that is already joined
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_JOINED;
elsif( DOA(26) = '0' ) then
-- trying to join on a thread that is not used
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_NOT_USED;
elsif( DOA(16 to 23) & '0' /= current_cpu_thread ) then
-- trying to join to a thread that is not the current thread's child
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_NOT_CHILD;
else
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_UNKNOWN;
end if;
next_state <= END_TRANSACTION;
end if;
when IS_DETACHED_THREAD_INIT =>
-- Returns a 1 if the encoded thread ID is detached, else returns 0
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= IS_DETACHED_ENTRY_RD_WAIT;
when IS_DETACHED_ENTRY_RD_WAIT =>
next_state <= IS_DETACHED_ENTRY_AVAILABLE;
when IS_DETACHED_ENTRY_AVAILABLE =>
if (DOA(24) = '1' and DOA(26) = '1') then
-- Thread is detached, return 1
bus_data_out_next <= Z32(0 to 29) & "10"; -- The 0 in the last bit indicates no error
else
-- Thread is not detached, or not used, return 0
bus_data_out_next <= Z32;
end if;
next_state <= END_TRANSACTION;
when IS_QUEUED_INIT =>
tm2sch_opcode_next <= OPCODE_IS_QUEUED;
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29); -- thread ID
next_state <= ISQUEUED_WAIT_ACK;
return_state_next <= IS_QUEUED_DONE;
when IS_QUEUED_DONE =>
bus_data_out_next <= Z32(0 to 22) & sch2tm_data & '0';
next_state <= END_TRANSACTION;
when NEXT_THREAD_INIT =>
-- Return to the caller the value of the next thread to run
if sch2tm_next_id_valid = '1' then
-- the next thread has been identified,
-- read from Scheduler and check thread status
-- as stored by SWTM for consistency
ADDRA <= '0' & sch2tm_next_id;
ENA <= '1';
next_state <= NEXT_THREAD_RD_WAIT;
else
next_state <= NEXT_THREAD_WAIT4_SCHEDULER;
end if;
when NEXT_THREAD_WAIT4_SCHEDULER =>
if (sch2tm_next_id_valid = '1') then
-- Scheduler has made a scheduling decision
ADDRA <= '0' & sch2tm_next_id;
ENA <= '1';
next_state <= NEXT_THREAD_RD_WAIT;
elsif (timeout_expired = '1') then
-- Timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_NEXT_THREAD;
next_state <= RAISE_EXCEPTION; -- timeout
else
-- Continue waiting for scheduler
next_state <= current_state;
end if;
when NEXT_THREAD_RD_WAIT =>
next_state <= NEXT_THREAD_AVAILABLE;
when NEXT_THREAD_AVAILABLE =>
if DOA(26 to 27) = "11" then
-- thread status is used and not exited
-- dequeue the next_thread_id from the scheduler's queue
current_cpu_thread_next <= sch2tm_next_id & '0';
-- Send dequeue opperation to scheduler
tm2sch_opcode_next <= OPCODE_DEQUEUE;
tm2sch_request_next <= '1';
tm2sch_data_next <= Z32(0 to 7);
next_state <= DEQUEUE_WAIT_ACK;
return_state_next <= NEXT_THREAD_CHECK_DEQUEUE;
else
-- TM and SCHEDULER disagree if thread was used and not exited
-- return thread ID, set error bit and raise exception
bus_data_out_next <= Z32(0 to 22) & sch2tm_next_id & '1';
Exception_Cause_next <= EXCEPTION_SCHD_INVALID_THREAD;
next_state <= RAISE_EXCEPTION; -- timeout
end if;
when NEXT_THREAD_CHECK_DEQUEUE =>
-- Perform a check to make sure scheduler completed successfully
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
-- return the value of the next thread id (which by now is in the current_cpu_thread register)
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread(0 to 7) & '0';
next_state <= END_TRANSACTION;
end if;
when ADD_THREAD_INIT =>
-- if the thread is !used or exited return error
-- call scheduler to check queued status
-- if queued return error
-- call scheduler to enqueue thread ID
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- encoded thread ID
ENA <= '1';
next_state <= AT_ENTRY_RD_WAIT;
when AT_ENTRY_RD_WAIT =>
next_state <= AT_ENTRY_AVAILABLE;
when AT_ENTRY_AVAILABLE =>
-- check to see if the thread is used and !exited
if (DOA(26 to 27) = "11") then
-- thread is used and not exited
-- call scheduler isQueued
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29);
tm2sch_opcode_next <= OPCODE_IS_QUEUED;
next_state <= ISQUEUED_WAIT_ACK;
return_state_next <= AT_CHECK_ISQUEUE;
else
-- thread is unused or exited (or both)
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when AT_CHECK_ISQUEUE =>
-- Check to see if the thread is queued
if sch2tm_data(7) = '0' then
-- Thread is not queued, call scheduler's enqueue
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29);
tm2sch_opcode_next <= OPCODE_ENQUEUE;
next_state <= ENQUEUE_WAIT_ACK;
return_state_next <= AT_CHECK_ENQUEUE;
else
-- Thread is queued, return error
bus_data_out_next <= DOA(0 to 7) & sch2tm_data & DOA(16 to 27) & THREAD_ALREADY_QUEUED;
next_state <= END_TRANSACTION;
end if;
when AT_CHECK_ENQUEUE =>
-- Check to make sure the scheduler added the thread correctly
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 7) & sch2tm_data & Z32(16 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
bus_data_out_next <= Z32(0 to 7) & sch2tm_data & Z32(16 to 31);
next_state <= END_TRANSACTION;
end if;
when ISQUEUED_WAIT_ACK =>
-- wait for the scheduler to acknowledge the isqueued request
if sch2tm_busy = '0' then
-- scheduler has not yet responded to request
next_state <= current_state;
elsif (timeout_expired = '1') then
-- timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISQUEUED;
next_state <= RAISE_EXCEPTION;
else
-- scheduler acknowledged request, lower request line
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= ISQUEUED_WAIT_COMPLETE;
end if;
when ISQUEUED_WAIT_COMPLETE =>
-- wait for the scheduler to complete the isqueued request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
next_state <= current_state;
elsif (timeout_expired = '1') then
-- timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISQUEUED;
next_state <= RAISE_EXCEPTION;
else
-- scheduler finished request, and (should) have data on data_return line
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when ENQUEUE_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the enqueue request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ENQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= ENQUEUE_WAIT_COMPLETE;
end if;
when ENQUEUE_WAIT_COMPLETE =>
-- wait for the scheduler to complete the enqueue request
if sch2tm_busy = '1' then
-- scheduler has notyet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ENQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when DEQUEUE_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the dequeue request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_DEQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= DEQUEUE_WAIT_COMPLETE;
end if;
when DEQUEUE_WAIT_COMPLETE =>
-- wait for the scheduler to complete the dequeue request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_DEQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when IS_QUEUE_EMPTY_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the is queue empty request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISEMPTY;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= IS_QUEUE_EMPTY_WAIT_COMPLETE;
end if;
when IS_QUEUE_EMPTY_WAIT_COMPLETE =>
-- wait for the scheduler to complete the is queue empty request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISEMPTY;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when YIELD_THREAD_INIT =>
-- Retrieve the status of the current cpu thread
ADDRA <= '0' & current_cpu_thread(0 to 7);
ENA <= '1';
next_state <= YIELD_CURRENT_THREAD_RD_WAIT;
when YIELD_CURRENT_THREAD_RD_WAIT =>
next_state <= YIELD_CURRENT_THREAD_AVAILABLE;
when YIELD_CURRENT_THREAD_AVAILABLE =>
-- check to see if thread's status is used,~exited,~queued
if (DOA(26 to 27) = "11") then
-- check to see if the scheduler's queue is empty
tm2sch_request_next <= '1';
tm2sch_opcode_next <= OPCODE_IS_EMPTY;
tm2sch_data_next <= Z32(0 to 7);
next_state <= IS_QUEUE_EMPTY_WAIT_ACK;
return_state_next <= YIELD_CHECK_QUEUE_EMPTY;
else
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when YIELD_CHECK_QUEUE_EMPTY =>
if (sch2tm_data(7) = '1') then
-- Queue is empty, return the current thread id
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread;
next_state <= END_TRANSACTION;
else
-- Queue is not empty, add currently running thread to Q and then follow with a DEQ
next_state <= YIELD_ENQUEUE;
end if;
when YIELD_ENQUEUE =>
tm2sch_request_next <= '1';
tm2sch_opcode_next <= OPCODE_ENQUEUE;
tm2sch_data_next <= current_cpu_thread(0 to 7);
next_state <= ENQUEUE_WAIT_ACK;
return_state_next <= YIELD_CHECK_ENQUEUE;
when YIELD_CHECK_ENQUEUE =>
if (sch2tm_data(7) = '0') then
-- ENQ was successful, now DEQ to get next scheduling decision
current_cpu_thread_next <= sch2tm_next_id & '0'; -- update the currently running thread to the one that is scheduled to run next (AKA to be DEQ'd)
-- next_state <= YIELD_dummy_is_queued;
next_state <= YIELD_DEQUEUE;
else
-- ENQ failed, return error to caller
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
end if;
-- when YIELD_dummy_is_queued =>
-- tm2sch_request_next <= '1'; -- request the dummy is_queued operation
-- tm2sch_opcode_next <= OPCODE_IS_QUEUED;
-- tm2sch_data_next <= "11111111";
-- next_state <= ISQUEUED_WAIT_ACK;
-- return_state_next <= YIELD_DEQUEUE;
when YIELD_DEQUEUE =>
tm2sch_request_next <= '1'; -- request the DEQ operation to remove the thread to run from Q
tm2sch_opcode_next <= OPCODE_DEQUEUE;
tm2sch_data_next <= Z32(0 to 7);
next_state <= DEQUEUE_WAIT_ACK;
return_state_next <= YIELD_CHECK_DEQUEUE;
when YIELD_CHECK_DEQUEUE =>
if (sch2tm_data(7) = '1') then
-- error during DEQ...
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- DEQ completed successfully, end operation
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread(0 to 7) & '0'; -- setup the return value of the next thread to run (now in the currently running thread)
next_state <= END_TRANSACTION;
end if;
when EXIT_THREAD_INIT =>
bus_data_out_next <= Z32; -- change if failure occurs
ADDRA <= '0' & Bus2IP_Addr(22 to 29);
ENA <= '1';
next_state <= EXIT_THREAD_RD_WAIT;
when EXIT_THREAD_RD_WAIT =>
next_state <= EXIT_THREAD_AVAIABLE;
when EXIT_THREAD_AVAIABLE =>
-- full entry for the current_thread is required in later states
current_status_next <= DOA(0 to 31);
ADDRA <= '0' & Bus2IP_Addr(22 to 29);
ENA <= '1';
WEA <= '1';
if (DOA(24) = '1') then
-- Thread is detached
-- Make the thread status used and exited.
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= END_TRANSACTION;
elsif (DOA(25) = '1') then
-- Thread is joined
-- Make the thread status used and exited, and wake the parent
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= EXIT_READ_PARENT;
else
-- Thread is not detached and still joinable
-- Set the thread status to used and exited
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= END_TRANSACTION;
end if;
when EXIT_READ_PARENT =>
-- The thread that is exiting was joined, wake the parent up
ADDRA <= '0' & current_status(16 to 23);
ENA <= '1';
next_state <= EXIT_READ_PARENT_WAIT;
when EXIT_READ_PARENT_WAIT =>
next_state <= EXIT_READ_PARENT_AVAILABLE;
when EXIT_READ_PARENT_AVAILABLE =>
-- Make sure the parent thread is used and not exited
if (DOA(26 to 27) = "11") then
-- Parent thread is used and not exited.
-- Add the parent thread tothe scheduler's queue
tm2sch_opcode_next <= OPCODE_ENQUEUE;
tm2sch_request_next <= '1';
tm2sch_data_next <= current_status(16 to 23);
return_state_next <= EXIT_CHECK_ENQUEUE;
next_state <= ENQUEUE_WAIT_ACK;
else
-- Parent thread is either unused or exited, neither of which it should be
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when EXIT_CHECK_ENQUEUE =>
-- Check to make sure the scheduler added the thread correctly
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
bus_data_out_next <= Z32(0 to 31);
next_state <= END_TRANSACTION;
end if;
when RAISE_EXCEPTION =>
-- NOTE !!! You must assign Exception_Cause
-- where-ever you assign next_state <= RAISE_EXCEPTION;
Exception_Address_next <= Bus2IP_Addr(0 to 31); -- save address
access_error <= '1'; -- assert interrupt
my_ack <= '1'; -- done, "ack" the bus
next_state <= END_TRANSACTION_WAIT;
when END_TRANSACTION =>
IP2Bus_Data <= bus_data_out;
my_ack <= '1'; -- done, "ack" the bus
next_state <= END_TRANSACTION_WAIT;
when END_TRANSACTION_WAIT =>
if( Bus2IP_RdCE(0)='0' and Bus2IP_WrCE(0)='0' ) then
next_state <= IDLE_STATE;
else
next_state <= current_state;
end if;
when others =>
Exception_Cause_next <= EXCEPTION_ILLEGAL_STATE;
next_state <= RAISE_EXCEPTION;
end case; -- case current_state
end process SWTM_LOGIC_PROC;
-------------------------------------------------------------------
-- ICON core instance
-------------------------------------------------------------------
-- -- simulation translate_off
-- i_icon : chipscope_icon_v1_03_a
-- port map
-- (
-- control0 => control0
-- );
-- -- simulation translate_on
--
-- COUNTER_PROC : process (Bus2IP_Clk) is
-- begin
-- if( Bus2IP_Clk'event and Bus2IP_Clk='1' ) then
-- if (Bus2IP_Reset = '1') then
-- my_counter <= (others => '0');
-- else
-- my_counter <= my_counter + 1;
-- end if;
-- end if;
-- end process COUNTER_PROC;
--
-- --
--
-- -------------------------------------------------------------------
-- -- ILA core instance
-- -------------------------------------------------------------------
--
-- -- simulation translate_off
-- i_ila : chipscope_ila_v1_02_a
-- port map
-- (
-- control => control0,
-- clk => Bus2IP_Clk,
-- trig0(63 downto 32) => Bus2IP_Data,
-- trig0(31 downto 0) => my_counter, -- 64 bits -- Add in chipscope signals and run on board!!!!
-- trig1(63 downto 32) => Bus2IP_Addr,
-- trig1(31 downto 0) => bus_data_out, -- 64 bits
-- trig2 => current_status, -- 32 bits
-- trig3 => Bus2IP_Addr, -- 32 bits
-- trig4(0) => Bus2IP_RdCE, -- 16 bits
-- trig4(1) => Bus2IP_WrCE,
-- trig4(2) => my_ack,
-- trig4(3) => my_tout_sup,
-- trig4(4) => Bus2IP_Reset,
-- trig4(5) => '0',
-- trig4(6) => tm2sch_request_reg,
-- trig4(7) => next_ID(0),
-- trig4(8) => next_ID(1),
-- trig4(9) => next_ID(2),
-- trig4(10) => next_ID(3),
-- trig4(11) => next_ID(4),
-- trig4(12) => next_ID(5),
-- trig4(13) => next_ID(6),
-- trig4(14) => next_ID(7),
-- trig4(15) => next_ID(8)
-- );
-- -- simulation translate_on
--
end IMP;
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
--
-- Title Thread Manager
--
-- 26 Jul 2004: Mike Finley: Original author
-- 08 Jun 2005: Erik Anderson: Changes for new interface between TM and
-- Scheduler. Also adding function isQueue().
-- 15 Apr 2009: Jim Stevens: Ported to PLB version 4.6.
--
---------------------------------------------------------------------------
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_CS -- Bus to IP chip select
-- Bus2IP_RNW -- Bus to IP read/not write
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 1;
-- DO NOT EDIT ABOVE THIS LINE ---------------------
C_RESET_TIMEOUT : natural := 4096
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_CS : in std_logic_vector(0 to 0);
Bus2IP_RNW : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic;
-- DO NOT EDIT ABOVE THIS LINE ---------------------
Access_Intr : out std_logic;
Scheduler_Reset : out std_logic;
Scheduler_Reset_Done : in std_logic;
Semaphore_Reset : out std_logic;
Semaphore_Reset_Done : in std_logic;
SpinLock_Reset : out std_logic;
SpinLock_Reset_Done : in std_logic;
User_IP_Reset : out std_logic;
User_IP_Reset_Done : in std_logic;
Soft_Stop : out std_logic;
tm2sch_cpu_thread_id : out std_logic_vector(0 to 7);
tm2sch_opcode : out std_logic_vector(0 to 5);
tm2sch_data : out std_logic_vector(0 to 7);
tm2sch_request : out std_logic;
tm2sch_DOB : out std_logic_vector(0 to 31);
sch2tm_ADDRB : in std_logic_vector(0 to 8);
sch2tm_DIB : in std_logic_vector(0 to 31);
sch2tm_ENB : in std_logic;
sch2tm_WEB : in std_logic;
sch2tm_busy : in std_logic;
sch2tm_data : in std_logic_vector(0 to 7);
sch2tm_next_id : in std_logic_vector(0 to 7);
sch2tm_next_id_valid : in std_logic
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
-- Define the memory map for each register, Address[16 to 21]
--
constant C_CLEAR_THREAD : std_logic_vector(0 to 5) := "000000";
constant C_JOIN_THREAD : std_logic_vector(0 to 5) := "000001";
constant C_READ_THREAD : std_logic_vector(0 to 5) := "000011";
constant C_ADD_THREAD : std_logic_vector(0 to 5) := "000100";
constant C_CREATE_THREAD_J : std_logic_vector(0 to 5) := "000101";
constant C_CREATE_THREAD_D : std_logic_vector(0 to 5) := "000110";
constant C_EXIT_THREAD : std_logic_vector(0 to 5) := "000111";
constant C_NEXT_THREAD : std_logic_vector(0 to 5) := "001000";
constant C_YIELD_THREAD : std_logic_vector(0 to 5) := "001001";
constant C_CURRENT_THREAD : std_logic_vector(0 to 5) := "010000";
constant C_IS_DETACHED : std_logic_vector(0 to 5) := "011000";
constant C_IS_QUEUED : std_logic_vector(0 to 5) := "011001";
constant C_EXCEPTION_ADDR : std_logic_vector(0 to 5) := "010011";
constant C_EXCEPTION_REG : std_logic_vector(0 to 5) := "010100";
constant C_SOFT_START : std_logic_vector(0 to 5) := "010101";
constant C_SOFT_STOP : std_logic_vector(0 to 5) := "010110";
constant C_SOFT_RESET : std_logic_vector(0 to 5) := "010111";
constant C_SCHED_LINES : std_logic_vector(0 to 5) := "011010";
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
constant OPCODE_IS_QUEUED : std_logic_vector(0 to 5) := "000001";
constant OPCODE_ENQUEUE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DEQUEUE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_IS_EMPTY : std_logic_vector(0 to 5) := "000110";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
constant H32 : std_logic_vector(0 to 31) := (others => '1');
constant MAX_QUEUE_SIZE : std_logic_vector(0 to 7) := (others => '1');
constant TOUT_CYCLES : natural := 3; -- assert timeout suppress
signal cycle_count : std_logic_vector(0 to 15);
signal timeout_expired : std_logic;
-- Extended Thread Error Codes returned in lower 4 bits
constant ERROR_IN_STATUS : std_logic_vector(0 to 3) := "0001";
constant THREAD_ALREADY_TERMINATED : std_logic_vector(0 to 3) := "0011";
constant THREAD_ALREADY_QUEUED : std_logic_vector(0 to 3) := "0101";
constant ERROR_FROM_SCHEDULER : std_logic_vector(0 to 3) := "0111";
constant JOIN_ERROR_CHILD_JOINED : std_logic_vector(0 to 3) := "1001";
constant JOIN_ERROR_NOT_CHILD : std_logic_vector(0 to 3) := "1011";
constant JOIN_ERROR_CHILD_DETACHED : std_logic_vector(0 to 3) := "1101";
constant JOIN_ERROR_CHILD_NOT_USED : std_logic_vector(0 to 3) := "1111";
constant JOIN_ERROR_UNKNOWN : std_logic_vector(0 to 3) := "0001";
constant CLEAR_ERROR_NOT_USED : std_logic_vector(0 to 3) := "1001";
-- Exception "cause" returned in Exception register
constant EXCEPTION_WRITE_TO_READ_ONLY : std_logic_vector(0 to 3) := "0001";
constant EXCEPTION_UNDEFINED_ADDRESS : std_logic_vector(0 to 3) := "0010";
constant EXCEPTION_TO_SOFT_RESET : std_logic_vector(0 to 3) := "0011";
constant EXCEPTION_TO_SCHD_ISQUEUED : std_logic_vector(0 to 3) := "0100";
constant EXCEPTION_TO_SCHD_ENQUEUE : std_logic_vector(0 to 3) := "0101";
constant EXCEPTION_TO_SCHD_DEQUEUE : std_logic_vector(0 to 3) := "0110";
constant EXCEPTION_TO_SCHD_ISEMPTY : std_logic_vector(0 to 3) := "0111";
constant EXCEPTION_TO_SCHD_NEXT_THREAD : std_logic_vector(0 to 3) := "1000";
constant EXCEPTION_SCHD_INVALID_THREAD : std_logic_vector(0 to 3) := "1001";
constant EXCEPTION_ILLEGAL_STATE : std_logic_vector(0 to 3) := "1111";
-- BRAM constants
constant BRAM_ADDRESS_BITS : integer := 9;
constant BRAM_DATA_BITS : integer := 32;
-- Address,Cause for access exceptions
--
signal Exception_Address : std_logic_vector(0 to 31);
signal Exception_Address_next : std_logic_vector(0 to 31);
signal Exception_Cause : std_logic_vector(0 to 3);
signal Exception_Cause_next : std_logic_vector(0 to 3);
signal access_error : std_logic;
-- Debug control signals
--
-- Soft reset signals, LSB = SWTM reset; reset IP(s) if '1'
-- Resets done, handshake from IPs if done resetting(1)
-- core_stop , halt state machines at next appropriate point if '1'
--
signal soft_resets : std_logic_vector(0 to 4);
signal soft_resets_next : std_logic_vector(0 to 4);
signal resets_done : std_logic_vector(0 to 4);
signal reset_status : std_logic_vector(0 to 4);
signal reset_status_next : std_logic_vector(0 to 4);
signal core_stop : std_logic;
signal core_stop_next : std_logic;
-- Declarations for each register
-- Current thread,Idle thread : bits 0..7 = ID, bit 8 = '1' = invalid
signal current_cpu_thread : std_logic_vector(0 to 8);
signal current_cpu_thread_next : std_logic_vector(0 to 8);
-- internal signals
signal next_ID : std_logic_vector(0 to 8);
signal next_ID_next : std_logic_vector(0 to 8);
signal temp_thread_id : std_logic_vector(0 to 7);
signal temp_thread_id_next : std_logic_vector(0 to 7);
signal temp_thread_id2 : std_logic_vector(0 to 7);
signal temp_thread_id2_next : std_logic_vector(0 to 7);
signal reset_ID : std_logic_vector(0 to 8);
type swtm_state_type is
(IDLE_STATE,
SOFT_RESET_WRITE_INIT,
SOFT_RESET_INIT_TABLE,
SOFT_RESET_WAIT,
READ_THREAD_INIT,
READ_THREAD_RD_WAIT,
READ_THREAD_DONE,
CREATE_THREAD_INIT,
CT_NEW_ID_RD_WAIT,
CT_NEW_ID_AVAILABLE,
CT_ENTRY_RD_WAIT,
CT_ENTRY_AVAILABLE,
CT_DONE,
CLEAR_THREAD_INIT,
CLEAR_ENTRY_RD_WAIT,
CLEAR_ENTRY_AVAIABLE,
DEALLOCATE_ID,
DEALLOCATE_NEXT_ENTRY_RD_WAIT,
DEALLOCATE_NEXT_ENTRY_AVAIL,
JOIN_THREAD_INIT,
JOIN_RD_ENTRY_RD_WAIT,
JOIN_RD_ENTRY_AVAILABLE,
IS_QUEUED_INIT,
IS_QUEUED_DONE,
IS_DETACHED_THREAD_INIT,
IS_DETACHED_ENTRY_RD_WAIT,
IS_DETACHED_ENTRY_AVAILABLE,
NEXT_THREAD_INIT,
NEXT_THREAD_WAIT4_SCHEDULER,
NEXT_THREAD_RD_WAIT,
NEXT_THREAD_AVAILABLE,
NEXT_THREAD_CHECK_DEQUEUE,
ADD_THREAD_INIT,
AT_ENTRY_RD_WAIT,
AT_ENTRY_AVAILABLE,
AT_ISQUEUED_WAIT,
AT_CHECK_ISQUEUE,
AT_ENQUEUE_WAIT,
AT_CHECK_ENQUEUE,
ISQUEUED_WAIT_ACK,
ISQUEUED_WAIT_COMPLETE,
ENQUEUE_WAIT_ACK,
ENQUEUE_WAIT_COMPLETE,
DEQUEUE_WAIT_ACK,
DEQUEUE_WAIT_COMPLETE,
IS_QUEUE_EMPTY_WAIT_ACK,
IS_QUEUE_EMPTY_WAIT_COMPLETE,
YIELD_THREAD_INIT,
YIELD_CURRENT_THREAD_RD_WAIT,
YIELD_CURRENT_THREAD_AVAILABLE,
YIELD_CHECK_QUEUE_EMPTY,
YIELD_ENQUEUE,
YIELD_CHECK_ENQUEUE,
-- YIELD_dummy_is_queued,
YIELD_DEQUEUE,
YIELD_CHECK_DEQUEUE,
EXIT_THREAD_INIT,
EXIT_THREAD_RD_WAIT,
EXIT_THREAD_AVAIABLE,
EXIT_DEALLOCATE,
EXIT_NEXT_THREAD_RD_WAIT,
EXIT_NEXT_THREAD_AVAILABLE,
EXIT_READ_PARENT,
EXIT_READ_PARENT_WAIT,
EXIT_READ_PARENT_AVAILABLE,
EXIT_CHECK_ENQUEUE,
RAISE_EXCEPTION,
END_TRANSACTION,
END_TRANSACTION_WAIT);
signal current_state, next_state : swtm_state_type := IDLE_STATE;
signal return_state, return_state_next : swtm_state_type := IDLE_STATE;
signal bus_data_out : std_logic_vector(0 to 31);
signal bus_data_out_next : std_logic_vector(0 to 31);
signal current_status : std_logic_vector(0 to 31);
signal current_status_next : std_logic_vector(0 to 31);
signal Swtm_Reset_Done : std_logic;
signal Swtm_Reset_Done_next : std_logic;
signal new_ID : std_logic_vector(0 to 7);
signal new_ID_next : std_logic_vector(0 to 7);
signal tm2sch_request_next : std_logic;
signal tm2sch_request_reg : std_logic;
signal tm2sch_data_next : std_logic_vector(0 to 7);
signal tm2sch_data_reg : std_logic_vector(0 to 7);
signal tm2sch_opcode_next : std_logic_vector(0 to 5);
signal tm2sch_opcode_reg : std_logic_vector(0 to 5);
-- Signals for thread table BRAM
signal ENA : std_logic;
signal WEA : std_logic;
signal ADDRA : std_logic_vector(0 to BRAM_ADDRESS_BITS - 1);
signal DIA : std_logic_vector(0 to BRAM_DATA_BITS - 1);
signal DOA : std_logic_vector(0 to BRAM_DATA_BITS - 1);
alias addr :std_logic_vector(0 to 5) is Bus2IP_Addr(16 to 21);
---------------------------------------------------------------------------
-- Component Instantiation of inferred dual ported block RAM
---------------------------------------------------------------------------
component infer_bram_dual_port is
generic (
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to ADDRESS_BITS - 1);
DIA : in std_logic_vector(0 to DATA_BITS - 1);
DOA : out std_logic_vector(0 to DATA_BITS - 1);
CLKB : in std_logic;
ENB : in std_logic;
WEB : in std_logic;
ADDRB : in std_logic_vector(0 to ADDRESS_BITS - 1);
DIB : in std_logic_vector(0 to DATA_BITS - 1);
DOB : out std_logic_vector(0 to DATA_BITS - 1)
);
end component infer_bram_dual_port;
-------------------------------------------------------------------
-- ICON core signal declarations
-------------------------------------------------------------------
signal control0 : std_logic_vector(35 downto 0);
signal my_ack, my_tout_sup, my_error, my_sched_req : std_logic; -- TODO: This line might be gone.
signal my_counter : std_logic_vector(0 to 31);
-------------------------------------------------------------------
-- ICON core component declaration
-------------------------------------------------------------------
-- simulation translate_off
--component chipscope_icon_v1_03_a
-- port
-- (
-- control0 : out std_logic_vector(35 downto 0)
-- );
--end component;
-- simulation translate_on
-------------------------------------------------------------------
-- ILA core component declaration
-------------------------------------------------------------------
-- simulation translate_off
--component chipscope_ila_v1_02_a
-- port
-- (
--- control : in std_logic_vector(35 downto 0);
-- clk : in std_logic;
-- trig0 : in std_logic_vector(63 downto 0);
-- trig1 : in std_logic_vector(63 downto 0);
-- trig2 : in std_logic_vector(31 downto 0);
-- trig3 : in std_logic_vector(31 downto 0);
-- trig4 : in std_logic_vector(15 downto 0)
-- );
--end component;
-- simulation translate_on
begin
thread_table_bram : infer_bram_dual_port
generic map (
ADDRESS_BITS => BRAM_ADDRESS_BITS,
DATA_BITS => BRAM_DATA_BITS
)
port map (
CLKA => Bus2IP_Clk,
ENA => ENA,
WEA => WEA,
ADDRA => ADDRA,
DIA => DIA,
DOA => DOA,
CLKB => Bus2IP_Clk,
ENB => sch2tm_ENB,
WEB => sch2tm_WEB,
ADDRB => sch2tm_ADDRB,
DIB => sch2tm_DIB,
DOB => tm2sch_DOB
);
tm2sch_opcode <= tm2sch_opcode_reg;
tm2sch_data <= tm2sch_data_reg;
tm2sch_request <= tm2sch_request_reg;
Soft_Stop <= core_stop;
Scheduler_Reset <= soft_resets(3);
Semaphore_Reset <= soft_resets(2);
SpinLock_Reset <= soft_resets(1);
User_IP_Reset <= soft_resets(0);
Access_Intr <= access_error;
CYCLE_PROC : process (Bus2IP_Clk, Bus2IP_CS) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk='1' ) then
if( Bus2IP_CS(0) = '0' ) then
cycle_count <= (others => '0');
else
cycle_count <= cycle_count + 1;
end if;
end if;
end process CYCLE_PROC;
--
-- create a counter for the number of elapsed cycles
-- in each bus transaction.
-- assert TimeOut suppress when count = TOUT_CYCLES
--
CYCLE_CONTROL : process( cycle_count ) is
begin
IP2Bus_Error <= '0'; -- no error
--
-- count the number of elapsed clock cycles in transaction
--
if cycle_count < C_RESET_TIMEOUT then
timeout_expired <= '0';
else
--timeout_expired <= '1';
timeout_expired <= '0'; -- Disable timeouts.
end if;
--
-- activate time out suppress if count exceeds TOUT_CYCLES
-- edk. Why isn't this done inside the clk_event ???
--
-- if cycle_count > TOUT_CYCLES then
-- --IP2Bus_ToutSup <= '1'; -- halt time out counter
-- my_tout_sup <= '1'; -- halt time out counter
-- else
-- --IP2Bus_ToutSup <= '0'; -- release
-- my_tout_sup <= '0'; -- release
-- end if;
end process CYCLE_CONTROL;
-- IP2Bus_ToutSup <= my_tout_sup;
RESET_PROC : process (Bus2IP_Clk, addr, current_state)
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( addr = C_SOFT_RESET and current_state = SOFT_RESET_WRITE_INIT ) then
reset_ID <= (others => '0');
else
reset_ID <= reset_ID + 1;
end if;
end if;
end process;
ACK_PROC : process(my_ack, Bus2IP_RdCE, Bus2IP_WrCE)
begin
if (Bus2IP_RdCE(0) = '1') then
IP2Bus_RdAck <= my_ack;
else
IP2Bus_RdAck <= '0';
end if;
if (Bus2IP_WrCE(0) = '1') then
IP2Bus_WrAck <= my_ack;
else
IP2Bus_WrAck <= '0';
end if;
end process;
SWTM_STATE_PROC : process (Bus2IP_Clk, core_stop_next, new_ID_next, next_ID_next, temp_thread_id_next, temp_thread_id2_next, current_cpu_thread_next, Current_status_next, soft_resets_next, reset_status_next, Swtm_Reset_Done_next, Scheduler_Reset_Done, Semaphore_Reset_Done, SpinLock_Reset_Done, User_IP_Reset_Done, next_state, return_state_next, Bus2IP_Reset,Exception_Cause_next) is
begin
if (Bus2IP_Clk'event and (Bus2IP_Clk = '1')) then
core_stop <= core_stop_next;
new_ID <= new_ID_next;
next_ID <= next_ID_next;
temp_thread_id <= temp_thread_id_next;
temp_thread_id2 <= temp_thread_id2_next;
current_cpu_thread <= current_cpu_thread_next;
tm2sch_cpu_thread_id <= current_cpu_thread_next(0 to 7);
tm2sch_data_reg <= tm2sch_data_next;
tm2sch_opcode_reg <= tm2sch_opcode_next;
tm2sch_request_reg <= tm2sch_request_next;
current_status <= current_status_next;
Exception_Address <= Exception_Address_next;
Exception_Cause <= Exception_Cause_next;
soft_resets <= soft_resets_next;
reset_status <= reset_status_next;
bus_data_out <= bus_data_out_next;
Swtm_Reset_Done <= Swtm_Reset_Done_next;
resets_done(4) <= Swtm_Reset_Done_next;
resets_done(3) <= Scheduler_Reset_Done;
resets_done(2) <= Semaphore_Reset_Done;
resets_done(1) <= SpinLock_Reset_Done;
resets_done(0) <= User_IP_Reset_Done;
return_state <= return_state_next;
if( Bus2IP_Reset = '1' ) then
current_state <= IDLE_STATE;
else
current_state <= next_state;
end if;
end if;
end process SWTM_STATE_PROC;
-- IP2Bus_Ack <= my_ack; -- pulse(010) to end bus transaction
SWTM_LOGIC_PROC : process (current_state, core_stop, new_ID, next_ID, current_cpu_thread, current_status, reset_status, Swtm_Reset_Done, soft_resets, Bus2IP_Addr, Bus2IP_Data, Exception_Address, Bus2IP_WrCE, addr, Bus2IP_RdCE, reset_ID, resets_done, timeout_expired, DOA, sch2tm_next_id_valid, sch2tm_next_id, sch2tm_busy, bus_data_out, Exception_Cause, tm2sch_request_reg, tm2sch_data_reg, tm2sch_opcode_reg, temp_thread_id, temp_thread_id2) is
begin
-- -------------------------------------------------
-- default output signal assignments
-- -------------------------------------------------
my_ack <= '0'; -- pulse(010) to end bus transaction
access_error <= '0'; -- pulse(010) for access error interrupt
IP2Bus_Data <= (others => '0');
ADDRA <= (others => '0');
ENA <= '0';
WEA <= '0';
DIA <= (others => '0');
-- -------------------------------------------------
-- default register assignments
-- -------------------------------------------------
next_state <= current_state;
return_state_next <= return_state;
core_stop_next <= core_stop;
new_ID_next <= new_ID;
next_ID_next <= next_ID;
temp_thread_id_next <= temp_thread_id;
temp_thread_id2_next <= temp_thread_id2;
current_cpu_thread_next <= current_cpu_thread;
current_status_next <= current_status;
Exception_Address_next <= Exception_Address;
reset_status_next <= reset_status;
Swtm_Reset_Done_next <= Swtm_Reset_Done;
Exception_Cause_next <= Exception_Cause;
tm2sch_request_next <= tm2sch_request_reg;
tm2sch_data_next <= tm2sch_data_reg;
tm2sch_opcode_next <= tm2sch_opcode_reg;
bus_data_out_next <= bus_data_out;
soft_resets_next <= soft_resets;
case current_state is
-- Command (addr) decode whenever we are waiting for something new to do.
when IDLE_STATE =>
bus_data_out_next <= (others => '0');
if (Bus2IP_WrCE(0) = '1') then
case addr is
when C_SOFT_START =>
-- Any write to soft_start address clears
-- all soft reset signals and the Soft_Stop signal
soft_resets_next <= (others => '0');
swtm_reset_done_next <= '0'; -- clear SWTM's reset done
core_stop_next <= '0'; -- clear core_stop
next_state <= END_TRANSACTION;
when C_SOFT_STOP =>
-- write any data to Soft_Stop to assert the Soft_Stop signal
core_stop_next <= '1';
next_state <= END_TRANSACTION;
when C_SOFT_RESET =>
next_state <= SOFT_RESET_WRITE_INIT;
when C_READ_THREAD =>
if (core_stop = '1') then
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
WEA <= '1';
ENA <= '1';
DIA <= Bus2IP_Data(0 to 31);
next_state <= END_TRANSACTION;
else
Exception_Cause_next <= EXCEPTION_WRITE_TO_READ_ONLY;
next_state <= RAISE_EXCEPTION;
end if;
when others =>
Exception_Cause_next <= EXCEPTION_UNDEFINED_ADDRESS;
next_state <= RAISE_EXCEPTION;
end case;
elsif (Bus2IP_RdCE(0) = '1') then
case addr is
when C_SOFT_START =>
bus_data_out_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_SOFT_STOP =>
-- returns signal level in LSB on read
bus_data_out_next <= Z32(0 to 30) & core_stop;
next_state <= END_TRANSACTION;
when C_SOFT_RESET =>
-- returns 1's in bit positions that failed
bus_data_out_next <= Z32(0 to 26) & reset_status;
next_state <= END_TRANSACTION;
when C_CURRENT_THREAD =>
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread;
next_state <= END_TRANSACTION;
when C_EXCEPTION_ADDR =>
bus_data_out_next <= Exception_Address;
Exception_Address_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_EXCEPTION_REG =>
bus_data_out_next <= Z32(0 to 27) & Exception_Cause;
Exception_Cause_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_SCHED_LINES =>
bus_data_out_next <= Z32(0 to 6) & sch2tm_busy & sch2tm_data &
Z32(16 to 22) & sch2tm_next_id_valid &
sch2tm_next_id;
next_state <= END_TRANSACTION;
when C_READ_THREAD => next_state <= READ_THREAD_INIT;
when C_CREATE_THREAD_D => next_state <= CREATE_THREAD_INIT;
when C_CREATE_THREAD_J => next_state <= CREATE_THREAD_INIT;
when C_CLEAR_THREAD => next_state <= CLEAR_THREAD_INIT;
when C_JOIN_THREAD => next_state <= JOIN_THREAD_INIT;
when C_IS_DETACHED => next_state <= IS_DETACHED_THREAD_INIT;
when C_IS_QUEUED => next_state <= IS_QUEUED_INIT;
when C_NEXT_THREAD => next_state <= NEXT_THREAD_INIT;
when C_ADD_THREAD => next_state <= ADD_THREAD_INIT;
when C_YIELD_THREAD => next_state <= YIELD_THREAD_INIT;
when C_EXIT_THREAD => next_state <= EXIT_THREAD_INIT;
when others =>
Exception_Cause_next <= EXCEPTION_UNDEFINED_ADDRESS;
next_state <= RAISE_EXCEPTION;
end case;
end if;
--
-- read/write to the soft resets register (1 bit per IP)
-- write '1' to reset, reads '1' if timeout error occured
-- before IP reports finished
--
-- SW Thread Manager = bit#4 (LSB)
-- Scheduler = bit#3
-- Semaphore = bit#2
-- SpinLock = bit#1
-- User_IP = bit#0
--
when SOFT_RESET_WRITE_INIT =>
soft_resets_next <= Bus2IP_Data(27 to 31);
reset_status_next <= (others => '0');
swtm_reset_done_next <= '0'; -- clear SWTM's reset_done
if (Bus2IP_Data(31) = '1') then -- soft_resets(4)
--
-- perform a soft reset on SWTM
--
bus_data_out_next <= (others => '0');
new_ID_next <= (others => '0');
next_ID_next <= (others => '0');
temp_thread_id_next <= (others => '0');
current_cpu_thread_next <= Z32(0 to 7) & '1';
core_stop_next <= '0';
tm2sch_opcode_next <= OPCODE_NOOP;
tm2sch_data_next <= (others => '0');
tm2sch_request_next <= '0';
next_state <= SOFT_RESET_INIT_TABLE;
else
next_state <= SOFT_RESET_WAIT;
end if;
-- initialize the thread ID table to all zeros
-- and the next available stack to 0..255
when SOFT_RESET_INIT_TABLE =>
ADDRA <= reset_ID;
ENA <= '1';
WEA <= '1';
if( reset_ID(0) = '0' ) then
-- init available ID stack & thread ID table
DIA <= reset_ID(1 to 8) & Z32(0 to 23);
else
-- clear 2nd half of table (unused)
DIA <= Z32(0 to 31);
end if;
if( reset_ID = H32(0 to 8) ) then
swtm_reset_done_next<= '1'; -- done
next_state <= soft_reset_wait;
end if;
-- wait for all IPs to finish initialization or
-- the maximum time to be exceeded then
-- ack to finish transaction
when SOFT_RESET_WAIT =>
if (resets_done = soft_resets) then -- done
next_state <= END_TRANSACTION;
elsif (timeout_expired = '1') then
reset_status_next <= (resets_done xor soft_resets);
Exception_Cause_next <= EXCEPTION_TO_SOFT_RESET;
next_state <= RAISE_EXCEPTION; -- timeout
else
next_state <= current_state;
end if;
when READ_THREAD_INIT =>
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
WEA <= '0';
ENA <= '1';
next_state <= READ_THREAD_RD_WAIT;
when READ_THREAD_RD_WAIT =>
next_state <= READ_THREAD_DONE;
when READ_THREAD_DONE =>
bus_data_out_next <= DOA;
next_state <= END_TRANSACTION;
when CREATE_THREAD_INIT =>
if next_ID(0) = '1' then
-- no IDs available, return with error bit set
--
bus_data_out_next <= Z32(0 to 30) & '1';
next_state <= END_TRANSACTION;
else
-- read next ID from stack
--
ADDRA <= next_ID;
ENA <= '1';
next_state <= CT_NEW_ID_RD_WAIT;
end if;
when CT_NEW_ID_RD_WAIT =>
next_state <= CT_NEW_ID_AVAILABLE;
when CT_NEW_ID_AVAILABLE =>
new_ID_next <= DOA(0 to 7); -- save new ID#
ADDRA <= '0' & DOA(0 to 7); -- point to new thread
ENA <= '1';
next_state <= CT_ENTRY_RD_WAIT;
when CT_ENTRY_RD_WAIT =>
next_state <= CT_ENTRY_AVAILABLE;
when CT_ENTRY_AVAILABLE =>
ADDRA <= '0' & new_ID;
ENA <= '1';
WEA <= '1'; -- enable write to bram
-- Determine if the thread to create is DETACHED / JOINABLE
if addr = C_CREATE_THREAD_D then -- set new thread status
-- create detached
DIA <= DOA(0 to 7) & Z32(0 to 7) &
Z32(0 to 7) & "1011" & Z32(0 to 3);
else
-- create joinable
DIA <= DOA(0 to 7) & Z32(0 to 7) &
current_cpu_thread(0 to 7) & "0011" & Z32(0 to 3);
end if;
next_state <= CT_DONE;
when CT_DONE =>
-- return new ID with no error,
bus_data_out_next <= Z32(0 to 22) & new_ID & '0';
-- point to next available ID
next_ID_next <= next_ID + 1;
next_state <= END_TRANSACTION;
when CLEAR_THREAD_INIT =>
-- clear the encoded thread ID if it is used and exited
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= CLEAR_ENTRY_RD_WAIT;
when CLEAR_ENTRY_RD_WAIT =>
next_state <= CLEAR_ENTRY_AVAIABLE ;
when CLEAR_ENTRY_AVAIABLE =>
if (DOA(26 to 27) = "10") then -- used and exited
bus_data_out_next <= Z32; -- success, return zero
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
WEA <= '1'; -- clear old status but
DIA <= DOA(0 to 7) & Z32(0 to 23); -- preserve ID stack
next_state <= DEALLOCATE_ID;
else
-- error occurred, return thread status w/ LSB=1
bus_data_out_next <= DOA(0 to 27) & CLEAR_ERROR_NOT_USED;
next_state <= END_TRANSACTION;
end if;
when DEALLOCATE_ID =>
if (next_ID /= Z32(0 to 8)) then
ADDRA <= next_ID - 1;
ENA <= '1';
next_ID_next <= next_ID - 1;
next_state <= DEALLOCATE_NEXT_ENTRY_RD_WAIT;
else
next_state <= END_TRANSACTION;
end if;
when DEALLOCATE_NEXT_ENTRY_RD_WAIT =>
next_state <= DEALLOCATE_NEXT_ENTRY_AVAIL;
when DEALLOCATE_NEXT_ENTRY_AVAIL =>
-- put ID back on stack, preserve other bits
ADDRA <= next_ID;
ENA <= '1';
WEA <= '1';
DIA <= Bus2IP_Addr(22 to 29) & DOA(8 to 31);
next_state <= END_TRANSACTION;
when JOIN_THREAD_INIT =>
-- join on the encoded thread ID if its PID = current_thread
-- and its status = used,~joined,~detached
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= JOIN_RD_ENTRY_RD_WAIT;
when JOIN_RD_ENTRY_RD_WAIT =>
next_state <= JOIN_RD_ENTRY_AVAILABLE;
when JOIN_RD_ENTRY_AVAILABLE =>
if ((DOA(16 to 23) & '0' = current_cpu_thread) and -- PID = current thread
(DOA(24 to 25) = "00") and -- ~detached,~joined
(DOA(26 to 27) /= "00")) then -- not unused
if DOA(27) = '0' then
-- thread has already exited, return a WARNING code
bus_data_out_next <= Z32(0 to 27) & THREAD_ALREADY_TERMINATED;
next_state <= END_TRANSACTION;
else
-- thread has not exited
bus_data_out_next <= Z32; -- success, return zero
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
WEA <= '1';
-- clear old status but
-- set joined bit; and preserve all other bits
DIA <= DOA(0 to 24) & '1' & DOA(26 to 31);
next_state <= END_TRANSACTION;
end if;
else
-- An error occured. Determine the error and return correct error code.
if( DOA(24) = '1' ) then
-- trying to join on a detached thread
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_DETACHED;
elsif ( DOA(24 to 25) = "01" ) then
-- tyring to join on a thread that is already joined
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_JOINED;
elsif( DOA(26) = '0' ) then
-- trying to join on a thread that is not used
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_NOT_USED;
elsif( DOA(16 to 23) & '0' /= current_cpu_thread ) then
-- trying to join to a thread that is not the current thread's child
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_NOT_CHILD;
else
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_UNKNOWN;
end if;
next_state <= END_TRANSACTION;
end if;
when IS_DETACHED_THREAD_INIT =>
-- Returns a 1 if the encoded thread ID is detached, else returns 0
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= IS_DETACHED_ENTRY_RD_WAIT;
when IS_DETACHED_ENTRY_RD_WAIT =>
next_state <= IS_DETACHED_ENTRY_AVAILABLE;
when IS_DETACHED_ENTRY_AVAILABLE =>
if (DOA(24) = '1' and DOA(26) = '1') then
-- Thread is detached, return 1
bus_data_out_next <= Z32(0 to 29) & "10"; -- The 0 in the last bit indicates no error
else
-- Thread is not detached, or not used, return 0
bus_data_out_next <= Z32;
end if;
next_state <= END_TRANSACTION;
when IS_QUEUED_INIT =>
tm2sch_opcode_next <= OPCODE_IS_QUEUED;
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29); -- thread ID
next_state <= ISQUEUED_WAIT_ACK;
return_state_next <= IS_QUEUED_DONE;
when IS_QUEUED_DONE =>
bus_data_out_next <= Z32(0 to 22) & sch2tm_data & '0';
next_state <= END_TRANSACTION;
when NEXT_THREAD_INIT =>
-- Return to the caller the value of the next thread to run
if sch2tm_next_id_valid = '1' then
-- the next thread has been identified,
-- read from Scheduler and check thread status
-- as stored by SWTM for consistency
ADDRA <= '0' & sch2tm_next_id;
ENA <= '1';
next_state <= NEXT_THREAD_RD_WAIT;
else
next_state <= NEXT_THREAD_WAIT4_SCHEDULER;
end if;
when NEXT_THREAD_WAIT4_SCHEDULER =>
if (sch2tm_next_id_valid = '1') then
-- Scheduler has made a scheduling decision
ADDRA <= '0' & sch2tm_next_id;
ENA <= '1';
next_state <= NEXT_THREAD_RD_WAIT;
elsif (timeout_expired = '1') then
-- Timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_NEXT_THREAD;
next_state <= RAISE_EXCEPTION; -- timeout
else
-- Continue waiting for scheduler
next_state <= current_state;
end if;
when NEXT_THREAD_RD_WAIT =>
next_state <= NEXT_THREAD_AVAILABLE;
when NEXT_THREAD_AVAILABLE =>
if DOA(26 to 27) = "11" then
-- thread status is used and not exited
-- dequeue the next_thread_id from the scheduler's queue
current_cpu_thread_next <= sch2tm_next_id & '0';
-- Send dequeue opperation to scheduler
tm2sch_opcode_next <= OPCODE_DEQUEUE;
tm2sch_request_next <= '1';
tm2sch_data_next <= Z32(0 to 7);
next_state <= DEQUEUE_WAIT_ACK;
return_state_next <= NEXT_THREAD_CHECK_DEQUEUE;
else
-- TM and SCHEDULER disagree if thread was used and not exited
-- return thread ID, set error bit and raise exception
bus_data_out_next <= Z32(0 to 22) & sch2tm_next_id & '1';
Exception_Cause_next <= EXCEPTION_SCHD_INVALID_THREAD;
next_state <= RAISE_EXCEPTION; -- timeout
end if;
when NEXT_THREAD_CHECK_DEQUEUE =>
-- Perform a check to make sure scheduler completed successfully
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
-- return the value of the next thread id (which by now is in the current_cpu_thread register)
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread(0 to 7) & '0';
next_state <= END_TRANSACTION;
end if;
when ADD_THREAD_INIT =>
-- if the thread is !used or exited return error
-- call scheduler to check queued status
-- if queued return error
-- call scheduler to enqueue thread ID
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- encoded thread ID
ENA <= '1';
next_state <= AT_ENTRY_RD_WAIT;
when AT_ENTRY_RD_WAIT =>
next_state <= AT_ENTRY_AVAILABLE;
when AT_ENTRY_AVAILABLE =>
-- check to see if the thread is used and !exited
if (DOA(26 to 27) = "11") then
-- thread is used and not exited
-- call scheduler isQueued
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29);
tm2sch_opcode_next <= OPCODE_IS_QUEUED;
next_state <= ISQUEUED_WAIT_ACK;
return_state_next <= AT_CHECK_ISQUEUE;
else
-- thread is unused or exited (or both)
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when AT_CHECK_ISQUEUE =>
-- Check to see if the thread is queued
if sch2tm_data(7) = '0' then
-- Thread is not queued, call scheduler's enqueue
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29);
tm2sch_opcode_next <= OPCODE_ENQUEUE;
next_state <= ENQUEUE_WAIT_ACK;
return_state_next <= AT_CHECK_ENQUEUE;
else
-- Thread is queued, return error
bus_data_out_next <= DOA(0 to 7) & sch2tm_data & DOA(16 to 27) & THREAD_ALREADY_QUEUED;
next_state <= END_TRANSACTION;
end if;
when AT_CHECK_ENQUEUE =>
-- Check to make sure the scheduler added the thread correctly
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 7) & sch2tm_data & Z32(16 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
bus_data_out_next <= Z32(0 to 7) & sch2tm_data & Z32(16 to 31);
next_state <= END_TRANSACTION;
end if;
when ISQUEUED_WAIT_ACK =>
-- wait for the scheduler to acknowledge the isqueued request
if sch2tm_busy = '0' then
-- scheduler has not yet responded to request
next_state <= current_state;
elsif (timeout_expired = '1') then
-- timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISQUEUED;
next_state <= RAISE_EXCEPTION;
else
-- scheduler acknowledged request, lower request line
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= ISQUEUED_WAIT_COMPLETE;
end if;
when ISQUEUED_WAIT_COMPLETE =>
-- wait for the scheduler to complete the isqueued request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
next_state <= current_state;
elsif (timeout_expired = '1') then
-- timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISQUEUED;
next_state <= RAISE_EXCEPTION;
else
-- scheduler finished request, and (should) have data on data_return line
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when ENQUEUE_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the enqueue request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ENQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= ENQUEUE_WAIT_COMPLETE;
end if;
when ENQUEUE_WAIT_COMPLETE =>
-- wait for the scheduler to complete the enqueue request
if sch2tm_busy = '1' then
-- scheduler has notyet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ENQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when DEQUEUE_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the dequeue request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_DEQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= DEQUEUE_WAIT_COMPLETE;
end if;
when DEQUEUE_WAIT_COMPLETE =>
-- wait for the scheduler to complete the dequeue request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_DEQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when IS_QUEUE_EMPTY_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the is queue empty request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISEMPTY;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= IS_QUEUE_EMPTY_WAIT_COMPLETE;
end if;
when IS_QUEUE_EMPTY_WAIT_COMPLETE =>
-- wait for the scheduler to complete the is queue empty request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISEMPTY;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when YIELD_THREAD_INIT =>
-- Retrieve the status of the current cpu thread
ADDRA <= '0' & current_cpu_thread(0 to 7);
ENA <= '1';
next_state <= YIELD_CURRENT_THREAD_RD_WAIT;
when YIELD_CURRENT_THREAD_RD_WAIT =>
next_state <= YIELD_CURRENT_THREAD_AVAILABLE;
when YIELD_CURRENT_THREAD_AVAILABLE =>
-- check to see if thread's status is used,~exited,~queued
if (DOA(26 to 27) = "11") then
-- check to see if the scheduler's queue is empty
tm2sch_request_next <= '1';
tm2sch_opcode_next <= OPCODE_IS_EMPTY;
tm2sch_data_next <= Z32(0 to 7);
next_state <= IS_QUEUE_EMPTY_WAIT_ACK;
return_state_next <= YIELD_CHECK_QUEUE_EMPTY;
else
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when YIELD_CHECK_QUEUE_EMPTY =>
if (sch2tm_data(7) = '1') then
-- Queue is empty, return the current thread id
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread;
next_state <= END_TRANSACTION;
else
-- Queue is not empty, add currently running thread to Q and then follow with a DEQ
next_state <= YIELD_ENQUEUE;
end if;
when YIELD_ENQUEUE =>
tm2sch_request_next <= '1';
tm2sch_opcode_next <= OPCODE_ENQUEUE;
tm2sch_data_next <= current_cpu_thread(0 to 7);
next_state <= ENQUEUE_WAIT_ACK;
return_state_next <= YIELD_CHECK_ENQUEUE;
when YIELD_CHECK_ENQUEUE =>
if (sch2tm_data(7) = '0') then
-- ENQ was successful, now DEQ to get next scheduling decision
current_cpu_thread_next <= sch2tm_next_id & '0'; -- update the currently running thread to the one that is scheduled to run next (AKA to be DEQ'd)
-- next_state <= YIELD_dummy_is_queued;
next_state <= YIELD_DEQUEUE;
else
-- ENQ failed, return error to caller
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
end if;
-- when YIELD_dummy_is_queued =>
-- tm2sch_request_next <= '1'; -- request the dummy is_queued operation
-- tm2sch_opcode_next <= OPCODE_IS_QUEUED;
-- tm2sch_data_next <= "11111111";
-- next_state <= ISQUEUED_WAIT_ACK;
-- return_state_next <= YIELD_DEQUEUE;
when YIELD_DEQUEUE =>
tm2sch_request_next <= '1'; -- request the DEQ operation to remove the thread to run from Q
tm2sch_opcode_next <= OPCODE_DEQUEUE;
tm2sch_data_next <= Z32(0 to 7);
next_state <= DEQUEUE_WAIT_ACK;
return_state_next <= YIELD_CHECK_DEQUEUE;
when YIELD_CHECK_DEQUEUE =>
if (sch2tm_data(7) = '1') then
-- error during DEQ...
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- DEQ completed successfully, end operation
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread(0 to 7) & '0'; -- setup the return value of the next thread to run (now in the currently running thread)
next_state <= END_TRANSACTION;
end if;
when EXIT_THREAD_INIT =>
bus_data_out_next <= Z32; -- change if failure occurs
ADDRA <= '0' & Bus2IP_Addr(22 to 29);
ENA <= '1';
next_state <= EXIT_THREAD_RD_WAIT;
when EXIT_THREAD_RD_WAIT =>
next_state <= EXIT_THREAD_AVAIABLE;
when EXIT_THREAD_AVAIABLE =>
-- full entry for the current_thread is required in later states
current_status_next <= DOA(0 to 31);
ADDRA <= '0' & Bus2IP_Addr(22 to 29);
ENA <= '1';
WEA <= '1';
if (DOA(24) = '1') then
-- Thread is detached
-- Make the thread status used and exited.
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= END_TRANSACTION;
elsif (DOA(25) = '1') then
-- Thread is joined
-- Make the thread status used and exited, and wake the parent
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= EXIT_READ_PARENT;
else
-- Thread is not detached and still joinable
-- Set the thread status to used and exited
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= END_TRANSACTION;
end if;
when EXIT_READ_PARENT =>
-- The thread that is exiting was joined, wake the parent up
ADDRA <= '0' & current_status(16 to 23);
ENA <= '1';
next_state <= EXIT_READ_PARENT_WAIT;
when EXIT_READ_PARENT_WAIT =>
next_state <= EXIT_READ_PARENT_AVAILABLE;
when EXIT_READ_PARENT_AVAILABLE =>
-- Make sure the parent thread is used and not exited
if (DOA(26 to 27) = "11") then
-- Parent thread is used and not exited.
-- Add the parent thread tothe scheduler's queue
tm2sch_opcode_next <= OPCODE_ENQUEUE;
tm2sch_request_next <= '1';
tm2sch_data_next <= current_status(16 to 23);
return_state_next <= EXIT_CHECK_ENQUEUE;
next_state <= ENQUEUE_WAIT_ACK;
else
-- Parent thread is either unused or exited, neither of which it should be
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when EXIT_CHECK_ENQUEUE =>
-- Check to make sure the scheduler added the thread correctly
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
bus_data_out_next <= Z32(0 to 31);
next_state <= END_TRANSACTION;
end if;
when RAISE_EXCEPTION =>
-- NOTE !!! You must assign Exception_Cause
-- where-ever you assign next_state <= RAISE_EXCEPTION;
Exception_Address_next <= Bus2IP_Addr(0 to 31); -- save address
access_error <= '1'; -- assert interrupt
my_ack <= '1'; -- done, "ack" the bus
next_state <= END_TRANSACTION_WAIT;
when END_TRANSACTION =>
IP2Bus_Data <= bus_data_out;
my_ack <= '1'; -- done, "ack" the bus
next_state <= END_TRANSACTION_WAIT;
when END_TRANSACTION_WAIT =>
if( Bus2IP_RdCE(0)='0' and Bus2IP_WrCE(0)='0' ) then
next_state <= IDLE_STATE;
else
next_state <= current_state;
end if;
when others =>
Exception_Cause_next <= EXCEPTION_ILLEGAL_STATE;
next_state <= RAISE_EXCEPTION;
end case; -- case current_state
end process SWTM_LOGIC_PROC;
-------------------------------------------------------------------
-- ICON core instance
-------------------------------------------------------------------
-- -- simulation translate_off
-- i_icon : chipscope_icon_v1_03_a
-- port map
-- (
-- control0 => control0
-- );
-- -- simulation translate_on
--
-- COUNTER_PROC : process (Bus2IP_Clk) is
-- begin
-- if( Bus2IP_Clk'event and Bus2IP_Clk='1' ) then
-- if (Bus2IP_Reset = '1') then
-- my_counter <= (others => '0');
-- else
-- my_counter <= my_counter + 1;
-- end if;
-- end if;
-- end process COUNTER_PROC;
--
-- --
--
-- -------------------------------------------------------------------
-- -- ILA core instance
-- -------------------------------------------------------------------
--
-- -- simulation translate_off
-- i_ila : chipscope_ila_v1_02_a
-- port map
-- (
-- control => control0,
-- clk => Bus2IP_Clk,
-- trig0(63 downto 32) => Bus2IP_Data,
-- trig0(31 downto 0) => my_counter, -- 64 bits -- Add in chipscope signals and run on board!!!!
-- trig1(63 downto 32) => Bus2IP_Addr,
-- trig1(31 downto 0) => bus_data_out, -- 64 bits
-- trig2 => current_status, -- 32 bits
-- trig3 => Bus2IP_Addr, -- 32 bits
-- trig4(0) => Bus2IP_RdCE, -- 16 bits
-- trig4(1) => Bus2IP_WrCE,
-- trig4(2) => my_ack,
-- trig4(3) => my_tout_sup,
-- trig4(4) => Bus2IP_Reset,
-- trig4(5) => '0',
-- trig4(6) => tm2sch_request_reg,
-- trig4(7) => next_ID(0),
-- trig4(8) => next_ID(1),
-- trig4(9) => next_ID(2),
-- trig4(10) => next_ID(3),
-- trig4(11) => next_ID(4),
-- trig4(12) => next_ID(5),
-- trig4(13) => next_ID(6),
-- trig4(14) => next_ID(7),
-- trig4(15) => next_ID(8)
-- );
-- -- simulation translate_on
--
end IMP;
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
--
-- Title Thread Manager
--
-- 26 Jul 2004: Mike Finley: Original author
-- 08 Jun 2005: Erik Anderson: Changes for new interface between TM and
-- Scheduler. Also adding function isQueue().
-- 15 Apr 2009: Jim Stevens: Ported to PLB version 4.6.
--
---------------------------------------------------------------------------
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_CS -- Bus to IP chip select
-- Bus2IP_RNW -- Bus to IP read/not write
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 1;
-- DO NOT EDIT ABOVE THIS LINE ---------------------
C_RESET_TIMEOUT : natural := 4096
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_CS : in std_logic_vector(0 to 0);
Bus2IP_RNW : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic;
-- DO NOT EDIT ABOVE THIS LINE ---------------------
Access_Intr : out std_logic;
Scheduler_Reset : out std_logic;
Scheduler_Reset_Done : in std_logic;
Semaphore_Reset : out std_logic;
Semaphore_Reset_Done : in std_logic;
SpinLock_Reset : out std_logic;
SpinLock_Reset_Done : in std_logic;
User_IP_Reset : out std_logic;
User_IP_Reset_Done : in std_logic;
Soft_Stop : out std_logic;
tm2sch_cpu_thread_id : out std_logic_vector(0 to 7);
tm2sch_opcode : out std_logic_vector(0 to 5);
tm2sch_data : out std_logic_vector(0 to 7);
tm2sch_request : out std_logic;
tm2sch_DOB : out std_logic_vector(0 to 31);
sch2tm_ADDRB : in std_logic_vector(0 to 8);
sch2tm_DIB : in std_logic_vector(0 to 31);
sch2tm_ENB : in std_logic;
sch2tm_WEB : in std_logic;
sch2tm_busy : in std_logic;
sch2tm_data : in std_logic_vector(0 to 7);
sch2tm_next_id : in std_logic_vector(0 to 7);
sch2tm_next_id_valid : in std_logic
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
-- Define the memory map for each register, Address[16 to 21]
--
constant C_CLEAR_THREAD : std_logic_vector(0 to 5) := "000000";
constant C_JOIN_THREAD : std_logic_vector(0 to 5) := "000001";
constant C_READ_THREAD : std_logic_vector(0 to 5) := "000011";
constant C_ADD_THREAD : std_logic_vector(0 to 5) := "000100";
constant C_CREATE_THREAD_J : std_logic_vector(0 to 5) := "000101";
constant C_CREATE_THREAD_D : std_logic_vector(0 to 5) := "000110";
constant C_EXIT_THREAD : std_logic_vector(0 to 5) := "000111";
constant C_NEXT_THREAD : std_logic_vector(0 to 5) := "001000";
constant C_YIELD_THREAD : std_logic_vector(0 to 5) := "001001";
constant C_CURRENT_THREAD : std_logic_vector(0 to 5) := "010000";
constant C_IS_DETACHED : std_logic_vector(0 to 5) := "011000";
constant C_IS_QUEUED : std_logic_vector(0 to 5) := "011001";
constant C_EXCEPTION_ADDR : std_logic_vector(0 to 5) := "010011";
constant C_EXCEPTION_REG : std_logic_vector(0 to 5) := "010100";
constant C_SOFT_START : std_logic_vector(0 to 5) := "010101";
constant C_SOFT_STOP : std_logic_vector(0 to 5) := "010110";
constant C_SOFT_RESET : std_logic_vector(0 to 5) := "010111";
constant C_SCHED_LINES : std_logic_vector(0 to 5) := "011010";
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
constant OPCODE_IS_QUEUED : std_logic_vector(0 to 5) := "000001";
constant OPCODE_ENQUEUE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DEQUEUE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_IS_EMPTY : std_logic_vector(0 to 5) := "000110";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
constant H32 : std_logic_vector(0 to 31) := (others => '1');
constant MAX_QUEUE_SIZE : std_logic_vector(0 to 7) := (others => '1');
constant TOUT_CYCLES : natural := 3; -- assert timeout suppress
signal cycle_count : std_logic_vector(0 to 15);
signal timeout_expired : std_logic;
-- Extended Thread Error Codes returned in lower 4 bits
constant ERROR_IN_STATUS : std_logic_vector(0 to 3) := "0001";
constant THREAD_ALREADY_TERMINATED : std_logic_vector(0 to 3) := "0011";
constant THREAD_ALREADY_QUEUED : std_logic_vector(0 to 3) := "0101";
constant ERROR_FROM_SCHEDULER : std_logic_vector(0 to 3) := "0111";
constant JOIN_ERROR_CHILD_JOINED : std_logic_vector(0 to 3) := "1001";
constant JOIN_ERROR_NOT_CHILD : std_logic_vector(0 to 3) := "1011";
constant JOIN_ERROR_CHILD_DETACHED : std_logic_vector(0 to 3) := "1101";
constant JOIN_ERROR_CHILD_NOT_USED : std_logic_vector(0 to 3) := "1111";
constant JOIN_ERROR_UNKNOWN : std_logic_vector(0 to 3) := "0001";
constant CLEAR_ERROR_NOT_USED : std_logic_vector(0 to 3) := "1001";
-- Exception "cause" returned in Exception register
constant EXCEPTION_WRITE_TO_READ_ONLY : std_logic_vector(0 to 3) := "0001";
constant EXCEPTION_UNDEFINED_ADDRESS : std_logic_vector(0 to 3) := "0010";
constant EXCEPTION_TO_SOFT_RESET : std_logic_vector(0 to 3) := "0011";
constant EXCEPTION_TO_SCHD_ISQUEUED : std_logic_vector(0 to 3) := "0100";
constant EXCEPTION_TO_SCHD_ENQUEUE : std_logic_vector(0 to 3) := "0101";
constant EXCEPTION_TO_SCHD_DEQUEUE : std_logic_vector(0 to 3) := "0110";
constant EXCEPTION_TO_SCHD_ISEMPTY : std_logic_vector(0 to 3) := "0111";
constant EXCEPTION_TO_SCHD_NEXT_THREAD : std_logic_vector(0 to 3) := "1000";
constant EXCEPTION_SCHD_INVALID_THREAD : std_logic_vector(0 to 3) := "1001";
constant EXCEPTION_ILLEGAL_STATE : std_logic_vector(0 to 3) := "1111";
-- BRAM constants
constant BRAM_ADDRESS_BITS : integer := 9;
constant BRAM_DATA_BITS : integer := 32;
-- Address,Cause for access exceptions
--
signal Exception_Address : std_logic_vector(0 to 31);
signal Exception_Address_next : std_logic_vector(0 to 31);
signal Exception_Cause : std_logic_vector(0 to 3);
signal Exception_Cause_next : std_logic_vector(0 to 3);
signal access_error : std_logic;
-- Debug control signals
--
-- Soft reset signals, LSB = SWTM reset; reset IP(s) if '1'
-- Resets done, handshake from IPs if done resetting(1)
-- core_stop , halt state machines at next appropriate point if '1'
--
signal soft_resets : std_logic_vector(0 to 4);
signal soft_resets_next : std_logic_vector(0 to 4);
signal resets_done : std_logic_vector(0 to 4);
signal reset_status : std_logic_vector(0 to 4);
signal reset_status_next : std_logic_vector(0 to 4);
signal core_stop : std_logic;
signal core_stop_next : std_logic;
-- Declarations for each register
-- Current thread,Idle thread : bits 0..7 = ID, bit 8 = '1' = invalid
signal current_cpu_thread : std_logic_vector(0 to 8);
signal current_cpu_thread_next : std_logic_vector(0 to 8);
-- internal signals
signal next_ID : std_logic_vector(0 to 8);
signal next_ID_next : std_logic_vector(0 to 8);
signal temp_thread_id : std_logic_vector(0 to 7);
signal temp_thread_id_next : std_logic_vector(0 to 7);
signal temp_thread_id2 : std_logic_vector(0 to 7);
signal temp_thread_id2_next : std_logic_vector(0 to 7);
signal reset_ID : std_logic_vector(0 to 8);
type swtm_state_type is
(IDLE_STATE,
SOFT_RESET_WRITE_INIT,
SOFT_RESET_INIT_TABLE,
SOFT_RESET_WAIT,
READ_THREAD_INIT,
READ_THREAD_RD_WAIT,
READ_THREAD_DONE,
CREATE_THREAD_INIT,
CT_NEW_ID_RD_WAIT,
CT_NEW_ID_AVAILABLE,
CT_ENTRY_RD_WAIT,
CT_ENTRY_AVAILABLE,
CT_DONE,
CLEAR_THREAD_INIT,
CLEAR_ENTRY_RD_WAIT,
CLEAR_ENTRY_AVAIABLE,
DEALLOCATE_ID,
DEALLOCATE_NEXT_ENTRY_RD_WAIT,
DEALLOCATE_NEXT_ENTRY_AVAIL,
JOIN_THREAD_INIT,
JOIN_RD_ENTRY_RD_WAIT,
JOIN_RD_ENTRY_AVAILABLE,
IS_QUEUED_INIT,
IS_QUEUED_DONE,
IS_DETACHED_THREAD_INIT,
IS_DETACHED_ENTRY_RD_WAIT,
IS_DETACHED_ENTRY_AVAILABLE,
NEXT_THREAD_INIT,
NEXT_THREAD_WAIT4_SCHEDULER,
NEXT_THREAD_RD_WAIT,
NEXT_THREAD_AVAILABLE,
NEXT_THREAD_CHECK_DEQUEUE,
ADD_THREAD_INIT,
AT_ENTRY_RD_WAIT,
AT_ENTRY_AVAILABLE,
AT_ISQUEUED_WAIT,
AT_CHECK_ISQUEUE,
AT_ENQUEUE_WAIT,
AT_CHECK_ENQUEUE,
ISQUEUED_WAIT_ACK,
ISQUEUED_WAIT_COMPLETE,
ENQUEUE_WAIT_ACK,
ENQUEUE_WAIT_COMPLETE,
DEQUEUE_WAIT_ACK,
DEQUEUE_WAIT_COMPLETE,
IS_QUEUE_EMPTY_WAIT_ACK,
IS_QUEUE_EMPTY_WAIT_COMPLETE,
YIELD_THREAD_INIT,
YIELD_CURRENT_THREAD_RD_WAIT,
YIELD_CURRENT_THREAD_AVAILABLE,
YIELD_CHECK_QUEUE_EMPTY,
YIELD_ENQUEUE,
YIELD_CHECK_ENQUEUE,
-- YIELD_dummy_is_queued,
YIELD_DEQUEUE,
YIELD_CHECK_DEQUEUE,
EXIT_THREAD_INIT,
EXIT_THREAD_RD_WAIT,
EXIT_THREAD_AVAIABLE,
EXIT_DEALLOCATE,
EXIT_NEXT_THREAD_RD_WAIT,
EXIT_NEXT_THREAD_AVAILABLE,
EXIT_READ_PARENT,
EXIT_READ_PARENT_WAIT,
EXIT_READ_PARENT_AVAILABLE,
EXIT_CHECK_ENQUEUE,
RAISE_EXCEPTION,
END_TRANSACTION,
END_TRANSACTION_WAIT);
signal current_state, next_state : swtm_state_type := IDLE_STATE;
signal return_state, return_state_next : swtm_state_type := IDLE_STATE;
signal bus_data_out : std_logic_vector(0 to 31);
signal bus_data_out_next : std_logic_vector(0 to 31);
signal current_status : std_logic_vector(0 to 31);
signal current_status_next : std_logic_vector(0 to 31);
signal Swtm_Reset_Done : std_logic;
signal Swtm_Reset_Done_next : std_logic;
signal new_ID : std_logic_vector(0 to 7);
signal new_ID_next : std_logic_vector(0 to 7);
signal tm2sch_request_next : std_logic;
signal tm2sch_request_reg : std_logic;
signal tm2sch_data_next : std_logic_vector(0 to 7);
signal tm2sch_data_reg : std_logic_vector(0 to 7);
signal tm2sch_opcode_next : std_logic_vector(0 to 5);
signal tm2sch_opcode_reg : std_logic_vector(0 to 5);
-- Signals for thread table BRAM
signal ENA : std_logic;
signal WEA : std_logic;
signal ADDRA : std_logic_vector(0 to BRAM_ADDRESS_BITS - 1);
signal DIA : std_logic_vector(0 to BRAM_DATA_BITS - 1);
signal DOA : std_logic_vector(0 to BRAM_DATA_BITS - 1);
alias addr :std_logic_vector(0 to 5) is Bus2IP_Addr(16 to 21);
---------------------------------------------------------------------------
-- Component Instantiation of inferred dual ported block RAM
---------------------------------------------------------------------------
component infer_bram_dual_port is
generic (
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to ADDRESS_BITS - 1);
DIA : in std_logic_vector(0 to DATA_BITS - 1);
DOA : out std_logic_vector(0 to DATA_BITS - 1);
CLKB : in std_logic;
ENB : in std_logic;
WEB : in std_logic;
ADDRB : in std_logic_vector(0 to ADDRESS_BITS - 1);
DIB : in std_logic_vector(0 to DATA_BITS - 1);
DOB : out std_logic_vector(0 to DATA_BITS - 1)
);
end component infer_bram_dual_port;
-------------------------------------------------------------------
-- ICON core signal declarations
-------------------------------------------------------------------
signal control0 : std_logic_vector(35 downto 0);
signal my_ack, my_tout_sup, my_error, my_sched_req : std_logic; -- TODO: This line might be gone.
signal my_counter : std_logic_vector(0 to 31);
-------------------------------------------------------------------
-- ICON core component declaration
-------------------------------------------------------------------
-- simulation translate_off
--component chipscope_icon_v1_03_a
-- port
-- (
-- control0 : out std_logic_vector(35 downto 0)
-- );
--end component;
-- simulation translate_on
-------------------------------------------------------------------
-- ILA core component declaration
-------------------------------------------------------------------
-- simulation translate_off
--component chipscope_ila_v1_02_a
-- port
-- (
--- control : in std_logic_vector(35 downto 0);
-- clk : in std_logic;
-- trig0 : in std_logic_vector(63 downto 0);
-- trig1 : in std_logic_vector(63 downto 0);
-- trig2 : in std_logic_vector(31 downto 0);
-- trig3 : in std_logic_vector(31 downto 0);
-- trig4 : in std_logic_vector(15 downto 0)
-- );
--end component;
-- simulation translate_on
begin
thread_table_bram : infer_bram_dual_port
generic map (
ADDRESS_BITS => BRAM_ADDRESS_BITS,
DATA_BITS => BRAM_DATA_BITS
)
port map (
CLKA => Bus2IP_Clk,
ENA => ENA,
WEA => WEA,
ADDRA => ADDRA,
DIA => DIA,
DOA => DOA,
CLKB => Bus2IP_Clk,
ENB => sch2tm_ENB,
WEB => sch2tm_WEB,
ADDRB => sch2tm_ADDRB,
DIB => sch2tm_DIB,
DOB => tm2sch_DOB
);
tm2sch_opcode <= tm2sch_opcode_reg;
tm2sch_data <= tm2sch_data_reg;
tm2sch_request <= tm2sch_request_reg;
Soft_Stop <= core_stop;
Scheduler_Reset <= soft_resets(3);
Semaphore_Reset <= soft_resets(2);
SpinLock_Reset <= soft_resets(1);
User_IP_Reset <= soft_resets(0);
Access_Intr <= access_error;
CYCLE_PROC : process (Bus2IP_Clk, Bus2IP_CS) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk='1' ) then
if( Bus2IP_CS(0) = '0' ) then
cycle_count <= (others => '0');
else
cycle_count <= cycle_count + 1;
end if;
end if;
end process CYCLE_PROC;
--
-- create a counter for the number of elapsed cycles
-- in each bus transaction.
-- assert TimeOut suppress when count = TOUT_CYCLES
--
CYCLE_CONTROL : process( cycle_count ) is
begin
IP2Bus_Error <= '0'; -- no error
--
-- count the number of elapsed clock cycles in transaction
--
if cycle_count < C_RESET_TIMEOUT then
timeout_expired <= '0';
else
--timeout_expired <= '1';
timeout_expired <= '0'; -- Disable timeouts.
end if;
--
-- activate time out suppress if count exceeds TOUT_CYCLES
-- edk. Why isn't this done inside the clk_event ???
--
-- if cycle_count > TOUT_CYCLES then
-- --IP2Bus_ToutSup <= '1'; -- halt time out counter
-- my_tout_sup <= '1'; -- halt time out counter
-- else
-- --IP2Bus_ToutSup <= '0'; -- release
-- my_tout_sup <= '0'; -- release
-- end if;
end process CYCLE_CONTROL;
-- IP2Bus_ToutSup <= my_tout_sup;
RESET_PROC : process (Bus2IP_Clk, addr, current_state)
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( addr = C_SOFT_RESET and current_state = SOFT_RESET_WRITE_INIT ) then
reset_ID <= (others => '0');
else
reset_ID <= reset_ID + 1;
end if;
end if;
end process;
ACK_PROC : process(my_ack, Bus2IP_RdCE, Bus2IP_WrCE)
begin
if (Bus2IP_RdCE(0) = '1') then
IP2Bus_RdAck <= my_ack;
else
IP2Bus_RdAck <= '0';
end if;
if (Bus2IP_WrCE(0) = '1') then
IP2Bus_WrAck <= my_ack;
else
IP2Bus_WrAck <= '0';
end if;
end process;
SWTM_STATE_PROC : process (Bus2IP_Clk, core_stop_next, new_ID_next, next_ID_next, temp_thread_id_next, temp_thread_id2_next, current_cpu_thread_next, Current_status_next, soft_resets_next, reset_status_next, Swtm_Reset_Done_next, Scheduler_Reset_Done, Semaphore_Reset_Done, SpinLock_Reset_Done, User_IP_Reset_Done, next_state, return_state_next, Bus2IP_Reset,Exception_Cause_next) is
begin
if (Bus2IP_Clk'event and (Bus2IP_Clk = '1')) then
core_stop <= core_stop_next;
new_ID <= new_ID_next;
next_ID <= next_ID_next;
temp_thread_id <= temp_thread_id_next;
temp_thread_id2 <= temp_thread_id2_next;
current_cpu_thread <= current_cpu_thread_next;
tm2sch_cpu_thread_id <= current_cpu_thread_next(0 to 7);
tm2sch_data_reg <= tm2sch_data_next;
tm2sch_opcode_reg <= tm2sch_opcode_next;
tm2sch_request_reg <= tm2sch_request_next;
current_status <= current_status_next;
Exception_Address <= Exception_Address_next;
Exception_Cause <= Exception_Cause_next;
soft_resets <= soft_resets_next;
reset_status <= reset_status_next;
bus_data_out <= bus_data_out_next;
Swtm_Reset_Done <= Swtm_Reset_Done_next;
resets_done(4) <= Swtm_Reset_Done_next;
resets_done(3) <= Scheduler_Reset_Done;
resets_done(2) <= Semaphore_Reset_Done;
resets_done(1) <= SpinLock_Reset_Done;
resets_done(0) <= User_IP_Reset_Done;
return_state <= return_state_next;
if( Bus2IP_Reset = '1' ) then
current_state <= IDLE_STATE;
else
current_state <= next_state;
end if;
end if;
end process SWTM_STATE_PROC;
-- IP2Bus_Ack <= my_ack; -- pulse(010) to end bus transaction
SWTM_LOGIC_PROC : process (current_state, core_stop, new_ID, next_ID, current_cpu_thread, current_status, reset_status, Swtm_Reset_Done, soft_resets, Bus2IP_Addr, Bus2IP_Data, Exception_Address, Bus2IP_WrCE, addr, Bus2IP_RdCE, reset_ID, resets_done, timeout_expired, DOA, sch2tm_next_id_valid, sch2tm_next_id, sch2tm_busy, bus_data_out, Exception_Cause, tm2sch_request_reg, tm2sch_data_reg, tm2sch_opcode_reg, temp_thread_id, temp_thread_id2) is
begin
-- -------------------------------------------------
-- default output signal assignments
-- -------------------------------------------------
my_ack <= '0'; -- pulse(010) to end bus transaction
access_error <= '0'; -- pulse(010) for access error interrupt
IP2Bus_Data <= (others => '0');
ADDRA <= (others => '0');
ENA <= '0';
WEA <= '0';
DIA <= (others => '0');
-- -------------------------------------------------
-- default register assignments
-- -------------------------------------------------
next_state <= current_state;
return_state_next <= return_state;
core_stop_next <= core_stop;
new_ID_next <= new_ID;
next_ID_next <= next_ID;
temp_thread_id_next <= temp_thread_id;
temp_thread_id2_next <= temp_thread_id2;
current_cpu_thread_next <= current_cpu_thread;
current_status_next <= current_status;
Exception_Address_next <= Exception_Address;
reset_status_next <= reset_status;
Swtm_Reset_Done_next <= Swtm_Reset_Done;
Exception_Cause_next <= Exception_Cause;
tm2sch_request_next <= tm2sch_request_reg;
tm2sch_data_next <= tm2sch_data_reg;
tm2sch_opcode_next <= tm2sch_opcode_reg;
bus_data_out_next <= bus_data_out;
soft_resets_next <= soft_resets;
case current_state is
-- Command (addr) decode whenever we are waiting for something new to do.
when IDLE_STATE =>
bus_data_out_next <= (others => '0');
if (Bus2IP_WrCE(0) = '1') then
case addr is
when C_SOFT_START =>
-- Any write to soft_start address clears
-- all soft reset signals and the Soft_Stop signal
soft_resets_next <= (others => '0');
swtm_reset_done_next <= '0'; -- clear SWTM's reset done
core_stop_next <= '0'; -- clear core_stop
next_state <= END_TRANSACTION;
when C_SOFT_STOP =>
-- write any data to Soft_Stop to assert the Soft_Stop signal
core_stop_next <= '1';
next_state <= END_TRANSACTION;
when C_SOFT_RESET =>
next_state <= SOFT_RESET_WRITE_INIT;
when C_READ_THREAD =>
if (core_stop = '1') then
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
WEA <= '1';
ENA <= '1';
DIA <= Bus2IP_Data(0 to 31);
next_state <= END_TRANSACTION;
else
Exception_Cause_next <= EXCEPTION_WRITE_TO_READ_ONLY;
next_state <= RAISE_EXCEPTION;
end if;
when others =>
Exception_Cause_next <= EXCEPTION_UNDEFINED_ADDRESS;
next_state <= RAISE_EXCEPTION;
end case;
elsif (Bus2IP_RdCE(0) = '1') then
case addr is
when C_SOFT_START =>
bus_data_out_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_SOFT_STOP =>
-- returns signal level in LSB on read
bus_data_out_next <= Z32(0 to 30) & core_stop;
next_state <= END_TRANSACTION;
when C_SOFT_RESET =>
-- returns 1's in bit positions that failed
bus_data_out_next <= Z32(0 to 26) & reset_status;
next_state <= END_TRANSACTION;
when C_CURRENT_THREAD =>
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread;
next_state <= END_TRANSACTION;
when C_EXCEPTION_ADDR =>
bus_data_out_next <= Exception_Address;
Exception_Address_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_EXCEPTION_REG =>
bus_data_out_next <= Z32(0 to 27) & Exception_Cause;
Exception_Cause_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_SCHED_LINES =>
bus_data_out_next <= Z32(0 to 6) & sch2tm_busy & sch2tm_data &
Z32(16 to 22) & sch2tm_next_id_valid &
sch2tm_next_id;
next_state <= END_TRANSACTION;
when C_READ_THREAD => next_state <= READ_THREAD_INIT;
when C_CREATE_THREAD_D => next_state <= CREATE_THREAD_INIT;
when C_CREATE_THREAD_J => next_state <= CREATE_THREAD_INIT;
when C_CLEAR_THREAD => next_state <= CLEAR_THREAD_INIT;
when C_JOIN_THREAD => next_state <= JOIN_THREAD_INIT;
when C_IS_DETACHED => next_state <= IS_DETACHED_THREAD_INIT;
when C_IS_QUEUED => next_state <= IS_QUEUED_INIT;
when C_NEXT_THREAD => next_state <= NEXT_THREAD_INIT;
when C_ADD_THREAD => next_state <= ADD_THREAD_INIT;
when C_YIELD_THREAD => next_state <= YIELD_THREAD_INIT;
when C_EXIT_THREAD => next_state <= EXIT_THREAD_INIT;
when others =>
Exception_Cause_next <= EXCEPTION_UNDEFINED_ADDRESS;
next_state <= RAISE_EXCEPTION;
end case;
end if;
--
-- read/write to the soft resets register (1 bit per IP)
-- write '1' to reset, reads '1' if timeout error occured
-- before IP reports finished
--
-- SW Thread Manager = bit#4 (LSB)
-- Scheduler = bit#3
-- Semaphore = bit#2
-- SpinLock = bit#1
-- User_IP = bit#0
--
when SOFT_RESET_WRITE_INIT =>
soft_resets_next <= Bus2IP_Data(27 to 31);
reset_status_next <= (others => '0');
swtm_reset_done_next <= '0'; -- clear SWTM's reset_done
if (Bus2IP_Data(31) = '1') then -- soft_resets(4)
--
-- perform a soft reset on SWTM
--
bus_data_out_next <= (others => '0');
new_ID_next <= (others => '0');
next_ID_next <= (others => '0');
temp_thread_id_next <= (others => '0');
current_cpu_thread_next <= Z32(0 to 7) & '1';
core_stop_next <= '0';
tm2sch_opcode_next <= OPCODE_NOOP;
tm2sch_data_next <= (others => '0');
tm2sch_request_next <= '0';
next_state <= SOFT_RESET_INIT_TABLE;
else
next_state <= SOFT_RESET_WAIT;
end if;
-- initialize the thread ID table to all zeros
-- and the next available stack to 0..255
when SOFT_RESET_INIT_TABLE =>
ADDRA <= reset_ID;
ENA <= '1';
WEA <= '1';
if( reset_ID(0) = '0' ) then
-- init available ID stack & thread ID table
DIA <= reset_ID(1 to 8) & Z32(0 to 23);
else
-- clear 2nd half of table (unused)
DIA <= Z32(0 to 31);
end if;
if( reset_ID = H32(0 to 8) ) then
swtm_reset_done_next<= '1'; -- done
next_state <= soft_reset_wait;
end if;
-- wait for all IPs to finish initialization or
-- the maximum time to be exceeded then
-- ack to finish transaction
when SOFT_RESET_WAIT =>
if (resets_done = soft_resets) then -- done
next_state <= END_TRANSACTION;
elsif (timeout_expired = '1') then
reset_status_next <= (resets_done xor soft_resets);
Exception_Cause_next <= EXCEPTION_TO_SOFT_RESET;
next_state <= RAISE_EXCEPTION; -- timeout
else
next_state <= current_state;
end if;
when READ_THREAD_INIT =>
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
WEA <= '0';
ENA <= '1';
next_state <= READ_THREAD_RD_WAIT;
when READ_THREAD_RD_WAIT =>
next_state <= READ_THREAD_DONE;
when READ_THREAD_DONE =>
bus_data_out_next <= DOA;
next_state <= END_TRANSACTION;
when CREATE_THREAD_INIT =>
if next_ID(0) = '1' then
-- no IDs available, return with error bit set
--
bus_data_out_next <= Z32(0 to 30) & '1';
next_state <= END_TRANSACTION;
else
-- read next ID from stack
--
ADDRA <= next_ID;
ENA <= '1';
next_state <= CT_NEW_ID_RD_WAIT;
end if;
when CT_NEW_ID_RD_WAIT =>
next_state <= CT_NEW_ID_AVAILABLE;
when CT_NEW_ID_AVAILABLE =>
new_ID_next <= DOA(0 to 7); -- save new ID#
ADDRA <= '0' & DOA(0 to 7); -- point to new thread
ENA <= '1';
next_state <= CT_ENTRY_RD_WAIT;
when CT_ENTRY_RD_WAIT =>
next_state <= CT_ENTRY_AVAILABLE;
when CT_ENTRY_AVAILABLE =>
ADDRA <= '0' & new_ID;
ENA <= '1';
WEA <= '1'; -- enable write to bram
-- Determine if the thread to create is DETACHED / JOINABLE
if addr = C_CREATE_THREAD_D then -- set new thread status
-- create detached
DIA <= DOA(0 to 7) & Z32(0 to 7) &
Z32(0 to 7) & "1011" & Z32(0 to 3);
else
-- create joinable
DIA <= DOA(0 to 7) & Z32(0 to 7) &
current_cpu_thread(0 to 7) & "0011" & Z32(0 to 3);
end if;
next_state <= CT_DONE;
when CT_DONE =>
-- return new ID with no error,
bus_data_out_next <= Z32(0 to 22) & new_ID & '0';
-- point to next available ID
next_ID_next <= next_ID + 1;
next_state <= END_TRANSACTION;
when CLEAR_THREAD_INIT =>
-- clear the encoded thread ID if it is used and exited
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= CLEAR_ENTRY_RD_WAIT;
when CLEAR_ENTRY_RD_WAIT =>
next_state <= CLEAR_ENTRY_AVAIABLE ;
when CLEAR_ENTRY_AVAIABLE =>
if (DOA(26 to 27) = "10") then -- used and exited
bus_data_out_next <= Z32; -- success, return zero
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
WEA <= '1'; -- clear old status but
DIA <= DOA(0 to 7) & Z32(0 to 23); -- preserve ID stack
next_state <= DEALLOCATE_ID;
else
-- error occurred, return thread status w/ LSB=1
bus_data_out_next <= DOA(0 to 27) & CLEAR_ERROR_NOT_USED;
next_state <= END_TRANSACTION;
end if;
when DEALLOCATE_ID =>
if (next_ID /= Z32(0 to 8)) then
ADDRA <= next_ID - 1;
ENA <= '1';
next_ID_next <= next_ID - 1;
next_state <= DEALLOCATE_NEXT_ENTRY_RD_WAIT;
else
next_state <= END_TRANSACTION;
end if;
when DEALLOCATE_NEXT_ENTRY_RD_WAIT =>
next_state <= DEALLOCATE_NEXT_ENTRY_AVAIL;
when DEALLOCATE_NEXT_ENTRY_AVAIL =>
-- put ID back on stack, preserve other bits
ADDRA <= next_ID;
ENA <= '1';
WEA <= '1';
DIA <= Bus2IP_Addr(22 to 29) & DOA(8 to 31);
next_state <= END_TRANSACTION;
when JOIN_THREAD_INIT =>
-- join on the encoded thread ID if its PID = current_thread
-- and its status = used,~joined,~detached
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= JOIN_RD_ENTRY_RD_WAIT;
when JOIN_RD_ENTRY_RD_WAIT =>
next_state <= JOIN_RD_ENTRY_AVAILABLE;
when JOIN_RD_ENTRY_AVAILABLE =>
if ((DOA(16 to 23) & '0' = current_cpu_thread) and -- PID = current thread
(DOA(24 to 25) = "00") and -- ~detached,~joined
(DOA(26 to 27) /= "00")) then -- not unused
if DOA(27) = '0' then
-- thread has already exited, return a WARNING code
bus_data_out_next <= Z32(0 to 27) & THREAD_ALREADY_TERMINATED;
next_state <= END_TRANSACTION;
else
-- thread has not exited
bus_data_out_next <= Z32; -- success, return zero
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
WEA <= '1';
-- clear old status but
-- set joined bit; and preserve all other bits
DIA <= DOA(0 to 24) & '1' & DOA(26 to 31);
next_state <= END_TRANSACTION;
end if;
else
-- An error occured. Determine the error and return correct error code.
if( DOA(24) = '1' ) then
-- trying to join on a detached thread
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_DETACHED;
elsif ( DOA(24 to 25) = "01" ) then
-- tyring to join on a thread that is already joined
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_JOINED;
elsif( DOA(26) = '0' ) then
-- trying to join on a thread that is not used
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_NOT_USED;
elsif( DOA(16 to 23) & '0' /= current_cpu_thread ) then
-- trying to join to a thread that is not the current thread's child
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_NOT_CHILD;
else
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_UNKNOWN;
end if;
next_state <= END_TRANSACTION;
end if;
when IS_DETACHED_THREAD_INIT =>
-- Returns a 1 if the encoded thread ID is detached, else returns 0
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= IS_DETACHED_ENTRY_RD_WAIT;
when IS_DETACHED_ENTRY_RD_WAIT =>
next_state <= IS_DETACHED_ENTRY_AVAILABLE;
when IS_DETACHED_ENTRY_AVAILABLE =>
if (DOA(24) = '1' and DOA(26) = '1') then
-- Thread is detached, return 1
bus_data_out_next <= Z32(0 to 29) & "10"; -- The 0 in the last bit indicates no error
else
-- Thread is not detached, or not used, return 0
bus_data_out_next <= Z32;
end if;
next_state <= END_TRANSACTION;
when IS_QUEUED_INIT =>
tm2sch_opcode_next <= OPCODE_IS_QUEUED;
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29); -- thread ID
next_state <= ISQUEUED_WAIT_ACK;
return_state_next <= IS_QUEUED_DONE;
when IS_QUEUED_DONE =>
bus_data_out_next <= Z32(0 to 22) & sch2tm_data & '0';
next_state <= END_TRANSACTION;
when NEXT_THREAD_INIT =>
-- Return to the caller the value of the next thread to run
if sch2tm_next_id_valid = '1' then
-- the next thread has been identified,
-- read from Scheduler and check thread status
-- as stored by SWTM for consistency
ADDRA <= '0' & sch2tm_next_id;
ENA <= '1';
next_state <= NEXT_THREAD_RD_WAIT;
else
next_state <= NEXT_THREAD_WAIT4_SCHEDULER;
end if;
when NEXT_THREAD_WAIT4_SCHEDULER =>
if (sch2tm_next_id_valid = '1') then
-- Scheduler has made a scheduling decision
ADDRA <= '0' & sch2tm_next_id;
ENA <= '1';
next_state <= NEXT_THREAD_RD_WAIT;
elsif (timeout_expired = '1') then
-- Timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_NEXT_THREAD;
next_state <= RAISE_EXCEPTION; -- timeout
else
-- Continue waiting for scheduler
next_state <= current_state;
end if;
when NEXT_THREAD_RD_WAIT =>
next_state <= NEXT_THREAD_AVAILABLE;
when NEXT_THREAD_AVAILABLE =>
if DOA(26 to 27) = "11" then
-- thread status is used and not exited
-- dequeue the next_thread_id from the scheduler's queue
current_cpu_thread_next <= sch2tm_next_id & '0';
-- Send dequeue opperation to scheduler
tm2sch_opcode_next <= OPCODE_DEQUEUE;
tm2sch_request_next <= '1';
tm2sch_data_next <= Z32(0 to 7);
next_state <= DEQUEUE_WAIT_ACK;
return_state_next <= NEXT_THREAD_CHECK_DEQUEUE;
else
-- TM and SCHEDULER disagree if thread was used and not exited
-- return thread ID, set error bit and raise exception
bus_data_out_next <= Z32(0 to 22) & sch2tm_next_id & '1';
Exception_Cause_next <= EXCEPTION_SCHD_INVALID_THREAD;
next_state <= RAISE_EXCEPTION; -- timeout
end if;
when NEXT_THREAD_CHECK_DEQUEUE =>
-- Perform a check to make sure scheduler completed successfully
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
-- return the value of the next thread id (which by now is in the current_cpu_thread register)
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread(0 to 7) & '0';
next_state <= END_TRANSACTION;
end if;
when ADD_THREAD_INIT =>
-- if the thread is !used or exited return error
-- call scheduler to check queued status
-- if queued return error
-- call scheduler to enqueue thread ID
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- encoded thread ID
ENA <= '1';
next_state <= AT_ENTRY_RD_WAIT;
when AT_ENTRY_RD_WAIT =>
next_state <= AT_ENTRY_AVAILABLE;
when AT_ENTRY_AVAILABLE =>
-- check to see if the thread is used and !exited
if (DOA(26 to 27) = "11") then
-- thread is used and not exited
-- call scheduler isQueued
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29);
tm2sch_opcode_next <= OPCODE_IS_QUEUED;
next_state <= ISQUEUED_WAIT_ACK;
return_state_next <= AT_CHECK_ISQUEUE;
else
-- thread is unused or exited (or both)
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when AT_CHECK_ISQUEUE =>
-- Check to see if the thread is queued
if sch2tm_data(7) = '0' then
-- Thread is not queued, call scheduler's enqueue
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29);
tm2sch_opcode_next <= OPCODE_ENQUEUE;
next_state <= ENQUEUE_WAIT_ACK;
return_state_next <= AT_CHECK_ENQUEUE;
else
-- Thread is queued, return error
bus_data_out_next <= DOA(0 to 7) & sch2tm_data & DOA(16 to 27) & THREAD_ALREADY_QUEUED;
next_state <= END_TRANSACTION;
end if;
when AT_CHECK_ENQUEUE =>
-- Check to make sure the scheduler added the thread correctly
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 7) & sch2tm_data & Z32(16 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
bus_data_out_next <= Z32(0 to 7) & sch2tm_data & Z32(16 to 31);
next_state <= END_TRANSACTION;
end if;
when ISQUEUED_WAIT_ACK =>
-- wait for the scheduler to acknowledge the isqueued request
if sch2tm_busy = '0' then
-- scheduler has not yet responded to request
next_state <= current_state;
elsif (timeout_expired = '1') then
-- timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISQUEUED;
next_state <= RAISE_EXCEPTION;
else
-- scheduler acknowledged request, lower request line
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= ISQUEUED_WAIT_COMPLETE;
end if;
when ISQUEUED_WAIT_COMPLETE =>
-- wait for the scheduler to complete the isqueued request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
next_state <= current_state;
elsif (timeout_expired = '1') then
-- timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISQUEUED;
next_state <= RAISE_EXCEPTION;
else
-- scheduler finished request, and (should) have data on data_return line
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when ENQUEUE_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the enqueue request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ENQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= ENQUEUE_WAIT_COMPLETE;
end if;
when ENQUEUE_WAIT_COMPLETE =>
-- wait for the scheduler to complete the enqueue request
if sch2tm_busy = '1' then
-- scheduler has notyet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ENQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when DEQUEUE_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the dequeue request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_DEQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= DEQUEUE_WAIT_COMPLETE;
end if;
when DEQUEUE_WAIT_COMPLETE =>
-- wait for the scheduler to complete the dequeue request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_DEQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when IS_QUEUE_EMPTY_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the is queue empty request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISEMPTY;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= IS_QUEUE_EMPTY_WAIT_COMPLETE;
end if;
when IS_QUEUE_EMPTY_WAIT_COMPLETE =>
-- wait for the scheduler to complete the is queue empty request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISEMPTY;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when YIELD_THREAD_INIT =>
-- Retrieve the status of the current cpu thread
ADDRA <= '0' & current_cpu_thread(0 to 7);
ENA <= '1';
next_state <= YIELD_CURRENT_THREAD_RD_WAIT;
when YIELD_CURRENT_THREAD_RD_WAIT =>
next_state <= YIELD_CURRENT_THREAD_AVAILABLE;
when YIELD_CURRENT_THREAD_AVAILABLE =>
-- check to see if thread's status is used,~exited,~queued
if (DOA(26 to 27) = "11") then
-- check to see if the scheduler's queue is empty
tm2sch_request_next <= '1';
tm2sch_opcode_next <= OPCODE_IS_EMPTY;
tm2sch_data_next <= Z32(0 to 7);
next_state <= IS_QUEUE_EMPTY_WAIT_ACK;
return_state_next <= YIELD_CHECK_QUEUE_EMPTY;
else
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when YIELD_CHECK_QUEUE_EMPTY =>
if (sch2tm_data(7) = '1') then
-- Queue is empty, return the current thread id
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread;
next_state <= END_TRANSACTION;
else
-- Queue is not empty, add currently running thread to Q and then follow with a DEQ
next_state <= YIELD_ENQUEUE;
end if;
when YIELD_ENQUEUE =>
tm2sch_request_next <= '1';
tm2sch_opcode_next <= OPCODE_ENQUEUE;
tm2sch_data_next <= current_cpu_thread(0 to 7);
next_state <= ENQUEUE_WAIT_ACK;
return_state_next <= YIELD_CHECK_ENQUEUE;
when YIELD_CHECK_ENQUEUE =>
if (sch2tm_data(7) = '0') then
-- ENQ was successful, now DEQ to get next scheduling decision
current_cpu_thread_next <= sch2tm_next_id & '0'; -- update the currently running thread to the one that is scheduled to run next (AKA to be DEQ'd)
-- next_state <= YIELD_dummy_is_queued;
next_state <= YIELD_DEQUEUE;
else
-- ENQ failed, return error to caller
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
end if;
-- when YIELD_dummy_is_queued =>
-- tm2sch_request_next <= '1'; -- request the dummy is_queued operation
-- tm2sch_opcode_next <= OPCODE_IS_QUEUED;
-- tm2sch_data_next <= "11111111";
-- next_state <= ISQUEUED_WAIT_ACK;
-- return_state_next <= YIELD_DEQUEUE;
when YIELD_DEQUEUE =>
tm2sch_request_next <= '1'; -- request the DEQ operation to remove the thread to run from Q
tm2sch_opcode_next <= OPCODE_DEQUEUE;
tm2sch_data_next <= Z32(0 to 7);
next_state <= DEQUEUE_WAIT_ACK;
return_state_next <= YIELD_CHECK_DEQUEUE;
when YIELD_CHECK_DEQUEUE =>
if (sch2tm_data(7) = '1') then
-- error during DEQ...
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- DEQ completed successfully, end operation
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread(0 to 7) & '0'; -- setup the return value of the next thread to run (now in the currently running thread)
next_state <= END_TRANSACTION;
end if;
when EXIT_THREAD_INIT =>
bus_data_out_next <= Z32; -- change if failure occurs
ADDRA <= '0' & Bus2IP_Addr(22 to 29);
ENA <= '1';
next_state <= EXIT_THREAD_RD_WAIT;
when EXIT_THREAD_RD_WAIT =>
next_state <= EXIT_THREAD_AVAIABLE;
when EXIT_THREAD_AVAIABLE =>
-- full entry for the current_thread is required in later states
current_status_next <= DOA(0 to 31);
ADDRA <= '0' & Bus2IP_Addr(22 to 29);
ENA <= '1';
WEA <= '1';
if (DOA(24) = '1') then
-- Thread is detached
-- Make the thread status used and exited.
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= END_TRANSACTION;
elsif (DOA(25) = '1') then
-- Thread is joined
-- Make the thread status used and exited, and wake the parent
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= EXIT_READ_PARENT;
else
-- Thread is not detached and still joinable
-- Set the thread status to used and exited
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= END_TRANSACTION;
end if;
when EXIT_READ_PARENT =>
-- The thread that is exiting was joined, wake the parent up
ADDRA <= '0' & current_status(16 to 23);
ENA <= '1';
next_state <= EXIT_READ_PARENT_WAIT;
when EXIT_READ_PARENT_WAIT =>
next_state <= EXIT_READ_PARENT_AVAILABLE;
when EXIT_READ_PARENT_AVAILABLE =>
-- Make sure the parent thread is used and not exited
if (DOA(26 to 27) = "11") then
-- Parent thread is used and not exited.
-- Add the parent thread tothe scheduler's queue
tm2sch_opcode_next <= OPCODE_ENQUEUE;
tm2sch_request_next <= '1';
tm2sch_data_next <= current_status(16 to 23);
return_state_next <= EXIT_CHECK_ENQUEUE;
next_state <= ENQUEUE_WAIT_ACK;
else
-- Parent thread is either unused or exited, neither of which it should be
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when EXIT_CHECK_ENQUEUE =>
-- Check to make sure the scheduler added the thread correctly
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
bus_data_out_next <= Z32(0 to 31);
next_state <= END_TRANSACTION;
end if;
when RAISE_EXCEPTION =>
-- NOTE !!! You must assign Exception_Cause
-- where-ever you assign next_state <= RAISE_EXCEPTION;
Exception_Address_next <= Bus2IP_Addr(0 to 31); -- save address
access_error <= '1'; -- assert interrupt
my_ack <= '1'; -- done, "ack" the bus
next_state <= END_TRANSACTION_WAIT;
when END_TRANSACTION =>
IP2Bus_Data <= bus_data_out;
my_ack <= '1'; -- done, "ack" the bus
next_state <= END_TRANSACTION_WAIT;
when END_TRANSACTION_WAIT =>
if( Bus2IP_RdCE(0)='0' and Bus2IP_WrCE(0)='0' ) then
next_state <= IDLE_STATE;
else
next_state <= current_state;
end if;
when others =>
Exception_Cause_next <= EXCEPTION_ILLEGAL_STATE;
next_state <= RAISE_EXCEPTION;
end case; -- case current_state
end process SWTM_LOGIC_PROC;
-------------------------------------------------------------------
-- ICON core instance
-------------------------------------------------------------------
-- -- simulation translate_off
-- i_icon : chipscope_icon_v1_03_a
-- port map
-- (
-- control0 => control0
-- );
-- -- simulation translate_on
--
-- COUNTER_PROC : process (Bus2IP_Clk) is
-- begin
-- if( Bus2IP_Clk'event and Bus2IP_Clk='1' ) then
-- if (Bus2IP_Reset = '1') then
-- my_counter <= (others => '0');
-- else
-- my_counter <= my_counter + 1;
-- end if;
-- end if;
-- end process COUNTER_PROC;
--
-- --
--
-- -------------------------------------------------------------------
-- -- ILA core instance
-- -------------------------------------------------------------------
--
-- -- simulation translate_off
-- i_ila : chipscope_ila_v1_02_a
-- port map
-- (
-- control => control0,
-- clk => Bus2IP_Clk,
-- trig0(63 downto 32) => Bus2IP_Data,
-- trig0(31 downto 0) => my_counter, -- 64 bits -- Add in chipscope signals and run on board!!!!
-- trig1(63 downto 32) => Bus2IP_Addr,
-- trig1(31 downto 0) => bus_data_out, -- 64 bits
-- trig2 => current_status, -- 32 bits
-- trig3 => Bus2IP_Addr, -- 32 bits
-- trig4(0) => Bus2IP_RdCE, -- 16 bits
-- trig4(1) => Bus2IP_WrCE,
-- trig4(2) => my_ack,
-- trig4(3) => my_tout_sup,
-- trig4(4) => Bus2IP_Reset,
-- trig4(5) => '0',
-- trig4(6) => tm2sch_request_reg,
-- trig4(7) => next_ID(0),
-- trig4(8) => next_ID(1),
-- trig4(9) => next_ID(2),
-- trig4(10) => next_ID(3),
-- trig4(11) => next_ID(4),
-- trig4(12) => next_ID(5),
-- trig4(13) => next_ID(6),
-- trig4(14) => next_ID(7),
-- trig4(15) => next_ID(8)
-- );
-- -- simulation translate_on
--
end IMP;
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
--
-- Title Thread Manager
--
-- 26 Jul 2004: Mike Finley: Original author
-- 08 Jun 2005: Erik Anderson: Changes for new interface between TM and
-- Scheduler. Also adding function isQueue().
-- 15 Apr 2009: Jim Stevens: Ported to PLB version 4.6.
--
---------------------------------------------------------------------------
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_CS -- Bus to IP chip select
-- Bus2IP_RNW -- Bus to IP read/not write
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 1;
-- DO NOT EDIT ABOVE THIS LINE ---------------------
C_RESET_TIMEOUT : natural := 4096
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_CS : in std_logic_vector(0 to 0);
Bus2IP_RNW : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic;
-- DO NOT EDIT ABOVE THIS LINE ---------------------
Access_Intr : out std_logic;
Scheduler_Reset : out std_logic;
Scheduler_Reset_Done : in std_logic;
Semaphore_Reset : out std_logic;
Semaphore_Reset_Done : in std_logic;
SpinLock_Reset : out std_logic;
SpinLock_Reset_Done : in std_logic;
User_IP_Reset : out std_logic;
User_IP_Reset_Done : in std_logic;
Soft_Stop : out std_logic;
tm2sch_cpu_thread_id : out std_logic_vector(0 to 7);
tm2sch_opcode : out std_logic_vector(0 to 5);
tm2sch_data : out std_logic_vector(0 to 7);
tm2sch_request : out std_logic;
tm2sch_DOB : out std_logic_vector(0 to 31);
sch2tm_ADDRB : in std_logic_vector(0 to 8);
sch2tm_DIB : in std_logic_vector(0 to 31);
sch2tm_ENB : in std_logic;
sch2tm_WEB : in std_logic;
sch2tm_busy : in std_logic;
sch2tm_data : in std_logic_vector(0 to 7);
sch2tm_next_id : in std_logic_vector(0 to 7);
sch2tm_next_id_valid : in std_logic
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
-- Define the memory map for each register, Address[16 to 21]
--
constant C_CLEAR_THREAD : std_logic_vector(0 to 5) := "000000";
constant C_JOIN_THREAD : std_logic_vector(0 to 5) := "000001";
constant C_READ_THREAD : std_logic_vector(0 to 5) := "000011";
constant C_ADD_THREAD : std_logic_vector(0 to 5) := "000100";
constant C_CREATE_THREAD_J : std_logic_vector(0 to 5) := "000101";
constant C_CREATE_THREAD_D : std_logic_vector(0 to 5) := "000110";
constant C_EXIT_THREAD : std_logic_vector(0 to 5) := "000111";
constant C_NEXT_THREAD : std_logic_vector(0 to 5) := "001000";
constant C_YIELD_THREAD : std_logic_vector(0 to 5) := "001001";
constant C_CURRENT_THREAD : std_logic_vector(0 to 5) := "010000";
constant C_IS_DETACHED : std_logic_vector(0 to 5) := "011000";
constant C_IS_QUEUED : std_logic_vector(0 to 5) := "011001";
constant C_EXCEPTION_ADDR : std_logic_vector(0 to 5) := "010011";
constant C_EXCEPTION_REG : std_logic_vector(0 to 5) := "010100";
constant C_SOFT_START : std_logic_vector(0 to 5) := "010101";
constant C_SOFT_STOP : std_logic_vector(0 to 5) := "010110";
constant C_SOFT_RESET : std_logic_vector(0 to 5) := "010111";
constant C_SCHED_LINES : std_logic_vector(0 to 5) := "011010";
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
constant OPCODE_IS_QUEUED : std_logic_vector(0 to 5) := "000001";
constant OPCODE_ENQUEUE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DEQUEUE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_IS_EMPTY : std_logic_vector(0 to 5) := "000110";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
constant H32 : std_logic_vector(0 to 31) := (others => '1');
constant MAX_QUEUE_SIZE : std_logic_vector(0 to 7) := (others => '1');
constant TOUT_CYCLES : natural := 3; -- assert timeout suppress
signal cycle_count : std_logic_vector(0 to 15);
signal timeout_expired : std_logic;
-- Extended Thread Error Codes returned in lower 4 bits
constant ERROR_IN_STATUS : std_logic_vector(0 to 3) := "0001";
constant THREAD_ALREADY_TERMINATED : std_logic_vector(0 to 3) := "0011";
constant THREAD_ALREADY_QUEUED : std_logic_vector(0 to 3) := "0101";
constant ERROR_FROM_SCHEDULER : std_logic_vector(0 to 3) := "0111";
constant JOIN_ERROR_CHILD_JOINED : std_logic_vector(0 to 3) := "1001";
constant JOIN_ERROR_NOT_CHILD : std_logic_vector(0 to 3) := "1011";
constant JOIN_ERROR_CHILD_DETACHED : std_logic_vector(0 to 3) := "1101";
constant JOIN_ERROR_CHILD_NOT_USED : std_logic_vector(0 to 3) := "1111";
constant JOIN_ERROR_UNKNOWN : std_logic_vector(0 to 3) := "0001";
constant CLEAR_ERROR_NOT_USED : std_logic_vector(0 to 3) := "1001";
-- Exception "cause" returned in Exception register
constant EXCEPTION_WRITE_TO_READ_ONLY : std_logic_vector(0 to 3) := "0001";
constant EXCEPTION_UNDEFINED_ADDRESS : std_logic_vector(0 to 3) := "0010";
constant EXCEPTION_TO_SOFT_RESET : std_logic_vector(0 to 3) := "0011";
constant EXCEPTION_TO_SCHD_ISQUEUED : std_logic_vector(0 to 3) := "0100";
constant EXCEPTION_TO_SCHD_ENQUEUE : std_logic_vector(0 to 3) := "0101";
constant EXCEPTION_TO_SCHD_DEQUEUE : std_logic_vector(0 to 3) := "0110";
constant EXCEPTION_TO_SCHD_ISEMPTY : std_logic_vector(0 to 3) := "0111";
constant EXCEPTION_TO_SCHD_NEXT_THREAD : std_logic_vector(0 to 3) := "1000";
constant EXCEPTION_SCHD_INVALID_THREAD : std_logic_vector(0 to 3) := "1001";
constant EXCEPTION_ILLEGAL_STATE : std_logic_vector(0 to 3) := "1111";
-- BRAM constants
constant BRAM_ADDRESS_BITS : integer := 9;
constant BRAM_DATA_BITS : integer := 32;
-- Address,Cause for access exceptions
--
signal Exception_Address : std_logic_vector(0 to 31);
signal Exception_Address_next : std_logic_vector(0 to 31);
signal Exception_Cause : std_logic_vector(0 to 3);
signal Exception_Cause_next : std_logic_vector(0 to 3);
signal access_error : std_logic;
-- Debug control signals
--
-- Soft reset signals, LSB = SWTM reset; reset IP(s) if '1'
-- Resets done, handshake from IPs if done resetting(1)
-- core_stop , halt state machines at next appropriate point if '1'
--
signal soft_resets : std_logic_vector(0 to 4);
signal soft_resets_next : std_logic_vector(0 to 4);
signal resets_done : std_logic_vector(0 to 4);
signal reset_status : std_logic_vector(0 to 4);
signal reset_status_next : std_logic_vector(0 to 4);
signal core_stop : std_logic;
signal core_stop_next : std_logic;
-- Declarations for each register
-- Current thread,Idle thread : bits 0..7 = ID, bit 8 = '1' = invalid
signal current_cpu_thread : std_logic_vector(0 to 8);
signal current_cpu_thread_next : std_logic_vector(0 to 8);
-- internal signals
signal next_ID : std_logic_vector(0 to 8);
signal next_ID_next : std_logic_vector(0 to 8);
signal temp_thread_id : std_logic_vector(0 to 7);
signal temp_thread_id_next : std_logic_vector(0 to 7);
signal temp_thread_id2 : std_logic_vector(0 to 7);
signal temp_thread_id2_next : std_logic_vector(0 to 7);
signal reset_ID : std_logic_vector(0 to 8);
type swtm_state_type is
(IDLE_STATE,
SOFT_RESET_WRITE_INIT,
SOFT_RESET_INIT_TABLE,
SOFT_RESET_WAIT,
READ_THREAD_INIT,
READ_THREAD_RD_WAIT,
READ_THREAD_DONE,
CREATE_THREAD_INIT,
CT_NEW_ID_RD_WAIT,
CT_NEW_ID_AVAILABLE,
CT_ENTRY_RD_WAIT,
CT_ENTRY_AVAILABLE,
CT_DONE,
CLEAR_THREAD_INIT,
CLEAR_ENTRY_RD_WAIT,
CLEAR_ENTRY_AVAIABLE,
DEALLOCATE_ID,
DEALLOCATE_NEXT_ENTRY_RD_WAIT,
DEALLOCATE_NEXT_ENTRY_AVAIL,
JOIN_THREAD_INIT,
JOIN_RD_ENTRY_RD_WAIT,
JOIN_RD_ENTRY_AVAILABLE,
IS_QUEUED_INIT,
IS_QUEUED_DONE,
IS_DETACHED_THREAD_INIT,
IS_DETACHED_ENTRY_RD_WAIT,
IS_DETACHED_ENTRY_AVAILABLE,
NEXT_THREAD_INIT,
NEXT_THREAD_WAIT4_SCHEDULER,
NEXT_THREAD_RD_WAIT,
NEXT_THREAD_AVAILABLE,
NEXT_THREAD_CHECK_DEQUEUE,
ADD_THREAD_INIT,
AT_ENTRY_RD_WAIT,
AT_ENTRY_AVAILABLE,
AT_ISQUEUED_WAIT,
AT_CHECK_ISQUEUE,
AT_ENQUEUE_WAIT,
AT_CHECK_ENQUEUE,
ISQUEUED_WAIT_ACK,
ISQUEUED_WAIT_COMPLETE,
ENQUEUE_WAIT_ACK,
ENQUEUE_WAIT_COMPLETE,
DEQUEUE_WAIT_ACK,
DEQUEUE_WAIT_COMPLETE,
IS_QUEUE_EMPTY_WAIT_ACK,
IS_QUEUE_EMPTY_WAIT_COMPLETE,
YIELD_THREAD_INIT,
YIELD_CURRENT_THREAD_RD_WAIT,
YIELD_CURRENT_THREAD_AVAILABLE,
YIELD_CHECK_QUEUE_EMPTY,
YIELD_ENQUEUE,
YIELD_CHECK_ENQUEUE,
-- YIELD_dummy_is_queued,
YIELD_DEQUEUE,
YIELD_CHECK_DEQUEUE,
EXIT_THREAD_INIT,
EXIT_THREAD_RD_WAIT,
EXIT_THREAD_AVAIABLE,
EXIT_DEALLOCATE,
EXIT_NEXT_THREAD_RD_WAIT,
EXIT_NEXT_THREAD_AVAILABLE,
EXIT_READ_PARENT,
EXIT_READ_PARENT_WAIT,
EXIT_READ_PARENT_AVAILABLE,
EXIT_CHECK_ENQUEUE,
RAISE_EXCEPTION,
END_TRANSACTION,
END_TRANSACTION_WAIT);
signal current_state, next_state : swtm_state_type := IDLE_STATE;
signal return_state, return_state_next : swtm_state_type := IDLE_STATE;
signal bus_data_out : std_logic_vector(0 to 31);
signal bus_data_out_next : std_logic_vector(0 to 31);
signal current_status : std_logic_vector(0 to 31);
signal current_status_next : std_logic_vector(0 to 31);
signal Swtm_Reset_Done : std_logic;
signal Swtm_Reset_Done_next : std_logic;
signal new_ID : std_logic_vector(0 to 7);
signal new_ID_next : std_logic_vector(0 to 7);
signal tm2sch_request_next : std_logic;
signal tm2sch_request_reg : std_logic;
signal tm2sch_data_next : std_logic_vector(0 to 7);
signal tm2sch_data_reg : std_logic_vector(0 to 7);
signal tm2sch_opcode_next : std_logic_vector(0 to 5);
signal tm2sch_opcode_reg : std_logic_vector(0 to 5);
-- Signals for thread table BRAM
signal ENA : std_logic;
signal WEA : std_logic;
signal ADDRA : std_logic_vector(0 to BRAM_ADDRESS_BITS - 1);
signal DIA : std_logic_vector(0 to BRAM_DATA_BITS - 1);
signal DOA : std_logic_vector(0 to BRAM_DATA_BITS - 1);
alias addr :std_logic_vector(0 to 5) is Bus2IP_Addr(16 to 21);
---------------------------------------------------------------------------
-- Component Instantiation of inferred dual ported block RAM
---------------------------------------------------------------------------
component infer_bram_dual_port is
generic (
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to ADDRESS_BITS - 1);
DIA : in std_logic_vector(0 to DATA_BITS - 1);
DOA : out std_logic_vector(0 to DATA_BITS - 1);
CLKB : in std_logic;
ENB : in std_logic;
WEB : in std_logic;
ADDRB : in std_logic_vector(0 to ADDRESS_BITS - 1);
DIB : in std_logic_vector(0 to DATA_BITS - 1);
DOB : out std_logic_vector(0 to DATA_BITS - 1)
);
end component infer_bram_dual_port;
-------------------------------------------------------------------
-- ICON core signal declarations
-------------------------------------------------------------------
signal control0 : std_logic_vector(35 downto 0);
signal my_ack, my_tout_sup, my_error, my_sched_req : std_logic; -- TODO: This line might be gone.
signal my_counter : std_logic_vector(0 to 31);
-------------------------------------------------------------------
-- ICON core component declaration
-------------------------------------------------------------------
-- simulation translate_off
--component chipscope_icon_v1_03_a
-- port
-- (
-- control0 : out std_logic_vector(35 downto 0)
-- );
--end component;
-- simulation translate_on
-------------------------------------------------------------------
-- ILA core component declaration
-------------------------------------------------------------------
-- simulation translate_off
--component chipscope_ila_v1_02_a
-- port
-- (
--- control : in std_logic_vector(35 downto 0);
-- clk : in std_logic;
-- trig0 : in std_logic_vector(63 downto 0);
-- trig1 : in std_logic_vector(63 downto 0);
-- trig2 : in std_logic_vector(31 downto 0);
-- trig3 : in std_logic_vector(31 downto 0);
-- trig4 : in std_logic_vector(15 downto 0)
-- );
--end component;
-- simulation translate_on
begin
thread_table_bram : infer_bram_dual_port
generic map (
ADDRESS_BITS => BRAM_ADDRESS_BITS,
DATA_BITS => BRAM_DATA_BITS
)
port map (
CLKA => Bus2IP_Clk,
ENA => ENA,
WEA => WEA,
ADDRA => ADDRA,
DIA => DIA,
DOA => DOA,
CLKB => Bus2IP_Clk,
ENB => sch2tm_ENB,
WEB => sch2tm_WEB,
ADDRB => sch2tm_ADDRB,
DIB => sch2tm_DIB,
DOB => tm2sch_DOB
);
tm2sch_opcode <= tm2sch_opcode_reg;
tm2sch_data <= tm2sch_data_reg;
tm2sch_request <= tm2sch_request_reg;
Soft_Stop <= core_stop;
Scheduler_Reset <= soft_resets(3);
Semaphore_Reset <= soft_resets(2);
SpinLock_Reset <= soft_resets(1);
User_IP_Reset <= soft_resets(0);
Access_Intr <= access_error;
CYCLE_PROC : process (Bus2IP_Clk, Bus2IP_CS) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk='1' ) then
if( Bus2IP_CS(0) = '0' ) then
cycle_count <= (others => '0');
else
cycle_count <= cycle_count + 1;
end if;
end if;
end process CYCLE_PROC;
--
-- create a counter for the number of elapsed cycles
-- in each bus transaction.
-- assert TimeOut suppress when count = TOUT_CYCLES
--
CYCLE_CONTROL : process( cycle_count ) is
begin
IP2Bus_Error <= '0'; -- no error
--
-- count the number of elapsed clock cycles in transaction
--
if cycle_count < C_RESET_TIMEOUT then
timeout_expired <= '0';
else
--timeout_expired <= '1';
timeout_expired <= '0'; -- Disable timeouts.
end if;
--
-- activate time out suppress if count exceeds TOUT_CYCLES
-- edk. Why isn't this done inside the clk_event ???
--
-- if cycle_count > TOUT_CYCLES then
-- --IP2Bus_ToutSup <= '1'; -- halt time out counter
-- my_tout_sup <= '1'; -- halt time out counter
-- else
-- --IP2Bus_ToutSup <= '0'; -- release
-- my_tout_sup <= '0'; -- release
-- end if;
end process CYCLE_CONTROL;
-- IP2Bus_ToutSup <= my_tout_sup;
RESET_PROC : process (Bus2IP_Clk, addr, current_state)
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( addr = C_SOFT_RESET and current_state = SOFT_RESET_WRITE_INIT ) then
reset_ID <= (others => '0');
else
reset_ID <= reset_ID + 1;
end if;
end if;
end process;
ACK_PROC : process(my_ack, Bus2IP_RdCE, Bus2IP_WrCE)
begin
if (Bus2IP_RdCE(0) = '1') then
IP2Bus_RdAck <= my_ack;
else
IP2Bus_RdAck <= '0';
end if;
if (Bus2IP_WrCE(0) = '1') then
IP2Bus_WrAck <= my_ack;
else
IP2Bus_WrAck <= '0';
end if;
end process;
SWTM_STATE_PROC : process (Bus2IP_Clk, core_stop_next, new_ID_next, next_ID_next, temp_thread_id_next, temp_thread_id2_next, current_cpu_thread_next, Current_status_next, soft_resets_next, reset_status_next, Swtm_Reset_Done_next, Scheduler_Reset_Done, Semaphore_Reset_Done, SpinLock_Reset_Done, User_IP_Reset_Done, next_state, return_state_next, Bus2IP_Reset,Exception_Cause_next) is
begin
if (Bus2IP_Clk'event and (Bus2IP_Clk = '1')) then
core_stop <= core_stop_next;
new_ID <= new_ID_next;
next_ID <= next_ID_next;
temp_thread_id <= temp_thread_id_next;
temp_thread_id2 <= temp_thread_id2_next;
current_cpu_thread <= current_cpu_thread_next;
tm2sch_cpu_thread_id <= current_cpu_thread_next(0 to 7);
tm2sch_data_reg <= tm2sch_data_next;
tm2sch_opcode_reg <= tm2sch_opcode_next;
tm2sch_request_reg <= tm2sch_request_next;
current_status <= current_status_next;
Exception_Address <= Exception_Address_next;
Exception_Cause <= Exception_Cause_next;
soft_resets <= soft_resets_next;
reset_status <= reset_status_next;
bus_data_out <= bus_data_out_next;
Swtm_Reset_Done <= Swtm_Reset_Done_next;
resets_done(4) <= Swtm_Reset_Done_next;
resets_done(3) <= Scheduler_Reset_Done;
resets_done(2) <= Semaphore_Reset_Done;
resets_done(1) <= SpinLock_Reset_Done;
resets_done(0) <= User_IP_Reset_Done;
return_state <= return_state_next;
if( Bus2IP_Reset = '1' ) then
current_state <= IDLE_STATE;
else
current_state <= next_state;
end if;
end if;
end process SWTM_STATE_PROC;
-- IP2Bus_Ack <= my_ack; -- pulse(010) to end bus transaction
SWTM_LOGIC_PROC : process (current_state, core_stop, new_ID, next_ID, current_cpu_thread, current_status, reset_status, Swtm_Reset_Done, soft_resets, Bus2IP_Addr, Bus2IP_Data, Exception_Address, Bus2IP_WrCE, addr, Bus2IP_RdCE, reset_ID, resets_done, timeout_expired, DOA, sch2tm_next_id_valid, sch2tm_next_id, sch2tm_busy, bus_data_out, Exception_Cause, tm2sch_request_reg, tm2sch_data_reg, tm2sch_opcode_reg, temp_thread_id, temp_thread_id2) is
begin
-- -------------------------------------------------
-- default output signal assignments
-- -------------------------------------------------
my_ack <= '0'; -- pulse(010) to end bus transaction
access_error <= '0'; -- pulse(010) for access error interrupt
IP2Bus_Data <= (others => '0');
ADDRA <= (others => '0');
ENA <= '0';
WEA <= '0';
DIA <= (others => '0');
-- -------------------------------------------------
-- default register assignments
-- -------------------------------------------------
next_state <= current_state;
return_state_next <= return_state;
core_stop_next <= core_stop;
new_ID_next <= new_ID;
next_ID_next <= next_ID;
temp_thread_id_next <= temp_thread_id;
temp_thread_id2_next <= temp_thread_id2;
current_cpu_thread_next <= current_cpu_thread;
current_status_next <= current_status;
Exception_Address_next <= Exception_Address;
reset_status_next <= reset_status;
Swtm_Reset_Done_next <= Swtm_Reset_Done;
Exception_Cause_next <= Exception_Cause;
tm2sch_request_next <= tm2sch_request_reg;
tm2sch_data_next <= tm2sch_data_reg;
tm2sch_opcode_next <= tm2sch_opcode_reg;
bus_data_out_next <= bus_data_out;
soft_resets_next <= soft_resets;
case current_state is
-- Command (addr) decode whenever we are waiting for something new to do.
when IDLE_STATE =>
bus_data_out_next <= (others => '0');
if (Bus2IP_WrCE(0) = '1') then
case addr is
when C_SOFT_START =>
-- Any write to soft_start address clears
-- all soft reset signals and the Soft_Stop signal
soft_resets_next <= (others => '0');
swtm_reset_done_next <= '0'; -- clear SWTM's reset done
core_stop_next <= '0'; -- clear core_stop
next_state <= END_TRANSACTION;
when C_SOFT_STOP =>
-- write any data to Soft_Stop to assert the Soft_Stop signal
core_stop_next <= '1';
next_state <= END_TRANSACTION;
when C_SOFT_RESET =>
next_state <= SOFT_RESET_WRITE_INIT;
when C_READ_THREAD =>
if (core_stop = '1') then
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
WEA <= '1';
ENA <= '1';
DIA <= Bus2IP_Data(0 to 31);
next_state <= END_TRANSACTION;
else
Exception_Cause_next <= EXCEPTION_WRITE_TO_READ_ONLY;
next_state <= RAISE_EXCEPTION;
end if;
when others =>
Exception_Cause_next <= EXCEPTION_UNDEFINED_ADDRESS;
next_state <= RAISE_EXCEPTION;
end case;
elsif (Bus2IP_RdCE(0) = '1') then
case addr is
when C_SOFT_START =>
bus_data_out_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_SOFT_STOP =>
-- returns signal level in LSB on read
bus_data_out_next <= Z32(0 to 30) & core_stop;
next_state <= END_TRANSACTION;
when C_SOFT_RESET =>
-- returns 1's in bit positions that failed
bus_data_out_next <= Z32(0 to 26) & reset_status;
next_state <= END_TRANSACTION;
when C_CURRENT_THREAD =>
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread;
next_state <= END_TRANSACTION;
when C_EXCEPTION_ADDR =>
bus_data_out_next <= Exception_Address;
Exception_Address_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_EXCEPTION_REG =>
bus_data_out_next <= Z32(0 to 27) & Exception_Cause;
Exception_Cause_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_SCHED_LINES =>
bus_data_out_next <= Z32(0 to 6) & sch2tm_busy & sch2tm_data &
Z32(16 to 22) & sch2tm_next_id_valid &
sch2tm_next_id;
next_state <= END_TRANSACTION;
when C_READ_THREAD => next_state <= READ_THREAD_INIT;
when C_CREATE_THREAD_D => next_state <= CREATE_THREAD_INIT;
when C_CREATE_THREAD_J => next_state <= CREATE_THREAD_INIT;
when C_CLEAR_THREAD => next_state <= CLEAR_THREAD_INIT;
when C_JOIN_THREAD => next_state <= JOIN_THREAD_INIT;
when C_IS_DETACHED => next_state <= IS_DETACHED_THREAD_INIT;
when C_IS_QUEUED => next_state <= IS_QUEUED_INIT;
when C_NEXT_THREAD => next_state <= NEXT_THREAD_INIT;
when C_ADD_THREAD => next_state <= ADD_THREAD_INIT;
when C_YIELD_THREAD => next_state <= YIELD_THREAD_INIT;
when C_EXIT_THREAD => next_state <= EXIT_THREAD_INIT;
when others =>
Exception_Cause_next <= EXCEPTION_UNDEFINED_ADDRESS;
next_state <= RAISE_EXCEPTION;
end case;
end if;
--
-- read/write to the soft resets register (1 bit per IP)
-- write '1' to reset, reads '1' if timeout error occured
-- before IP reports finished
--
-- SW Thread Manager = bit#4 (LSB)
-- Scheduler = bit#3
-- Semaphore = bit#2
-- SpinLock = bit#1
-- User_IP = bit#0
--
when SOFT_RESET_WRITE_INIT =>
soft_resets_next <= Bus2IP_Data(27 to 31);
reset_status_next <= (others => '0');
swtm_reset_done_next <= '0'; -- clear SWTM's reset_done
if (Bus2IP_Data(31) = '1') then -- soft_resets(4)
--
-- perform a soft reset on SWTM
--
bus_data_out_next <= (others => '0');
new_ID_next <= (others => '0');
next_ID_next <= (others => '0');
temp_thread_id_next <= (others => '0');
current_cpu_thread_next <= Z32(0 to 7) & '1';
core_stop_next <= '0';
tm2sch_opcode_next <= OPCODE_NOOP;
tm2sch_data_next <= (others => '0');
tm2sch_request_next <= '0';
next_state <= SOFT_RESET_INIT_TABLE;
else
next_state <= SOFT_RESET_WAIT;
end if;
-- initialize the thread ID table to all zeros
-- and the next available stack to 0..255
when SOFT_RESET_INIT_TABLE =>
ADDRA <= reset_ID;
ENA <= '1';
WEA <= '1';
if( reset_ID(0) = '0' ) then
-- init available ID stack & thread ID table
DIA <= reset_ID(1 to 8) & Z32(0 to 23);
else
-- clear 2nd half of table (unused)
DIA <= Z32(0 to 31);
end if;
if( reset_ID = H32(0 to 8) ) then
swtm_reset_done_next<= '1'; -- done
next_state <= soft_reset_wait;
end if;
-- wait for all IPs to finish initialization or
-- the maximum time to be exceeded then
-- ack to finish transaction
when SOFT_RESET_WAIT =>
if (resets_done = soft_resets) then -- done
next_state <= END_TRANSACTION;
elsif (timeout_expired = '1') then
reset_status_next <= (resets_done xor soft_resets);
Exception_Cause_next <= EXCEPTION_TO_SOFT_RESET;
next_state <= RAISE_EXCEPTION; -- timeout
else
next_state <= current_state;
end if;
when READ_THREAD_INIT =>
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
WEA <= '0';
ENA <= '1';
next_state <= READ_THREAD_RD_WAIT;
when READ_THREAD_RD_WAIT =>
next_state <= READ_THREAD_DONE;
when READ_THREAD_DONE =>
bus_data_out_next <= DOA;
next_state <= END_TRANSACTION;
when CREATE_THREAD_INIT =>
if next_ID(0) = '1' then
-- no IDs available, return with error bit set
--
bus_data_out_next <= Z32(0 to 30) & '1';
next_state <= END_TRANSACTION;
else
-- read next ID from stack
--
ADDRA <= next_ID;
ENA <= '1';
next_state <= CT_NEW_ID_RD_WAIT;
end if;
when CT_NEW_ID_RD_WAIT =>
next_state <= CT_NEW_ID_AVAILABLE;
when CT_NEW_ID_AVAILABLE =>
new_ID_next <= DOA(0 to 7); -- save new ID#
ADDRA <= '0' & DOA(0 to 7); -- point to new thread
ENA <= '1';
next_state <= CT_ENTRY_RD_WAIT;
when CT_ENTRY_RD_WAIT =>
next_state <= CT_ENTRY_AVAILABLE;
when CT_ENTRY_AVAILABLE =>
ADDRA <= '0' & new_ID;
ENA <= '1';
WEA <= '1'; -- enable write to bram
-- Determine if the thread to create is DETACHED / JOINABLE
if addr = C_CREATE_THREAD_D then -- set new thread status
-- create detached
DIA <= DOA(0 to 7) & Z32(0 to 7) &
Z32(0 to 7) & "1011" & Z32(0 to 3);
else
-- create joinable
DIA <= DOA(0 to 7) & Z32(0 to 7) &
current_cpu_thread(0 to 7) & "0011" & Z32(0 to 3);
end if;
next_state <= CT_DONE;
when CT_DONE =>
-- return new ID with no error,
bus_data_out_next <= Z32(0 to 22) & new_ID & '0';
-- point to next available ID
next_ID_next <= next_ID + 1;
next_state <= END_TRANSACTION;
when CLEAR_THREAD_INIT =>
-- clear the encoded thread ID if it is used and exited
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= CLEAR_ENTRY_RD_WAIT;
when CLEAR_ENTRY_RD_WAIT =>
next_state <= CLEAR_ENTRY_AVAIABLE ;
when CLEAR_ENTRY_AVAIABLE =>
if (DOA(26 to 27) = "10") then -- used and exited
bus_data_out_next <= Z32; -- success, return zero
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
WEA <= '1'; -- clear old status but
DIA <= DOA(0 to 7) & Z32(0 to 23); -- preserve ID stack
next_state <= DEALLOCATE_ID;
else
-- error occurred, return thread status w/ LSB=1
bus_data_out_next <= DOA(0 to 27) & CLEAR_ERROR_NOT_USED;
next_state <= END_TRANSACTION;
end if;
when DEALLOCATE_ID =>
if (next_ID /= Z32(0 to 8)) then
ADDRA <= next_ID - 1;
ENA <= '1';
next_ID_next <= next_ID - 1;
next_state <= DEALLOCATE_NEXT_ENTRY_RD_WAIT;
else
next_state <= END_TRANSACTION;
end if;
when DEALLOCATE_NEXT_ENTRY_RD_WAIT =>
next_state <= DEALLOCATE_NEXT_ENTRY_AVAIL;
when DEALLOCATE_NEXT_ENTRY_AVAIL =>
-- put ID back on stack, preserve other bits
ADDRA <= next_ID;
ENA <= '1';
WEA <= '1';
DIA <= Bus2IP_Addr(22 to 29) & DOA(8 to 31);
next_state <= END_TRANSACTION;
when JOIN_THREAD_INIT =>
-- join on the encoded thread ID if its PID = current_thread
-- and its status = used,~joined,~detached
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= JOIN_RD_ENTRY_RD_WAIT;
when JOIN_RD_ENTRY_RD_WAIT =>
next_state <= JOIN_RD_ENTRY_AVAILABLE;
when JOIN_RD_ENTRY_AVAILABLE =>
if ((DOA(16 to 23) & '0' = current_cpu_thread) and -- PID = current thread
(DOA(24 to 25) = "00") and -- ~detached,~joined
(DOA(26 to 27) /= "00")) then -- not unused
if DOA(27) = '0' then
-- thread has already exited, return a WARNING code
bus_data_out_next <= Z32(0 to 27) & THREAD_ALREADY_TERMINATED;
next_state <= END_TRANSACTION;
else
-- thread has not exited
bus_data_out_next <= Z32; -- success, return zero
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
WEA <= '1';
-- clear old status but
-- set joined bit; and preserve all other bits
DIA <= DOA(0 to 24) & '1' & DOA(26 to 31);
next_state <= END_TRANSACTION;
end if;
else
-- An error occured. Determine the error and return correct error code.
if( DOA(24) = '1' ) then
-- trying to join on a detached thread
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_DETACHED;
elsif ( DOA(24 to 25) = "01" ) then
-- tyring to join on a thread that is already joined
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_JOINED;
elsif( DOA(26) = '0' ) then
-- trying to join on a thread that is not used
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_NOT_USED;
elsif( DOA(16 to 23) & '0' /= current_cpu_thread ) then
-- trying to join to a thread that is not the current thread's child
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_NOT_CHILD;
else
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_UNKNOWN;
end if;
next_state <= END_TRANSACTION;
end if;
when IS_DETACHED_THREAD_INIT =>
-- Returns a 1 if the encoded thread ID is detached, else returns 0
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= IS_DETACHED_ENTRY_RD_WAIT;
when IS_DETACHED_ENTRY_RD_WAIT =>
next_state <= IS_DETACHED_ENTRY_AVAILABLE;
when IS_DETACHED_ENTRY_AVAILABLE =>
if (DOA(24) = '1' and DOA(26) = '1') then
-- Thread is detached, return 1
bus_data_out_next <= Z32(0 to 29) & "10"; -- The 0 in the last bit indicates no error
else
-- Thread is not detached, or not used, return 0
bus_data_out_next <= Z32;
end if;
next_state <= END_TRANSACTION;
when IS_QUEUED_INIT =>
tm2sch_opcode_next <= OPCODE_IS_QUEUED;
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29); -- thread ID
next_state <= ISQUEUED_WAIT_ACK;
return_state_next <= IS_QUEUED_DONE;
when IS_QUEUED_DONE =>
bus_data_out_next <= Z32(0 to 22) & sch2tm_data & '0';
next_state <= END_TRANSACTION;
when NEXT_THREAD_INIT =>
-- Return to the caller the value of the next thread to run
if sch2tm_next_id_valid = '1' then
-- the next thread has been identified,
-- read from Scheduler and check thread status
-- as stored by SWTM for consistency
ADDRA <= '0' & sch2tm_next_id;
ENA <= '1';
next_state <= NEXT_THREAD_RD_WAIT;
else
next_state <= NEXT_THREAD_WAIT4_SCHEDULER;
end if;
when NEXT_THREAD_WAIT4_SCHEDULER =>
if (sch2tm_next_id_valid = '1') then
-- Scheduler has made a scheduling decision
ADDRA <= '0' & sch2tm_next_id;
ENA <= '1';
next_state <= NEXT_THREAD_RD_WAIT;
elsif (timeout_expired = '1') then
-- Timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_NEXT_THREAD;
next_state <= RAISE_EXCEPTION; -- timeout
else
-- Continue waiting for scheduler
next_state <= current_state;
end if;
when NEXT_THREAD_RD_WAIT =>
next_state <= NEXT_THREAD_AVAILABLE;
when NEXT_THREAD_AVAILABLE =>
if DOA(26 to 27) = "11" then
-- thread status is used and not exited
-- dequeue the next_thread_id from the scheduler's queue
current_cpu_thread_next <= sch2tm_next_id & '0';
-- Send dequeue opperation to scheduler
tm2sch_opcode_next <= OPCODE_DEQUEUE;
tm2sch_request_next <= '1';
tm2sch_data_next <= Z32(0 to 7);
next_state <= DEQUEUE_WAIT_ACK;
return_state_next <= NEXT_THREAD_CHECK_DEQUEUE;
else
-- TM and SCHEDULER disagree if thread was used and not exited
-- return thread ID, set error bit and raise exception
bus_data_out_next <= Z32(0 to 22) & sch2tm_next_id & '1';
Exception_Cause_next <= EXCEPTION_SCHD_INVALID_THREAD;
next_state <= RAISE_EXCEPTION; -- timeout
end if;
when NEXT_THREAD_CHECK_DEQUEUE =>
-- Perform a check to make sure scheduler completed successfully
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
-- return the value of the next thread id (which by now is in the current_cpu_thread register)
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread(0 to 7) & '0';
next_state <= END_TRANSACTION;
end if;
when ADD_THREAD_INIT =>
-- if the thread is !used or exited return error
-- call scheduler to check queued status
-- if queued return error
-- call scheduler to enqueue thread ID
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- encoded thread ID
ENA <= '1';
next_state <= AT_ENTRY_RD_WAIT;
when AT_ENTRY_RD_WAIT =>
next_state <= AT_ENTRY_AVAILABLE;
when AT_ENTRY_AVAILABLE =>
-- check to see if the thread is used and !exited
if (DOA(26 to 27) = "11") then
-- thread is used and not exited
-- call scheduler isQueued
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29);
tm2sch_opcode_next <= OPCODE_IS_QUEUED;
next_state <= ISQUEUED_WAIT_ACK;
return_state_next <= AT_CHECK_ISQUEUE;
else
-- thread is unused or exited (or both)
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when AT_CHECK_ISQUEUE =>
-- Check to see if the thread is queued
if sch2tm_data(7) = '0' then
-- Thread is not queued, call scheduler's enqueue
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29);
tm2sch_opcode_next <= OPCODE_ENQUEUE;
next_state <= ENQUEUE_WAIT_ACK;
return_state_next <= AT_CHECK_ENQUEUE;
else
-- Thread is queued, return error
bus_data_out_next <= DOA(0 to 7) & sch2tm_data & DOA(16 to 27) & THREAD_ALREADY_QUEUED;
next_state <= END_TRANSACTION;
end if;
when AT_CHECK_ENQUEUE =>
-- Check to make sure the scheduler added the thread correctly
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 7) & sch2tm_data & Z32(16 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
bus_data_out_next <= Z32(0 to 7) & sch2tm_data & Z32(16 to 31);
next_state <= END_TRANSACTION;
end if;
when ISQUEUED_WAIT_ACK =>
-- wait for the scheduler to acknowledge the isqueued request
if sch2tm_busy = '0' then
-- scheduler has not yet responded to request
next_state <= current_state;
elsif (timeout_expired = '1') then
-- timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISQUEUED;
next_state <= RAISE_EXCEPTION;
else
-- scheduler acknowledged request, lower request line
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= ISQUEUED_WAIT_COMPLETE;
end if;
when ISQUEUED_WAIT_COMPLETE =>
-- wait for the scheduler to complete the isqueued request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
next_state <= current_state;
elsif (timeout_expired = '1') then
-- timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISQUEUED;
next_state <= RAISE_EXCEPTION;
else
-- scheduler finished request, and (should) have data on data_return line
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when ENQUEUE_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the enqueue request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ENQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= ENQUEUE_WAIT_COMPLETE;
end if;
when ENQUEUE_WAIT_COMPLETE =>
-- wait for the scheduler to complete the enqueue request
if sch2tm_busy = '1' then
-- scheduler has notyet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ENQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when DEQUEUE_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the dequeue request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_DEQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= DEQUEUE_WAIT_COMPLETE;
end if;
when DEQUEUE_WAIT_COMPLETE =>
-- wait for the scheduler to complete the dequeue request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_DEQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when IS_QUEUE_EMPTY_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the is queue empty request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISEMPTY;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= IS_QUEUE_EMPTY_WAIT_COMPLETE;
end if;
when IS_QUEUE_EMPTY_WAIT_COMPLETE =>
-- wait for the scheduler to complete the is queue empty request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISEMPTY;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when YIELD_THREAD_INIT =>
-- Retrieve the status of the current cpu thread
ADDRA <= '0' & current_cpu_thread(0 to 7);
ENA <= '1';
next_state <= YIELD_CURRENT_THREAD_RD_WAIT;
when YIELD_CURRENT_THREAD_RD_WAIT =>
next_state <= YIELD_CURRENT_THREAD_AVAILABLE;
when YIELD_CURRENT_THREAD_AVAILABLE =>
-- check to see if thread's status is used,~exited,~queued
if (DOA(26 to 27) = "11") then
-- check to see if the scheduler's queue is empty
tm2sch_request_next <= '1';
tm2sch_opcode_next <= OPCODE_IS_EMPTY;
tm2sch_data_next <= Z32(0 to 7);
next_state <= IS_QUEUE_EMPTY_WAIT_ACK;
return_state_next <= YIELD_CHECK_QUEUE_EMPTY;
else
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when YIELD_CHECK_QUEUE_EMPTY =>
if (sch2tm_data(7) = '1') then
-- Queue is empty, return the current thread id
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread;
next_state <= END_TRANSACTION;
else
-- Queue is not empty, add currently running thread to Q and then follow with a DEQ
next_state <= YIELD_ENQUEUE;
end if;
when YIELD_ENQUEUE =>
tm2sch_request_next <= '1';
tm2sch_opcode_next <= OPCODE_ENQUEUE;
tm2sch_data_next <= current_cpu_thread(0 to 7);
next_state <= ENQUEUE_WAIT_ACK;
return_state_next <= YIELD_CHECK_ENQUEUE;
when YIELD_CHECK_ENQUEUE =>
if (sch2tm_data(7) = '0') then
-- ENQ was successful, now DEQ to get next scheduling decision
current_cpu_thread_next <= sch2tm_next_id & '0'; -- update the currently running thread to the one that is scheduled to run next (AKA to be DEQ'd)
-- next_state <= YIELD_dummy_is_queued;
next_state <= YIELD_DEQUEUE;
else
-- ENQ failed, return error to caller
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
end if;
-- when YIELD_dummy_is_queued =>
-- tm2sch_request_next <= '1'; -- request the dummy is_queued operation
-- tm2sch_opcode_next <= OPCODE_IS_QUEUED;
-- tm2sch_data_next <= "11111111";
-- next_state <= ISQUEUED_WAIT_ACK;
-- return_state_next <= YIELD_DEQUEUE;
when YIELD_DEQUEUE =>
tm2sch_request_next <= '1'; -- request the DEQ operation to remove the thread to run from Q
tm2sch_opcode_next <= OPCODE_DEQUEUE;
tm2sch_data_next <= Z32(0 to 7);
next_state <= DEQUEUE_WAIT_ACK;
return_state_next <= YIELD_CHECK_DEQUEUE;
when YIELD_CHECK_DEQUEUE =>
if (sch2tm_data(7) = '1') then
-- error during DEQ...
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- DEQ completed successfully, end operation
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread(0 to 7) & '0'; -- setup the return value of the next thread to run (now in the currently running thread)
next_state <= END_TRANSACTION;
end if;
when EXIT_THREAD_INIT =>
bus_data_out_next <= Z32; -- change if failure occurs
ADDRA <= '0' & Bus2IP_Addr(22 to 29);
ENA <= '1';
next_state <= EXIT_THREAD_RD_WAIT;
when EXIT_THREAD_RD_WAIT =>
next_state <= EXIT_THREAD_AVAIABLE;
when EXIT_THREAD_AVAIABLE =>
-- full entry for the current_thread is required in later states
current_status_next <= DOA(0 to 31);
ADDRA <= '0' & Bus2IP_Addr(22 to 29);
ENA <= '1';
WEA <= '1';
if (DOA(24) = '1') then
-- Thread is detached
-- Make the thread status used and exited.
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= END_TRANSACTION;
elsif (DOA(25) = '1') then
-- Thread is joined
-- Make the thread status used and exited, and wake the parent
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= EXIT_READ_PARENT;
else
-- Thread is not detached and still joinable
-- Set the thread status to used and exited
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= END_TRANSACTION;
end if;
when EXIT_READ_PARENT =>
-- The thread that is exiting was joined, wake the parent up
ADDRA <= '0' & current_status(16 to 23);
ENA <= '1';
next_state <= EXIT_READ_PARENT_WAIT;
when EXIT_READ_PARENT_WAIT =>
next_state <= EXIT_READ_PARENT_AVAILABLE;
when EXIT_READ_PARENT_AVAILABLE =>
-- Make sure the parent thread is used and not exited
if (DOA(26 to 27) = "11") then
-- Parent thread is used and not exited.
-- Add the parent thread tothe scheduler's queue
tm2sch_opcode_next <= OPCODE_ENQUEUE;
tm2sch_request_next <= '1';
tm2sch_data_next <= current_status(16 to 23);
return_state_next <= EXIT_CHECK_ENQUEUE;
next_state <= ENQUEUE_WAIT_ACK;
else
-- Parent thread is either unused or exited, neither of which it should be
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when EXIT_CHECK_ENQUEUE =>
-- Check to make sure the scheduler added the thread correctly
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
bus_data_out_next <= Z32(0 to 31);
next_state <= END_TRANSACTION;
end if;
when RAISE_EXCEPTION =>
-- NOTE !!! You must assign Exception_Cause
-- where-ever you assign next_state <= RAISE_EXCEPTION;
Exception_Address_next <= Bus2IP_Addr(0 to 31); -- save address
access_error <= '1'; -- assert interrupt
my_ack <= '1'; -- done, "ack" the bus
next_state <= END_TRANSACTION_WAIT;
when END_TRANSACTION =>
IP2Bus_Data <= bus_data_out;
my_ack <= '1'; -- done, "ack" the bus
next_state <= END_TRANSACTION_WAIT;
when END_TRANSACTION_WAIT =>
if( Bus2IP_RdCE(0)='0' and Bus2IP_WrCE(0)='0' ) then
next_state <= IDLE_STATE;
else
next_state <= current_state;
end if;
when others =>
Exception_Cause_next <= EXCEPTION_ILLEGAL_STATE;
next_state <= RAISE_EXCEPTION;
end case; -- case current_state
end process SWTM_LOGIC_PROC;
-------------------------------------------------------------------
-- ICON core instance
-------------------------------------------------------------------
-- -- simulation translate_off
-- i_icon : chipscope_icon_v1_03_a
-- port map
-- (
-- control0 => control0
-- );
-- -- simulation translate_on
--
-- COUNTER_PROC : process (Bus2IP_Clk) is
-- begin
-- if( Bus2IP_Clk'event and Bus2IP_Clk='1' ) then
-- if (Bus2IP_Reset = '1') then
-- my_counter <= (others => '0');
-- else
-- my_counter <= my_counter + 1;
-- end if;
-- end if;
-- end process COUNTER_PROC;
--
-- --
--
-- -------------------------------------------------------------------
-- -- ILA core instance
-- -------------------------------------------------------------------
--
-- -- simulation translate_off
-- i_ila : chipscope_ila_v1_02_a
-- port map
-- (
-- control => control0,
-- clk => Bus2IP_Clk,
-- trig0(63 downto 32) => Bus2IP_Data,
-- trig0(31 downto 0) => my_counter, -- 64 bits -- Add in chipscope signals and run on board!!!!
-- trig1(63 downto 32) => Bus2IP_Addr,
-- trig1(31 downto 0) => bus_data_out, -- 64 bits
-- trig2 => current_status, -- 32 bits
-- trig3 => Bus2IP_Addr, -- 32 bits
-- trig4(0) => Bus2IP_RdCE, -- 16 bits
-- trig4(1) => Bus2IP_WrCE,
-- trig4(2) => my_ack,
-- trig4(3) => my_tout_sup,
-- trig4(4) => Bus2IP_Reset,
-- trig4(5) => '0',
-- trig4(6) => tm2sch_request_reg,
-- trig4(7) => next_ID(0),
-- trig4(8) => next_ID(1),
-- trig4(9) => next_ID(2),
-- trig4(10) => next_ID(3),
-- trig4(11) => next_ID(4),
-- trig4(12) => next_ID(5),
-- trig4(13) => next_ID(6),
-- trig4(14) => next_ID(7),
-- trig4(15) => next_ID(8)
-- );
-- -- simulation translate_on
--
end IMP;
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
--
-- Title Thread Manager
--
-- 26 Jul 2004: Mike Finley: Original author
-- 08 Jun 2005: Erik Anderson: Changes for new interface between TM and
-- Scheduler. Also adding function isQueue().
-- 15 Apr 2009: Jim Stevens: Ported to PLB version 4.6.
--
---------------------------------------------------------------------------
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_CS -- Bus to IP chip select
-- Bus2IP_RNW -- Bus to IP read/not write
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 1;
-- DO NOT EDIT ABOVE THIS LINE ---------------------
C_RESET_TIMEOUT : natural := 4096
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_CS : in std_logic_vector(0 to 0);
Bus2IP_RNW : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic;
-- DO NOT EDIT ABOVE THIS LINE ---------------------
Access_Intr : out std_logic;
Scheduler_Reset : out std_logic;
Scheduler_Reset_Done : in std_logic;
Semaphore_Reset : out std_logic;
Semaphore_Reset_Done : in std_logic;
SpinLock_Reset : out std_logic;
SpinLock_Reset_Done : in std_logic;
User_IP_Reset : out std_logic;
User_IP_Reset_Done : in std_logic;
Soft_Stop : out std_logic;
tm2sch_cpu_thread_id : out std_logic_vector(0 to 7);
tm2sch_opcode : out std_logic_vector(0 to 5);
tm2sch_data : out std_logic_vector(0 to 7);
tm2sch_request : out std_logic;
tm2sch_DOB : out std_logic_vector(0 to 31);
sch2tm_ADDRB : in std_logic_vector(0 to 8);
sch2tm_DIB : in std_logic_vector(0 to 31);
sch2tm_ENB : in std_logic;
sch2tm_WEB : in std_logic;
sch2tm_busy : in std_logic;
sch2tm_data : in std_logic_vector(0 to 7);
sch2tm_next_id : in std_logic_vector(0 to 7);
sch2tm_next_id_valid : in std_logic
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
-- Define the memory map for each register, Address[16 to 21]
--
constant C_CLEAR_THREAD : std_logic_vector(0 to 5) := "000000";
constant C_JOIN_THREAD : std_logic_vector(0 to 5) := "000001";
constant C_READ_THREAD : std_logic_vector(0 to 5) := "000011";
constant C_ADD_THREAD : std_logic_vector(0 to 5) := "000100";
constant C_CREATE_THREAD_J : std_logic_vector(0 to 5) := "000101";
constant C_CREATE_THREAD_D : std_logic_vector(0 to 5) := "000110";
constant C_EXIT_THREAD : std_logic_vector(0 to 5) := "000111";
constant C_NEXT_THREAD : std_logic_vector(0 to 5) := "001000";
constant C_YIELD_THREAD : std_logic_vector(0 to 5) := "001001";
constant C_CURRENT_THREAD : std_logic_vector(0 to 5) := "010000";
constant C_IS_DETACHED : std_logic_vector(0 to 5) := "011000";
constant C_IS_QUEUED : std_logic_vector(0 to 5) := "011001";
constant C_EXCEPTION_ADDR : std_logic_vector(0 to 5) := "010011";
constant C_EXCEPTION_REG : std_logic_vector(0 to 5) := "010100";
constant C_SOFT_START : std_logic_vector(0 to 5) := "010101";
constant C_SOFT_STOP : std_logic_vector(0 to 5) := "010110";
constant C_SOFT_RESET : std_logic_vector(0 to 5) := "010111";
constant C_SCHED_LINES : std_logic_vector(0 to 5) := "011010";
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
constant OPCODE_IS_QUEUED : std_logic_vector(0 to 5) := "000001";
constant OPCODE_ENQUEUE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DEQUEUE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_IS_EMPTY : std_logic_vector(0 to 5) := "000110";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
constant H32 : std_logic_vector(0 to 31) := (others => '1');
constant MAX_QUEUE_SIZE : std_logic_vector(0 to 7) := (others => '1');
constant TOUT_CYCLES : natural := 3; -- assert timeout suppress
signal cycle_count : std_logic_vector(0 to 15);
signal timeout_expired : std_logic;
-- Extended Thread Error Codes returned in lower 4 bits
constant ERROR_IN_STATUS : std_logic_vector(0 to 3) := "0001";
constant THREAD_ALREADY_TERMINATED : std_logic_vector(0 to 3) := "0011";
constant THREAD_ALREADY_QUEUED : std_logic_vector(0 to 3) := "0101";
constant ERROR_FROM_SCHEDULER : std_logic_vector(0 to 3) := "0111";
constant JOIN_ERROR_CHILD_JOINED : std_logic_vector(0 to 3) := "1001";
constant JOIN_ERROR_NOT_CHILD : std_logic_vector(0 to 3) := "1011";
constant JOIN_ERROR_CHILD_DETACHED : std_logic_vector(0 to 3) := "1101";
constant JOIN_ERROR_CHILD_NOT_USED : std_logic_vector(0 to 3) := "1111";
constant JOIN_ERROR_UNKNOWN : std_logic_vector(0 to 3) := "0001";
constant CLEAR_ERROR_NOT_USED : std_logic_vector(0 to 3) := "1001";
-- Exception "cause" returned in Exception register
constant EXCEPTION_WRITE_TO_READ_ONLY : std_logic_vector(0 to 3) := "0001";
constant EXCEPTION_UNDEFINED_ADDRESS : std_logic_vector(0 to 3) := "0010";
constant EXCEPTION_TO_SOFT_RESET : std_logic_vector(0 to 3) := "0011";
constant EXCEPTION_TO_SCHD_ISQUEUED : std_logic_vector(0 to 3) := "0100";
constant EXCEPTION_TO_SCHD_ENQUEUE : std_logic_vector(0 to 3) := "0101";
constant EXCEPTION_TO_SCHD_DEQUEUE : std_logic_vector(0 to 3) := "0110";
constant EXCEPTION_TO_SCHD_ISEMPTY : std_logic_vector(0 to 3) := "0111";
constant EXCEPTION_TO_SCHD_NEXT_THREAD : std_logic_vector(0 to 3) := "1000";
constant EXCEPTION_SCHD_INVALID_THREAD : std_logic_vector(0 to 3) := "1001";
constant EXCEPTION_ILLEGAL_STATE : std_logic_vector(0 to 3) := "1111";
-- BRAM constants
constant BRAM_ADDRESS_BITS : integer := 9;
constant BRAM_DATA_BITS : integer := 32;
-- Address,Cause for access exceptions
--
signal Exception_Address : std_logic_vector(0 to 31);
signal Exception_Address_next : std_logic_vector(0 to 31);
signal Exception_Cause : std_logic_vector(0 to 3);
signal Exception_Cause_next : std_logic_vector(0 to 3);
signal access_error : std_logic;
-- Debug control signals
--
-- Soft reset signals, LSB = SWTM reset; reset IP(s) if '1'
-- Resets done, handshake from IPs if done resetting(1)
-- core_stop , halt state machines at next appropriate point if '1'
--
signal soft_resets : std_logic_vector(0 to 4);
signal soft_resets_next : std_logic_vector(0 to 4);
signal resets_done : std_logic_vector(0 to 4);
signal reset_status : std_logic_vector(0 to 4);
signal reset_status_next : std_logic_vector(0 to 4);
signal core_stop : std_logic;
signal core_stop_next : std_logic;
-- Declarations for each register
-- Current thread,Idle thread : bits 0..7 = ID, bit 8 = '1' = invalid
signal current_cpu_thread : std_logic_vector(0 to 8);
signal current_cpu_thread_next : std_logic_vector(0 to 8);
-- internal signals
signal next_ID : std_logic_vector(0 to 8);
signal next_ID_next : std_logic_vector(0 to 8);
signal temp_thread_id : std_logic_vector(0 to 7);
signal temp_thread_id_next : std_logic_vector(0 to 7);
signal temp_thread_id2 : std_logic_vector(0 to 7);
signal temp_thread_id2_next : std_logic_vector(0 to 7);
signal reset_ID : std_logic_vector(0 to 8);
type swtm_state_type is
(IDLE_STATE,
SOFT_RESET_WRITE_INIT,
SOFT_RESET_INIT_TABLE,
SOFT_RESET_WAIT,
READ_THREAD_INIT,
READ_THREAD_RD_WAIT,
READ_THREAD_DONE,
CREATE_THREAD_INIT,
CT_NEW_ID_RD_WAIT,
CT_NEW_ID_AVAILABLE,
CT_ENTRY_RD_WAIT,
CT_ENTRY_AVAILABLE,
CT_DONE,
CLEAR_THREAD_INIT,
CLEAR_ENTRY_RD_WAIT,
CLEAR_ENTRY_AVAIABLE,
DEALLOCATE_ID,
DEALLOCATE_NEXT_ENTRY_RD_WAIT,
DEALLOCATE_NEXT_ENTRY_AVAIL,
JOIN_THREAD_INIT,
JOIN_RD_ENTRY_RD_WAIT,
JOIN_RD_ENTRY_AVAILABLE,
IS_QUEUED_INIT,
IS_QUEUED_DONE,
IS_DETACHED_THREAD_INIT,
IS_DETACHED_ENTRY_RD_WAIT,
IS_DETACHED_ENTRY_AVAILABLE,
NEXT_THREAD_INIT,
NEXT_THREAD_WAIT4_SCHEDULER,
NEXT_THREAD_RD_WAIT,
NEXT_THREAD_AVAILABLE,
NEXT_THREAD_CHECK_DEQUEUE,
ADD_THREAD_INIT,
AT_ENTRY_RD_WAIT,
AT_ENTRY_AVAILABLE,
AT_ISQUEUED_WAIT,
AT_CHECK_ISQUEUE,
AT_ENQUEUE_WAIT,
AT_CHECK_ENQUEUE,
ISQUEUED_WAIT_ACK,
ISQUEUED_WAIT_COMPLETE,
ENQUEUE_WAIT_ACK,
ENQUEUE_WAIT_COMPLETE,
DEQUEUE_WAIT_ACK,
DEQUEUE_WAIT_COMPLETE,
IS_QUEUE_EMPTY_WAIT_ACK,
IS_QUEUE_EMPTY_WAIT_COMPLETE,
YIELD_THREAD_INIT,
YIELD_CURRENT_THREAD_RD_WAIT,
YIELD_CURRENT_THREAD_AVAILABLE,
YIELD_CHECK_QUEUE_EMPTY,
YIELD_ENQUEUE,
YIELD_CHECK_ENQUEUE,
-- YIELD_dummy_is_queued,
YIELD_DEQUEUE,
YIELD_CHECK_DEQUEUE,
EXIT_THREAD_INIT,
EXIT_THREAD_RD_WAIT,
EXIT_THREAD_AVAIABLE,
EXIT_DEALLOCATE,
EXIT_NEXT_THREAD_RD_WAIT,
EXIT_NEXT_THREAD_AVAILABLE,
EXIT_READ_PARENT,
EXIT_READ_PARENT_WAIT,
EXIT_READ_PARENT_AVAILABLE,
EXIT_CHECK_ENQUEUE,
RAISE_EXCEPTION,
END_TRANSACTION,
END_TRANSACTION_WAIT);
signal current_state, next_state : swtm_state_type := IDLE_STATE;
signal return_state, return_state_next : swtm_state_type := IDLE_STATE;
signal bus_data_out : std_logic_vector(0 to 31);
signal bus_data_out_next : std_logic_vector(0 to 31);
signal current_status : std_logic_vector(0 to 31);
signal current_status_next : std_logic_vector(0 to 31);
signal Swtm_Reset_Done : std_logic;
signal Swtm_Reset_Done_next : std_logic;
signal new_ID : std_logic_vector(0 to 7);
signal new_ID_next : std_logic_vector(0 to 7);
signal tm2sch_request_next : std_logic;
signal tm2sch_request_reg : std_logic;
signal tm2sch_data_next : std_logic_vector(0 to 7);
signal tm2sch_data_reg : std_logic_vector(0 to 7);
signal tm2sch_opcode_next : std_logic_vector(0 to 5);
signal tm2sch_opcode_reg : std_logic_vector(0 to 5);
-- Signals for thread table BRAM
signal ENA : std_logic;
signal WEA : std_logic;
signal ADDRA : std_logic_vector(0 to BRAM_ADDRESS_BITS - 1);
signal DIA : std_logic_vector(0 to BRAM_DATA_BITS - 1);
signal DOA : std_logic_vector(0 to BRAM_DATA_BITS - 1);
alias addr :std_logic_vector(0 to 5) is Bus2IP_Addr(16 to 21);
---------------------------------------------------------------------------
-- Component Instantiation of inferred dual ported block RAM
---------------------------------------------------------------------------
component infer_bram_dual_port is
generic (
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to ADDRESS_BITS - 1);
DIA : in std_logic_vector(0 to DATA_BITS - 1);
DOA : out std_logic_vector(0 to DATA_BITS - 1);
CLKB : in std_logic;
ENB : in std_logic;
WEB : in std_logic;
ADDRB : in std_logic_vector(0 to ADDRESS_BITS - 1);
DIB : in std_logic_vector(0 to DATA_BITS - 1);
DOB : out std_logic_vector(0 to DATA_BITS - 1)
);
end component infer_bram_dual_port;
-------------------------------------------------------------------
-- ICON core signal declarations
-------------------------------------------------------------------
signal control0 : std_logic_vector(35 downto 0);
signal my_ack, my_tout_sup, my_error, my_sched_req : std_logic; -- TODO: This line might be gone.
signal my_counter : std_logic_vector(0 to 31);
-------------------------------------------------------------------
-- ICON core component declaration
-------------------------------------------------------------------
-- simulation translate_off
--component chipscope_icon_v1_03_a
-- port
-- (
-- control0 : out std_logic_vector(35 downto 0)
-- );
--end component;
-- simulation translate_on
-------------------------------------------------------------------
-- ILA core component declaration
-------------------------------------------------------------------
-- simulation translate_off
--component chipscope_ila_v1_02_a
-- port
-- (
--- control : in std_logic_vector(35 downto 0);
-- clk : in std_logic;
-- trig0 : in std_logic_vector(63 downto 0);
-- trig1 : in std_logic_vector(63 downto 0);
-- trig2 : in std_logic_vector(31 downto 0);
-- trig3 : in std_logic_vector(31 downto 0);
-- trig4 : in std_logic_vector(15 downto 0)
-- );
--end component;
-- simulation translate_on
begin
thread_table_bram : infer_bram_dual_port
generic map (
ADDRESS_BITS => BRAM_ADDRESS_BITS,
DATA_BITS => BRAM_DATA_BITS
)
port map (
CLKA => Bus2IP_Clk,
ENA => ENA,
WEA => WEA,
ADDRA => ADDRA,
DIA => DIA,
DOA => DOA,
CLKB => Bus2IP_Clk,
ENB => sch2tm_ENB,
WEB => sch2tm_WEB,
ADDRB => sch2tm_ADDRB,
DIB => sch2tm_DIB,
DOB => tm2sch_DOB
);
tm2sch_opcode <= tm2sch_opcode_reg;
tm2sch_data <= tm2sch_data_reg;
tm2sch_request <= tm2sch_request_reg;
Soft_Stop <= core_stop;
Scheduler_Reset <= soft_resets(3);
Semaphore_Reset <= soft_resets(2);
SpinLock_Reset <= soft_resets(1);
User_IP_Reset <= soft_resets(0);
Access_Intr <= access_error;
CYCLE_PROC : process (Bus2IP_Clk, Bus2IP_CS) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk='1' ) then
if( Bus2IP_CS(0) = '0' ) then
cycle_count <= (others => '0');
else
cycle_count <= cycle_count + 1;
end if;
end if;
end process CYCLE_PROC;
--
-- create a counter for the number of elapsed cycles
-- in each bus transaction.
-- assert TimeOut suppress when count = TOUT_CYCLES
--
CYCLE_CONTROL : process( cycle_count ) is
begin
IP2Bus_Error <= '0'; -- no error
--
-- count the number of elapsed clock cycles in transaction
--
if cycle_count < C_RESET_TIMEOUT then
timeout_expired <= '0';
else
--timeout_expired <= '1';
timeout_expired <= '0'; -- Disable timeouts.
end if;
--
-- activate time out suppress if count exceeds TOUT_CYCLES
-- edk. Why isn't this done inside the clk_event ???
--
-- if cycle_count > TOUT_CYCLES then
-- --IP2Bus_ToutSup <= '1'; -- halt time out counter
-- my_tout_sup <= '1'; -- halt time out counter
-- else
-- --IP2Bus_ToutSup <= '0'; -- release
-- my_tout_sup <= '0'; -- release
-- end if;
end process CYCLE_CONTROL;
-- IP2Bus_ToutSup <= my_tout_sup;
RESET_PROC : process (Bus2IP_Clk, addr, current_state)
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( addr = C_SOFT_RESET and current_state = SOFT_RESET_WRITE_INIT ) then
reset_ID <= (others => '0');
else
reset_ID <= reset_ID + 1;
end if;
end if;
end process;
ACK_PROC : process(my_ack, Bus2IP_RdCE, Bus2IP_WrCE)
begin
if (Bus2IP_RdCE(0) = '1') then
IP2Bus_RdAck <= my_ack;
else
IP2Bus_RdAck <= '0';
end if;
if (Bus2IP_WrCE(0) = '1') then
IP2Bus_WrAck <= my_ack;
else
IP2Bus_WrAck <= '0';
end if;
end process;
SWTM_STATE_PROC : process (Bus2IP_Clk, core_stop_next, new_ID_next, next_ID_next, temp_thread_id_next, temp_thread_id2_next, current_cpu_thread_next, Current_status_next, soft_resets_next, reset_status_next, Swtm_Reset_Done_next, Scheduler_Reset_Done, Semaphore_Reset_Done, SpinLock_Reset_Done, User_IP_Reset_Done, next_state, return_state_next, Bus2IP_Reset,Exception_Cause_next) is
begin
if (Bus2IP_Clk'event and (Bus2IP_Clk = '1')) then
core_stop <= core_stop_next;
new_ID <= new_ID_next;
next_ID <= next_ID_next;
temp_thread_id <= temp_thread_id_next;
temp_thread_id2 <= temp_thread_id2_next;
current_cpu_thread <= current_cpu_thread_next;
tm2sch_cpu_thread_id <= current_cpu_thread_next(0 to 7);
tm2sch_data_reg <= tm2sch_data_next;
tm2sch_opcode_reg <= tm2sch_opcode_next;
tm2sch_request_reg <= tm2sch_request_next;
current_status <= current_status_next;
Exception_Address <= Exception_Address_next;
Exception_Cause <= Exception_Cause_next;
soft_resets <= soft_resets_next;
reset_status <= reset_status_next;
bus_data_out <= bus_data_out_next;
Swtm_Reset_Done <= Swtm_Reset_Done_next;
resets_done(4) <= Swtm_Reset_Done_next;
resets_done(3) <= Scheduler_Reset_Done;
resets_done(2) <= Semaphore_Reset_Done;
resets_done(1) <= SpinLock_Reset_Done;
resets_done(0) <= User_IP_Reset_Done;
return_state <= return_state_next;
if( Bus2IP_Reset = '1' ) then
current_state <= IDLE_STATE;
else
current_state <= next_state;
end if;
end if;
end process SWTM_STATE_PROC;
-- IP2Bus_Ack <= my_ack; -- pulse(010) to end bus transaction
SWTM_LOGIC_PROC : process (current_state, core_stop, new_ID, next_ID, current_cpu_thread, current_status, reset_status, Swtm_Reset_Done, soft_resets, Bus2IP_Addr, Bus2IP_Data, Exception_Address, Bus2IP_WrCE, addr, Bus2IP_RdCE, reset_ID, resets_done, timeout_expired, DOA, sch2tm_next_id_valid, sch2tm_next_id, sch2tm_busy, bus_data_out, Exception_Cause, tm2sch_request_reg, tm2sch_data_reg, tm2sch_opcode_reg, temp_thread_id, temp_thread_id2) is
begin
-- -------------------------------------------------
-- default output signal assignments
-- -------------------------------------------------
my_ack <= '0'; -- pulse(010) to end bus transaction
access_error <= '0'; -- pulse(010) for access error interrupt
IP2Bus_Data <= (others => '0');
ADDRA <= (others => '0');
ENA <= '0';
WEA <= '0';
DIA <= (others => '0');
-- -------------------------------------------------
-- default register assignments
-- -------------------------------------------------
next_state <= current_state;
return_state_next <= return_state;
core_stop_next <= core_stop;
new_ID_next <= new_ID;
next_ID_next <= next_ID;
temp_thread_id_next <= temp_thread_id;
temp_thread_id2_next <= temp_thread_id2;
current_cpu_thread_next <= current_cpu_thread;
current_status_next <= current_status;
Exception_Address_next <= Exception_Address;
reset_status_next <= reset_status;
Swtm_Reset_Done_next <= Swtm_Reset_Done;
Exception_Cause_next <= Exception_Cause;
tm2sch_request_next <= tm2sch_request_reg;
tm2sch_data_next <= tm2sch_data_reg;
tm2sch_opcode_next <= tm2sch_opcode_reg;
bus_data_out_next <= bus_data_out;
soft_resets_next <= soft_resets;
case current_state is
-- Command (addr) decode whenever we are waiting for something new to do.
when IDLE_STATE =>
bus_data_out_next <= (others => '0');
if (Bus2IP_WrCE(0) = '1') then
case addr is
when C_SOFT_START =>
-- Any write to soft_start address clears
-- all soft reset signals and the Soft_Stop signal
soft_resets_next <= (others => '0');
swtm_reset_done_next <= '0'; -- clear SWTM's reset done
core_stop_next <= '0'; -- clear core_stop
next_state <= END_TRANSACTION;
when C_SOFT_STOP =>
-- write any data to Soft_Stop to assert the Soft_Stop signal
core_stop_next <= '1';
next_state <= END_TRANSACTION;
when C_SOFT_RESET =>
next_state <= SOFT_RESET_WRITE_INIT;
when C_READ_THREAD =>
if (core_stop = '1') then
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
WEA <= '1';
ENA <= '1';
DIA <= Bus2IP_Data(0 to 31);
next_state <= END_TRANSACTION;
else
Exception_Cause_next <= EXCEPTION_WRITE_TO_READ_ONLY;
next_state <= RAISE_EXCEPTION;
end if;
when others =>
Exception_Cause_next <= EXCEPTION_UNDEFINED_ADDRESS;
next_state <= RAISE_EXCEPTION;
end case;
elsif (Bus2IP_RdCE(0) = '1') then
case addr is
when C_SOFT_START =>
bus_data_out_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_SOFT_STOP =>
-- returns signal level in LSB on read
bus_data_out_next <= Z32(0 to 30) & core_stop;
next_state <= END_TRANSACTION;
when C_SOFT_RESET =>
-- returns 1's in bit positions that failed
bus_data_out_next <= Z32(0 to 26) & reset_status;
next_state <= END_TRANSACTION;
when C_CURRENT_THREAD =>
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread;
next_state <= END_TRANSACTION;
when C_EXCEPTION_ADDR =>
bus_data_out_next <= Exception_Address;
Exception_Address_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_EXCEPTION_REG =>
bus_data_out_next <= Z32(0 to 27) & Exception_Cause;
Exception_Cause_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_SCHED_LINES =>
bus_data_out_next <= Z32(0 to 6) & sch2tm_busy & sch2tm_data &
Z32(16 to 22) & sch2tm_next_id_valid &
sch2tm_next_id;
next_state <= END_TRANSACTION;
when C_READ_THREAD => next_state <= READ_THREAD_INIT;
when C_CREATE_THREAD_D => next_state <= CREATE_THREAD_INIT;
when C_CREATE_THREAD_J => next_state <= CREATE_THREAD_INIT;
when C_CLEAR_THREAD => next_state <= CLEAR_THREAD_INIT;
when C_JOIN_THREAD => next_state <= JOIN_THREAD_INIT;
when C_IS_DETACHED => next_state <= IS_DETACHED_THREAD_INIT;
when C_IS_QUEUED => next_state <= IS_QUEUED_INIT;
when C_NEXT_THREAD => next_state <= NEXT_THREAD_INIT;
when C_ADD_THREAD => next_state <= ADD_THREAD_INIT;
when C_YIELD_THREAD => next_state <= YIELD_THREAD_INIT;
when C_EXIT_THREAD => next_state <= EXIT_THREAD_INIT;
when others =>
Exception_Cause_next <= EXCEPTION_UNDEFINED_ADDRESS;
next_state <= RAISE_EXCEPTION;
end case;
end if;
--
-- read/write to the soft resets register (1 bit per IP)
-- write '1' to reset, reads '1' if timeout error occured
-- before IP reports finished
--
-- SW Thread Manager = bit#4 (LSB)
-- Scheduler = bit#3
-- Semaphore = bit#2
-- SpinLock = bit#1
-- User_IP = bit#0
--
when SOFT_RESET_WRITE_INIT =>
soft_resets_next <= Bus2IP_Data(27 to 31);
reset_status_next <= (others => '0');
swtm_reset_done_next <= '0'; -- clear SWTM's reset_done
if (Bus2IP_Data(31) = '1') then -- soft_resets(4)
--
-- perform a soft reset on SWTM
--
bus_data_out_next <= (others => '0');
new_ID_next <= (others => '0');
next_ID_next <= (others => '0');
temp_thread_id_next <= (others => '0');
current_cpu_thread_next <= Z32(0 to 7) & '1';
core_stop_next <= '0';
tm2sch_opcode_next <= OPCODE_NOOP;
tm2sch_data_next <= (others => '0');
tm2sch_request_next <= '0';
next_state <= SOFT_RESET_INIT_TABLE;
else
next_state <= SOFT_RESET_WAIT;
end if;
-- initialize the thread ID table to all zeros
-- and the next available stack to 0..255
when SOFT_RESET_INIT_TABLE =>
ADDRA <= reset_ID;
ENA <= '1';
WEA <= '1';
if( reset_ID(0) = '0' ) then
-- init available ID stack & thread ID table
DIA <= reset_ID(1 to 8) & Z32(0 to 23);
else
-- clear 2nd half of table (unused)
DIA <= Z32(0 to 31);
end if;
if( reset_ID = H32(0 to 8) ) then
swtm_reset_done_next<= '1'; -- done
next_state <= soft_reset_wait;
end if;
-- wait for all IPs to finish initialization or
-- the maximum time to be exceeded then
-- ack to finish transaction
when SOFT_RESET_WAIT =>
if (resets_done = soft_resets) then -- done
next_state <= END_TRANSACTION;
elsif (timeout_expired = '1') then
reset_status_next <= (resets_done xor soft_resets);
Exception_Cause_next <= EXCEPTION_TO_SOFT_RESET;
next_state <= RAISE_EXCEPTION; -- timeout
else
next_state <= current_state;
end if;
when READ_THREAD_INIT =>
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
WEA <= '0';
ENA <= '1';
next_state <= READ_THREAD_RD_WAIT;
when READ_THREAD_RD_WAIT =>
next_state <= READ_THREAD_DONE;
when READ_THREAD_DONE =>
bus_data_out_next <= DOA;
next_state <= END_TRANSACTION;
when CREATE_THREAD_INIT =>
if next_ID(0) = '1' then
-- no IDs available, return with error bit set
--
bus_data_out_next <= Z32(0 to 30) & '1';
next_state <= END_TRANSACTION;
else
-- read next ID from stack
--
ADDRA <= next_ID;
ENA <= '1';
next_state <= CT_NEW_ID_RD_WAIT;
end if;
when CT_NEW_ID_RD_WAIT =>
next_state <= CT_NEW_ID_AVAILABLE;
when CT_NEW_ID_AVAILABLE =>
new_ID_next <= DOA(0 to 7); -- save new ID#
ADDRA <= '0' & DOA(0 to 7); -- point to new thread
ENA <= '1';
next_state <= CT_ENTRY_RD_WAIT;
when CT_ENTRY_RD_WAIT =>
next_state <= CT_ENTRY_AVAILABLE;
when CT_ENTRY_AVAILABLE =>
ADDRA <= '0' & new_ID;
ENA <= '1';
WEA <= '1'; -- enable write to bram
-- Determine if the thread to create is DETACHED / JOINABLE
if addr = C_CREATE_THREAD_D then -- set new thread status
-- create detached
DIA <= DOA(0 to 7) & Z32(0 to 7) &
Z32(0 to 7) & "1011" & Z32(0 to 3);
else
-- create joinable
DIA <= DOA(0 to 7) & Z32(0 to 7) &
current_cpu_thread(0 to 7) & "0011" & Z32(0 to 3);
end if;
next_state <= CT_DONE;
when CT_DONE =>
-- return new ID with no error,
bus_data_out_next <= Z32(0 to 22) & new_ID & '0';
-- point to next available ID
next_ID_next <= next_ID + 1;
next_state <= END_TRANSACTION;
when CLEAR_THREAD_INIT =>
-- clear the encoded thread ID if it is used and exited
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= CLEAR_ENTRY_RD_WAIT;
when CLEAR_ENTRY_RD_WAIT =>
next_state <= CLEAR_ENTRY_AVAIABLE ;
when CLEAR_ENTRY_AVAIABLE =>
if (DOA(26 to 27) = "10") then -- used and exited
bus_data_out_next <= Z32; -- success, return zero
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
WEA <= '1'; -- clear old status but
DIA <= DOA(0 to 7) & Z32(0 to 23); -- preserve ID stack
next_state <= DEALLOCATE_ID;
else
-- error occurred, return thread status w/ LSB=1
bus_data_out_next <= DOA(0 to 27) & CLEAR_ERROR_NOT_USED;
next_state <= END_TRANSACTION;
end if;
when DEALLOCATE_ID =>
if (next_ID /= Z32(0 to 8)) then
ADDRA <= next_ID - 1;
ENA <= '1';
next_ID_next <= next_ID - 1;
next_state <= DEALLOCATE_NEXT_ENTRY_RD_WAIT;
else
next_state <= END_TRANSACTION;
end if;
when DEALLOCATE_NEXT_ENTRY_RD_WAIT =>
next_state <= DEALLOCATE_NEXT_ENTRY_AVAIL;
when DEALLOCATE_NEXT_ENTRY_AVAIL =>
-- put ID back on stack, preserve other bits
ADDRA <= next_ID;
ENA <= '1';
WEA <= '1';
DIA <= Bus2IP_Addr(22 to 29) & DOA(8 to 31);
next_state <= END_TRANSACTION;
when JOIN_THREAD_INIT =>
-- join on the encoded thread ID if its PID = current_thread
-- and its status = used,~joined,~detached
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= JOIN_RD_ENTRY_RD_WAIT;
when JOIN_RD_ENTRY_RD_WAIT =>
next_state <= JOIN_RD_ENTRY_AVAILABLE;
when JOIN_RD_ENTRY_AVAILABLE =>
if ((DOA(16 to 23) & '0' = current_cpu_thread) and -- PID = current thread
(DOA(24 to 25) = "00") and -- ~detached,~joined
(DOA(26 to 27) /= "00")) then -- not unused
if DOA(27) = '0' then
-- thread has already exited, return a WARNING code
bus_data_out_next <= Z32(0 to 27) & THREAD_ALREADY_TERMINATED;
next_state <= END_TRANSACTION;
else
-- thread has not exited
bus_data_out_next <= Z32; -- success, return zero
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
WEA <= '1';
-- clear old status but
-- set joined bit; and preserve all other bits
DIA <= DOA(0 to 24) & '1' & DOA(26 to 31);
next_state <= END_TRANSACTION;
end if;
else
-- An error occured. Determine the error and return correct error code.
if( DOA(24) = '1' ) then
-- trying to join on a detached thread
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_DETACHED;
elsif ( DOA(24 to 25) = "01" ) then
-- tyring to join on a thread that is already joined
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_JOINED;
elsif( DOA(26) = '0' ) then
-- trying to join on a thread that is not used
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_NOT_USED;
elsif( DOA(16 to 23) & '0' /= current_cpu_thread ) then
-- trying to join to a thread that is not the current thread's child
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_NOT_CHILD;
else
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_UNKNOWN;
end if;
next_state <= END_TRANSACTION;
end if;
when IS_DETACHED_THREAD_INIT =>
-- Returns a 1 if the encoded thread ID is detached, else returns 0
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= IS_DETACHED_ENTRY_RD_WAIT;
when IS_DETACHED_ENTRY_RD_WAIT =>
next_state <= IS_DETACHED_ENTRY_AVAILABLE;
when IS_DETACHED_ENTRY_AVAILABLE =>
if (DOA(24) = '1' and DOA(26) = '1') then
-- Thread is detached, return 1
bus_data_out_next <= Z32(0 to 29) & "10"; -- The 0 in the last bit indicates no error
else
-- Thread is not detached, or not used, return 0
bus_data_out_next <= Z32;
end if;
next_state <= END_TRANSACTION;
when IS_QUEUED_INIT =>
tm2sch_opcode_next <= OPCODE_IS_QUEUED;
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29); -- thread ID
next_state <= ISQUEUED_WAIT_ACK;
return_state_next <= IS_QUEUED_DONE;
when IS_QUEUED_DONE =>
bus_data_out_next <= Z32(0 to 22) & sch2tm_data & '0';
next_state <= END_TRANSACTION;
when NEXT_THREAD_INIT =>
-- Return to the caller the value of the next thread to run
if sch2tm_next_id_valid = '1' then
-- the next thread has been identified,
-- read from Scheduler and check thread status
-- as stored by SWTM for consistency
ADDRA <= '0' & sch2tm_next_id;
ENA <= '1';
next_state <= NEXT_THREAD_RD_WAIT;
else
next_state <= NEXT_THREAD_WAIT4_SCHEDULER;
end if;
when NEXT_THREAD_WAIT4_SCHEDULER =>
if (sch2tm_next_id_valid = '1') then
-- Scheduler has made a scheduling decision
ADDRA <= '0' & sch2tm_next_id;
ENA <= '1';
next_state <= NEXT_THREAD_RD_WAIT;
elsif (timeout_expired = '1') then
-- Timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_NEXT_THREAD;
next_state <= RAISE_EXCEPTION; -- timeout
else
-- Continue waiting for scheduler
next_state <= current_state;
end if;
when NEXT_THREAD_RD_WAIT =>
next_state <= NEXT_THREAD_AVAILABLE;
when NEXT_THREAD_AVAILABLE =>
if DOA(26 to 27) = "11" then
-- thread status is used and not exited
-- dequeue the next_thread_id from the scheduler's queue
current_cpu_thread_next <= sch2tm_next_id & '0';
-- Send dequeue opperation to scheduler
tm2sch_opcode_next <= OPCODE_DEQUEUE;
tm2sch_request_next <= '1';
tm2sch_data_next <= Z32(0 to 7);
next_state <= DEQUEUE_WAIT_ACK;
return_state_next <= NEXT_THREAD_CHECK_DEQUEUE;
else
-- TM and SCHEDULER disagree if thread was used and not exited
-- return thread ID, set error bit and raise exception
bus_data_out_next <= Z32(0 to 22) & sch2tm_next_id & '1';
Exception_Cause_next <= EXCEPTION_SCHD_INVALID_THREAD;
next_state <= RAISE_EXCEPTION; -- timeout
end if;
when NEXT_THREAD_CHECK_DEQUEUE =>
-- Perform a check to make sure scheduler completed successfully
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
-- return the value of the next thread id (which by now is in the current_cpu_thread register)
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread(0 to 7) & '0';
next_state <= END_TRANSACTION;
end if;
when ADD_THREAD_INIT =>
-- if the thread is !used or exited return error
-- call scheduler to check queued status
-- if queued return error
-- call scheduler to enqueue thread ID
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- encoded thread ID
ENA <= '1';
next_state <= AT_ENTRY_RD_WAIT;
when AT_ENTRY_RD_WAIT =>
next_state <= AT_ENTRY_AVAILABLE;
when AT_ENTRY_AVAILABLE =>
-- check to see if the thread is used and !exited
if (DOA(26 to 27) = "11") then
-- thread is used and not exited
-- call scheduler isQueued
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29);
tm2sch_opcode_next <= OPCODE_IS_QUEUED;
next_state <= ISQUEUED_WAIT_ACK;
return_state_next <= AT_CHECK_ISQUEUE;
else
-- thread is unused or exited (or both)
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when AT_CHECK_ISQUEUE =>
-- Check to see if the thread is queued
if sch2tm_data(7) = '0' then
-- Thread is not queued, call scheduler's enqueue
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29);
tm2sch_opcode_next <= OPCODE_ENQUEUE;
next_state <= ENQUEUE_WAIT_ACK;
return_state_next <= AT_CHECK_ENQUEUE;
else
-- Thread is queued, return error
bus_data_out_next <= DOA(0 to 7) & sch2tm_data & DOA(16 to 27) & THREAD_ALREADY_QUEUED;
next_state <= END_TRANSACTION;
end if;
when AT_CHECK_ENQUEUE =>
-- Check to make sure the scheduler added the thread correctly
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 7) & sch2tm_data & Z32(16 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
bus_data_out_next <= Z32(0 to 7) & sch2tm_data & Z32(16 to 31);
next_state <= END_TRANSACTION;
end if;
when ISQUEUED_WAIT_ACK =>
-- wait for the scheduler to acknowledge the isqueued request
if sch2tm_busy = '0' then
-- scheduler has not yet responded to request
next_state <= current_state;
elsif (timeout_expired = '1') then
-- timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISQUEUED;
next_state <= RAISE_EXCEPTION;
else
-- scheduler acknowledged request, lower request line
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= ISQUEUED_WAIT_COMPLETE;
end if;
when ISQUEUED_WAIT_COMPLETE =>
-- wait for the scheduler to complete the isqueued request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
next_state <= current_state;
elsif (timeout_expired = '1') then
-- timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISQUEUED;
next_state <= RAISE_EXCEPTION;
else
-- scheduler finished request, and (should) have data on data_return line
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when ENQUEUE_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the enqueue request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ENQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= ENQUEUE_WAIT_COMPLETE;
end if;
when ENQUEUE_WAIT_COMPLETE =>
-- wait for the scheduler to complete the enqueue request
if sch2tm_busy = '1' then
-- scheduler has notyet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ENQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when DEQUEUE_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the dequeue request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_DEQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= DEQUEUE_WAIT_COMPLETE;
end if;
when DEQUEUE_WAIT_COMPLETE =>
-- wait for the scheduler to complete the dequeue request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_DEQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when IS_QUEUE_EMPTY_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the is queue empty request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISEMPTY;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= IS_QUEUE_EMPTY_WAIT_COMPLETE;
end if;
when IS_QUEUE_EMPTY_WAIT_COMPLETE =>
-- wait for the scheduler to complete the is queue empty request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISEMPTY;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when YIELD_THREAD_INIT =>
-- Retrieve the status of the current cpu thread
ADDRA <= '0' & current_cpu_thread(0 to 7);
ENA <= '1';
next_state <= YIELD_CURRENT_THREAD_RD_WAIT;
when YIELD_CURRENT_THREAD_RD_WAIT =>
next_state <= YIELD_CURRENT_THREAD_AVAILABLE;
when YIELD_CURRENT_THREAD_AVAILABLE =>
-- check to see if thread's status is used,~exited,~queued
if (DOA(26 to 27) = "11") then
-- check to see if the scheduler's queue is empty
tm2sch_request_next <= '1';
tm2sch_opcode_next <= OPCODE_IS_EMPTY;
tm2sch_data_next <= Z32(0 to 7);
next_state <= IS_QUEUE_EMPTY_WAIT_ACK;
return_state_next <= YIELD_CHECK_QUEUE_EMPTY;
else
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when YIELD_CHECK_QUEUE_EMPTY =>
if (sch2tm_data(7) = '1') then
-- Queue is empty, return the current thread id
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread;
next_state <= END_TRANSACTION;
else
-- Queue is not empty, add currently running thread to Q and then follow with a DEQ
next_state <= YIELD_ENQUEUE;
end if;
when YIELD_ENQUEUE =>
tm2sch_request_next <= '1';
tm2sch_opcode_next <= OPCODE_ENQUEUE;
tm2sch_data_next <= current_cpu_thread(0 to 7);
next_state <= ENQUEUE_WAIT_ACK;
return_state_next <= YIELD_CHECK_ENQUEUE;
when YIELD_CHECK_ENQUEUE =>
if (sch2tm_data(7) = '0') then
-- ENQ was successful, now DEQ to get next scheduling decision
current_cpu_thread_next <= sch2tm_next_id & '0'; -- update the currently running thread to the one that is scheduled to run next (AKA to be DEQ'd)
-- next_state <= YIELD_dummy_is_queued;
next_state <= YIELD_DEQUEUE;
else
-- ENQ failed, return error to caller
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
end if;
-- when YIELD_dummy_is_queued =>
-- tm2sch_request_next <= '1'; -- request the dummy is_queued operation
-- tm2sch_opcode_next <= OPCODE_IS_QUEUED;
-- tm2sch_data_next <= "11111111";
-- next_state <= ISQUEUED_WAIT_ACK;
-- return_state_next <= YIELD_DEQUEUE;
when YIELD_DEQUEUE =>
tm2sch_request_next <= '1'; -- request the DEQ operation to remove the thread to run from Q
tm2sch_opcode_next <= OPCODE_DEQUEUE;
tm2sch_data_next <= Z32(0 to 7);
next_state <= DEQUEUE_WAIT_ACK;
return_state_next <= YIELD_CHECK_DEQUEUE;
when YIELD_CHECK_DEQUEUE =>
if (sch2tm_data(7) = '1') then
-- error during DEQ...
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- DEQ completed successfully, end operation
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread(0 to 7) & '0'; -- setup the return value of the next thread to run (now in the currently running thread)
next_state <= END_TRANSACTION;
end if;
when EXIT_THREAD_INIT =>
bus_data_out_next <= Z32; -- change if failure occurs
ADDRA <= '0' & Bus2IP_Addr(22 to 29);
ENA <= '1';
next_state <= EXIT_THREAD_RD_WAIT;
when EXIT_THREAD_RD_WAIT =>
next_state <= EXIT_THREAD_AVAIABLE;
when EXIT_THREAD_AVAIABLE =>
-- full entry for the current_thread is required in later states
current_status_next <= DOA(0 to 31);
ADDRA <= '0' & Bus2IP_Addr(22 to 29);
ENA <= '1';
WEA <= '1';
if (DOA(24) = '1') then
-- Thread is detached
-- Make the thread status used and exited.
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= END_TRANSACTION;
elsif (DOA(25) = '1') then
-- Thread is joined
-- Make the thread status used and exited, and wake the parent
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= EXIT_READ_PARENT;
else
-- Thread is not detached and still joinable
-- Set the thread status to used and exited
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= END_TRANSACTION;
end if;
when EXIT_READ_PARENT =>
-- The thread that is exiting was joined, wake the parent up
ADDRA <= '0' & current_status(16 to 23);
ENA <= '1';
next_state <= EXIT_READ_PARENT_WAIT;
when EXIT_READ_PARENT_WAIT =>
next_state <= EXIT_READ_PARENT_AVAILABLE;
when EXIT_READ_PARENT_AVAILABLE =>
-- Make sure the parent thread is used and not exited
if (DOA(26 to 27) = "11") then
-- Parent thread is used and not exited.
-- Add the parent thread tothe scheduler's queue
tm2sch_opcode_next <= OPCODE_ENQUEUE;
tm2sch_request_next <= '1';
tm2sch_data_next <= current_status(16 to 23);
return_state_next <= EXIT_CHECK_ENQUEUE;
next_state <= ENQUEUE_WAIT_ACK;
else
-- Parent thread is either unused or exited, neither of which it should be
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when EXIT_CHECK_ENQUEUE =>
-- Check to make sure the scheduler added the thread correctly
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
bus_data_out_next <= Z32(0 to 31);
next_state <= END_TRANSACTION;
end if;
when RAISE_EXCEPTION =>
-- NOTE !!! You must assign Exception_Cause
-- where-ever you assign next_state <= RAISE_EXCEPTION;
Exception_Address_next <= Bus2IP_Addr(0 to 31); -- save address
access_error <= '1'; -- assert interrupt
my_ack <= '1'; -- done, "ack" the bus
next_state <= END_TRANSACTION_WAIT;
when END_TRANSACTION =>
IP2Bus_Data <= bus_data_out;
my_ack <= '1'; -- done, "ack" the bus
next_state <= END_TRANSACTION_WAIT;
when END_TRANSACTION_WAIT =>
if( Bus2IP_RdCE(0)='0' and Bus2IP_WrCE(0)='0' ) then
next_state <= IDLE_STATE;
else
next_state <= current_state;
end if;
when others =>
Exception_Cause_next <= EXCEPTION_ILLEGAL_STATE;
next_state <= RAISE_EXCEPTION;
end case; -- case current_state
end process SWTM_LOGIC_PROC;
-------------------------------------------------------------------
-- ICON core instance
-------------------------------------------------------------------
-- -- simulation translate_off
-- i_icon : chipscope_icon_v1_03_a
-- port map
-- (
-- control0 => control0
-- );
-- -- simulation translate_on
--
-- COUNTER_PROC : process (Bus2IP_Clk) is
-- begin
-- if( Bus2IP_Clk'event and Bus2IP_Clk='1' ) then
-- if (Bus2IP_Reset = '1') then
-- my_counter <= (others => '0');
-- else
-- my_counter <= my_counter + 1;
-- end if;
-- end if;
-- end process COUNTER_PROC;
--
-- --
--
-- -------------------------------------------------------------------
-- -- ILA core instance
-- -------------------------------------------------------------------
--
-- -- simulation translate_off
-- i_ila : chipscope_ila_v1_02_a
-- port map
-- (
-- control => control0,
-- clk => Bus2IP_Clk,
-- trig0(63 downto 32) => Bus2IP_Data,
-- trig0(31 downto 0) => my_counter, -- 64 bits -- Add in chipscope signals and run on board!!!!
-- trig1(63 downto 32) => Bus2IP_Addr,
-- trig1(31 downto 0) => bus_data_out, -- 64 bits
-- trig2 => current_status, -- 32 bits
-- trig3 => Bus2IP_Addr, -- 32 bits
-- trig4(0) => Bus2IP_RdCE, -- 16 bits
-- trig4(1) => Bus2IP_WrCE,
-- trig4(2) => my_ack,
-- trig4(3) => my_tout_sup,
-- trig4(4) => Bus2IP_Reset,
-- trig4(5) => '0',
-- trig4(6) => tm2sch_request_reg,
-- trig4(7) => next_ID(0),
-- trig4(8) => next_ID(1),
-- trig4(9) => next_ID(2),
-- trig4(10) => next_ID(3),
-- trig4(11) => next_ID(4),
-- trig4(12) => next_ID(5),
-- trig4(13) => next_ID(6),
-- trig4(14) => next_ID(7),
-- trig4(15) => next_ID(8)
-- );
-- -- simulation translate_on
--
end IMP;
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
--
-- Title Thread Manager
--
-- 26 Jul 2004: Mike Finley: Original author
-- 08 Jun 2005: Erik Anderson: Changes for new interface between TM and
-- Scheduler. Also adding function isQueue().
-- 15 Apr 2009: Jim Stevens: Ported to PLB version 4.6.
--
---------------------------------------------------------------------------
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_CS -- Bus to IP chip select
-- Bus2IP_RNW -- Bus to IP read/not write
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 1;
-- DO NOT EDIT ABOVE THIS LINE ---------------------
C_RESET_TIMEOUT : natural := 4096
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_CS : in std_logic_vector(0 to 0);
Bus2IP_RNW : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic;
-- DO NOT EDIT ABOVE THIS LINE ---------------------
Access_Intr : out std_logic;
Scheduler_Reset : out std_logic;
Scheduler_Reset_Done : in std_logic;
Semaphore_Reset : out std_logic;
Semaphore_Reset_Done : in std_logic;
SpinLock_Reset : out std_logic;
SpinLock_Reset_Done : in std_logic;
User_IP_Reset : out std_logic;
User_IP_Reset_Done : in std_logic;
Soft_Stop : out std_logic;
tm2sch_cpu_thread_id : out std_logic_vector(0 to 7);
tm2sch_opcode : out std_logic_vector(0 to 5);
tm2sch_data : out std_logic_vector(0 to 7);
tm2sch_request : out std_logic;
tm2sch_DOB : out std_logic_vector(0 to 31);
sch2tm_ADDRB : in std_logic_vector(0 to 8);
sch2tm_DIB : in std_logic_vector(0 to 31);
sch2tm_ENB : in std_logic;
sch2tm_WEB : in std_logic;
sch2tm_busy : in std_logic;
sch2tm_data : in std_logic_vector(0 to 7);
sch2tm_next_id : in std_logic_vector(0 to 7);
sch2tm_next_id_valid : in std_logic
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
-- Define the memory map for each register, Address[16 to 21]
--
constant C_CLEAR_THREAD : std_logic_vector(0 to 5) := "000000";
constant C_JOIN_THREAD : std_logic_vector(0 to 5) := "000001";
constant C_READ_THREAD : std_logic_vector(0 to 5) := "000011";
constant C_ADD_THREAD : std_logic_vector(0 to 5) := "000100";
constant C_CREATE_THREAD_J : std_logic_vector(0 to 5) := "000101";
constant C_CREATE_THREAD_D : std_logic_vector(0 to 5) := "000110";
constant C_EXIT_THREAD : std_logic_vector(0 to 5) := "000111";
constant C_NEXT_THREAD : std_logic_vector(0 to 5) := "001000";
constant C_YIELD_THREAD : std_logic_vector(0 to 5) := "001001";
constant C_CURRENT_THREAD : std_logic_vector(0 to 5) := "010000";
constant C_IS_DETACHED : std_logic_vector(0 to 5) := "011000";
constant C_IS_QUEUED : std_logic_vector(0 to 5) := "011001";
constant C_EXCEPTION_ADDR : std_logic_vector(0 to 5) := "010011";
constant C_EXCEPTION_REG : std_logic_vector(0 to 5) := "010100";
constant C_SOFT_START : std_logic_vector(0 to 5) := "010101";
constant C_SOFT_STOP : std_logic_vector(0 to 5) := "010110";
constant C_SOFT_RESET : std_logic_vector(0 to 5) := "010111";
constant C_SCHED_LINES : std_logic_vector(0 to 5) := "011010";
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
constant OPCODE_IS_QUEUED : std_logic_vector(0 to 5) := "000001";
constant OPCODE_ENQUEUE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DEQUEUE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_IS_EMPTY : std_logic_vector(0 to 5) := "000110";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
constant H32 : std_logic_vector(0 to 31) := (others => '1');
constant MAX_QUEUE_SIZE : std_logic_vector(0 to 7) := (others => '1');
constant TOUT_CYCLES : natural := 3; -- assert timeout suppress
signal cycle_count : std_logic_vector(0 to 15);
signal timeout_expired : std_logic;
-- Extended Thread Error Codes returned in lower 4 bits
constant ERROR_IN_STATUS : std_logic_vector(0 to 3) := "0001";
constant THREAD_ALREADY_TERMINATED : std_logic_vector(0 to 3) := "0011";
constant THREAD_ALREADY_QUEUED : std_logic_vector(0 to 3) := "0101";
constant ERROR_FROM_SCHEDULER : std_logic_vector(0 to 3) := "0111";
constant JOIN_ERROR_CHILD_JOINED : std_logic_vector(0 to 3) := "1001";
constant JOIN_ERROR_NOT_CHILD : std_logic_vector(0 to 3) := "1011";
constant JOIN_ERROR_CHILD_DETACHED : std_logic_vector(0 to 3) := "1101";
constant JOIN_ERROR_CHILD_NOT_USED : std_logic_vector(0 to 3) := "1111";
constant JOIN_ERROR_UNKNOWN : std_logic_vector(0 to 3) := "0001";
constant CLEAR_ERROR_NOT_USED : std_logic_vector(0 to 3) := "1001";
-- Exception "cause" returned in Exception register
constant EXCEPTION_WRITE_TO_READ_ONLY : std_logic_vector(0 to 3) := "0001";
constant EXCEPTION_UNDEFINED_ADDRESS : std_logic_vector(0 to 3) := "0010";
constant EXCEPTION_TO_SOFT_RESET : std_logic_vector(0 to 3) := "0011";
constant EXCEPTION_TO_SCHD_ISQUEUED : std_logic_vector(0 to 3) := "0100";
constant EXCEPTION_TO_SCHD_ENQUEUE : std_logic_vector(0 to 3) := "0101";
constant EXCEPTION_TO_SCHD_DEQUEUE : std_logic_vector(0 to 3) := "0110";
constant EXCEPTION_TO_SCHD_ISEMPTY : std_logic_vector(0 to 3) := "0111";
constant EXCEPTION_TO_SCHD_NEXT_THREAD : std_logic_vector(0 to 3) := "1000";
constant EXCEPTION_SCHD_INVALID_THREAD : std_logic_vector(0 to 3) := "1001";
constant EXCEPTION_ILLEGAL_STATE : std_logic_vector(0 to 3) := "1111";
-- BRAM constants
constant BRAM_ADDRESS_BITS : integer := 9;
constant BRAM_DATA_BITS : integer := 32;
-- Address,Cause for access exceptions
--
signal Exception_Address : std_logic_vector(0 to 31);
signal Exception_Address_next : std_logic_vector(0 to 31);
signal Exception_Cause : std_logic_vector(0 to 3);
signal Exception_Cause_next : std_logic_vector(0 to 3);
signal access_error : std_logic;
-- Debug control signals
--
-- Soft reset signals, LSB = SWTM reset; reset IP(s) if '1'
-- Resets done, handshake from IPs if done resetting(1)
-- core_stop , halt state machines at next appropriate point if '1'
--
signal soft_resets : std_logic_vector(0 to 4);
signal soft_resets_next : std_logic_vector(0 to 4);
signal resets_done : std_logic_vector(0 to 4);
signal reset_status : std_logic_vector(0 to 4);
signal reset_status_next : std_logic_vector(0 to 4);
signal core_stop : std_logic;
signal core_stop_next : std_logic;
-- Declarations for each register
-- Current thread,Idle thread : bits 0..7 = ID, bit 8 = '1' = invalid
signal current_cpu_thread : std_logic_vector(0 to 8);
signal current_cpu_thread_next : std_logic_vector(0 to 8);
-- internal signals
signal next_ID : std_logic_vector(0 to 8);
signal next_ID_next : std_logic_vector(0 to 8);
signal temp_thread_id : std_logic_vector(0 to 7);
signal temp_thread_id_next : std_logic_vector(0 to 7);
signal temp_thread_id2 : std_logic_vector(0 to 7);
signal temp_thread_id2_next : std_logic_vector(0 to 7);
signal reset_ID : std_logic_vector(0 to 8);
type swtm_state_type is
(IDLE_STATE,
SOFT_RESET_WRITE_INIT,
SOFT_RESET_INIT_TABLE,
SOFT_RESET_WAIT,
READ_THREAD_INIT,
READ_THREAD_RD_WAIT,
READ_THREAD_DONE,
CREATE_THREAD_INIT,
CT_NEW_ID_RD_WAIT,
CT_NEW_ID_AVAILABLE,
CT_ENTRY_RD_WAIT,
CT_ENTRY_AVAILABLE,
CT_DONE,
CLEAR_THREAD_INIT,
CLEAR_ENTRY_RD_WAIT,
CLEAR_ENTRY_AVAIABLE,
DEALLOCATE_ID,
DEALLOCATE_NEXT_ENTRY_RD_WAIT,
DEALLOCATE_NEXT_ENTRY_AVAIL,
JOIN_THREAD_INIT,
JOIN_RD_ENTRY_RD_WAIT,
JOIN_RD_ENTRY_AVAILABLE,
IS_QUEUED_INIT,
IS_QUEUED_DONE,
IS_DETACHED_THREAD_INIT,
IS_DETACHED_ENTRY_RD_WAIT,
IS_DETACHED_ENTRY_AVAILABLE,
NEXT_THREAD_INIT,
NEXT_THREAD_WAIT4_SCHEDULER,
NEXT_THREAD_RD_WAIT,
NEXT_THREAD_AVAILABLE,
NEXT_THREAD_CHECK_DEQUEUE,
ADD_THREAD_INIT,
AT_ENTRY_RD_WAIT,
AT_ENTRY_AVAILABLE,
AT_ISQUEUED_WAIT,
AT_CHECK_ISQUEUE,
AT_ENQUEUE_WAIT,
AT_CHECK_ENQUEUE,
ISQUEUED_WAIT_ACK,
ISQUEUED_WAIT_COMPLETE,
ENQUEUE_WAIT_ACK,
ENQUEUE_WAIT_COMPLETE,
DEQUEUE_WAIT_ACK,
DEQUEUE_WAIT_COMPLETE,
IS_QUEUE_EMPTY_WAIT_ACK,
IS_QUEUE_EMPTY_WAIT_COMPLETE,
YIELD_THREAD_INIT,
YIELD_CURRENT_THREAD_RD_WAIT,
YIELD_CURRENT_THREAD_AVAILABLE,
YIELD_CHECK_QUEUE_EMPTY,
YIELD_ENQUEUE,
YIELD_CHECK_ENQUEUE,
-- YIELD_dummy_is_queued,
YIELD_DEQUEUE,
YIELD_CHECK_DEQUEUE,
EXIT_THREAD_INIT,
EXIT_THREAD_RD_WAIT,
EXIT_THREAD_AVAIABLE,
EXIT_DEALLOCATE,
EXIT_NEXT_THREAD_RD_WAIT,
EXIT_NEXT_THREAD_AVAILABLE,
EXIT_READ_PARENT,
EXIT_READ_PARENT_WAIT,
EXIT_READ_PARENT_AVAILABLE,
EXIT_CHECK_ENQUEUE,
RAISE_EXCEPTION,
END_TRANSACTION,
END_TRANSACTION_WAIT);
signal current_state, next_state : swtm_state_type := IDLE_STATE;
signal return_state, return_state_next : swtm_state_type := IDLE_STATE;
signal bus_data_out : std_logic_vector(0 to 31);
signal bus_data_out_next : std_logic_vector(0 to 31);
signal current_status : std_logic_vector(0 to 31);
signal current_status_next : std_logic_vector(0 to 31);
signal Swtm_Reset_Done : std_logic;
signal Swtm_Reset_Done_next : std_logic;
signal new_ID : std_logic_vector(0 to 7);
signal new_ID_next : std_logic_vector(0 to 7);
signal tm2sch_request_next : std_logic;
signal tm2sch_request_reg : std_logic;
signal tm2sch_data_next : std_logic_vector(0 to 7);
signal tm2sch_data_reg : std_logic_vector(0 to 7);
signal tm2sch_opcode_next : std_logic_vector(0 to 5);
signal tm2sch_opcode_reg : std_logic_vector(0 to 5);
-- Signals for thread table BRAM
signal ENA : std_logic;
signal WEA : std_logic;
signal ADDRA : std_logic_vector(0 to BRAM_ADDRESS_BITS - 1);
signal DIA : std_logic_vector(0 to BRAM_DATA_BITS - 1);
signal DOA : std_logic_vector(0 to BRAM_DATA_BITS - 1);
alias addr :std_logic_vector(0 to 5) is Bus2IP_Addr(16 to 21);
---------------------------------------------------------------------------
-- Component Instantiation of inferred dual ported block RAM
---------------------------------------------------------------------------
component infer_bram_dual_port is
generic (
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to ADDRESS_BITS - 1);
DIA : in std_logic_vector(0 to DATA_BITS - 1);
DOA : out std_logic_vector(0 to DATA_BITS - 1);
CLKB : in std_logic;
ENB : in std_logic;
WEB : in std_logic;
ADDRB : in std_logic_vector(0 to ADDRESS_BITS - 1);
DIB : in std_logic_vector(0 to DATA_BITS - 1);
DOB : out std_logic_vector(0 to DATA_BITS - 1)
);
end component infer_bram_dual_port;
-------------------------------------------------------------------
-- ICON core signal declarations
-------------------------------------------------------------------
signal control0 : std_logic_vector(35 downto 0);
signal my_ack, my_tout_sup, my_error, my_sched_req : std_logic; -- TODO: This line might be gone.
signal my_counter : std_logic_vector(0 to 31);
-------------------------------------------------------------------
-- ICON core component declaration
-------------------------------------------------------------------
-- simulation translate_off
--component chipscope_icon_v1_03_a
-- port
-- (
-- control0 : out std_logic_vector(35 downto 0)
-- );
--end component;
-- simulation translate_on
-------------------------------------------------------------------
-- ILA core component declaration
-------------------------------------------------------------------
-- simulation translate_off
--component chipscope_ila_v1_02_a
-- port
-- (
--- control : in std_logic_vector(35 downto 0);
-- clk : in std_logic;
-- trig0 : in std_logic_vector(63 downto 0);
-- trig1 : in std_logic_vector(63 downto 0);
-- trig2 : in std_logic_vector(31 downto 0);
-- trig3 : in std_logic_vector(31 downto 0);
-- trig4 : in std_logic_vector(15 downto 0)
-- );
--end component;
-- simulation translate_on
begin
thread_table_bram : infer_bram_dual_port
generic map (
ADDRESS_BITS => BRAM_ADDRESS_BITS,
DATA_BITS => BRAM_DATA_BITS
)
port map (
CLKA => Bus2IP_Clk,
ENA => ENA,
WEA => WEA,
ADDRA => ADDRA,
DIA => DIA,
DOA => DOA,
CLKB => Bus2IP_Clk,
ENB => sch2tm_ENB,
WEB => sch2tm_WEB,
ADDRB => sch2tm_ADDRB,
DIB => sch2tm_DIB,
DOB => tm2sch_DOB
);
tm2sch_opcode <= tm2sch_opcode_reg;
tm2sch_data <= tm2sch_data_reg;
tm2sch_request <= tm2sch_request_reg;
Soft_Stop <= core_stop;
Scheduler_Reset <= soft_resets(3);
Semaphore_Reset <= soft_resets(2);
SpinLock_Reset <= soft_resets(1);
User_IP_Reset <= soft_resets(0);
Access_Intr <= access_error;
CYCLE_PROC : process (Bus2IP_Clk, Bus2IP_CS) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk='1' ) then
if( Bus2IP_CS(0) = '0' ) then
cycle_count <= (others => '0');
else
cycle_count <= cycle_count + 1;
end if;
end if;
end process CYCLE_PROC;
--
-- create a counter for the number of elapsed cycles
-- in each bus transaction.
-- assert TimeOut suppress when count = TOUT_CYCLES
--
CYCLE_CONTROL : process( cycle_count ) is
begin
IP2Bus_Error <= '0'; -- no error
--
-- count the number of elapsed clock cycles in transaction
--
if cycle_count < C_RESET_TIMEOUT then
timeout_expired <= '0';
else
--timeout_expired <= '1';
timeout_expired <= '0'; -- Disable timeouts.
end if;
--
-- activate time out suppress if count exceeds TOUT_CYCLES
-- edk. Why isn't this done inside the clk_event ???
--
-- if cycle_count > TOUT_CYCLES then
-- --IP2Bus_ToutSup <= '1'; -- halt time out counter
-- my_tout_sup <= '1'; -- halt time out counter
-- else
-- --IP2Bus_ToutSup <= '0'; -- release
-- my_tout_sup <= '0'; -- release
-- end if;
end process CYCLE_CONTROL;
-- IP2Bus_ToutSup <= my_tout_sup;
RESET_PROC : process (Bus2IP_Clk, addr, current_state)
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( addr = C_SOFT_RESET and current_state = SOFT_RESET_WRITE_INIT ) then
reset_ID <= (others => '0');
else
reset_ID <= reset_ID + 1;
end if;
end if;
end process;
ACK_PROC : process(my_ack, Bus2IP_RdCE, Bus2IP_WrCE)
begin
if (Bus2IP_RdCE(0) = '1') then
IP2Bus_RdAck <= my_ack;
else
IP2Bus_RdAck <= '0';
end if;
if (Bus2IP_WrCE(0) = '1') then
IP2Bus_WrAck <= my_ack;
else
IP2Bus_WrAck <= '0';
end if;
end process;
SWTM_STATE_PROC : process (Bus2IP_Clk, core_stop_next, new_ID_next, next_ID_next, temp_thread_id_next, temp_thread_id2_next, current_cpu_thread_next, Current_status_next, soft_resets_next, reset_status_next, Swtm_Reset_Done_next, Scheduler_Reset_Done, Semaphore_Reset_Done, SpinLock_Reset_Done, User_IP_Reset_Done, next_state, return_state_next, Bus2IP_Reset,Exception_Cause_next) is
begin
if (Bus2IP_Clk'event and (Bus2IP_Clk = '1')) then
core_stop <= core_stop_next;
new_ID <= new_ID_next;
next_ID <= next_ID_next;
temp_thread_id <= temp_thread_id_next;
temp_thread_id2 <= temp_thread_id2_next;
current_cpu_thread <= current_cpu_thread_next;
tm2sch_cpu_thread_id <= current_cpu_thread_next(0 to 7);
tm2sch_data_reg <= tm2sch_data_next;
tm2sch_opcode_reg <= tm2sch_opcode_next;
tm2sch_request_reg <= tm2sch_request_next;
current_status <= current_status_next;
Exception_Address <= Exception_Address_next;
Exception_Cause <= Exception_Cause_next;
soft_resets <= soft_resets_next;
reset_status <= reset_status_next;
bus_data_out <= bus_data_out_next;
Swtm_Reset_Done <= Swtm_Reset_Done_next;
resets_done(4) <= Swtm_Reset_Done_next;
resets_done(3) <= Scheduler_Reset_Done;
resets_done(2) <= Semaphore_Reset_Done;
resets_done(1) <= SpinLock_Reset_Done;
resets_done(0) <= User_IP_Reset_Done;
return_state <= return_state_next;
if( Bus2IP_Reset = '1' ) then
current_state <= IDLE_STATE;
else
current_state <= next_state;
end if;
end if;
end process SWTM_STATE_PROC;
-- IP2Bus_Ack <= my_ack; -- pulse(010) to end bus transaction
SWTM_LOGIC_PROC : process (current_state, core_stop, new_ID, next_ID, current_cpu_thread, current_status, reset_status, Swtm_Reset_Done, soft_resets, Bus2IP_Addr, Bus2IP_Data, Exception_Address, Bus2IP_WrCE, addr, Bus2IP_RdCE, reset_ID, resets_done, timeout_expired, DOA, sch2tm_next_id_valid, sch2tm_next_id, sch2tm_busy, bus_data_out, Exception_Cause, tm2sch_request_reg, tm2sch_data_reg, tm2sch_opcode_reg, temp_thread_id, temp_thread_id2) is
begin
-- -------------------------------------------------
-- default output signal assignments
-- -------------------------------------------------
my_ack <= '0'; -- pulse(010) to end bus transaction
access_error <= '0'; -- pulse(010) for access error interrupt
IP2Bus_Data <= (others => '0');
ADDRA <= (others => '0');
ENA <= '0';
WEA <= '0';
DIA <= (others => '0');
-- -------------------------------------------------
-- default register assignments
-- -------------------------------------------------
next_state <= current_state;
return_state_next <= return_state;
core_stop_next <= core_stop;
new_ID_next <= new_ID;
next_ID_next <= next_ID;
temp_thread_id_next <= temp_thread_id;
temp_thread_id2_next <= temp_thread_id2;
current_cpu_thread_next <= current_cpu_thread;
current_status_next <= current_status;
Exception_Address_next <= Exception_Address;
reset_status_next <= reset_status;
Swtm_Reset_Done_next <= Swtm_Reset_Done;
Exception_Cause_next <= Exception_Cause;
tm2sch_request_next <= tm2sch_request_reg;
tm2sch_data_next <= tm2sch_data_reg;
tm2sch_opcode_next <= tm2sch_opcode_reg;
bus_data_out_next <= bus_data_out;
soft_resets_next <= soft_resets;
case current_state is
-- Command (addr) decode whenever we are waiting for something new to do.
when IDLE_STATE =>
bus_data_out_next <= (others => '0');
if (Bus2IP_WrCE(0) = '1') then
case addr is
when C_SOFT_START =>
-- Any write to soft_start address clears
-- all soft reset signals and the Soft_Stop signal
soft_resets_next <= (others => '0');
swtm_reset_done_next <= '0'; -- clear SWTM's reset done
core_stop_next <= '0'; -- clear core_stop
next_state <= END_TRANSACTION;
when C_SOFT_STOP =>
-- write any data to Soft_Stop to assert the Soft_Stop signal
core_stop_next <= '1';
next_state <= END_TRANSACTION;
when C_SOFT_RESET =>
next_state <= SOFT_RESET_WRITE_INIT;
when C_READ_THREAD =>
if (core_stop = '1') then
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
WEA <= '1';
ENA <= '1';
DIA <= Bus2IP_Data(0 to 31);
next_state <= END_TRANSACTION;
else
Exception_Cause_next <= EXCEPTION_WRITE_TO_READ_ONLY;
next_state <= RAISE_EXCEPTION;
end if;
when others =>
Exception_Cause_next <= EXCEPTION_UNDEFINED_ADDRESS;
next_state <= RAISE_EXCEPTION;
end case;
elsif (Bus2IP_RdCE(0) = '1') then
case addr is
when C_SOFT_START =>
bus_data_out_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_SOFT_STOP =>
-- returns signal level in LSB on read
bus_data_out_next <= Z32(0 to 30) & core_stop;
next_state <= END_TRANSACTION;
when C_SOFT_RESET =>
-- returns 1's in bit positions that failed
bus_data_out_next <= Z32(0 to 26) & reset_status;
next_state <= END_TRANSACTION;
when C_CURRENT_THREAD =>
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread;
next_state <= END_TRANSACTION;
when C_EXCEPTION_ADDR =>
bus_data_out_next <= Exception_Address;
Exception_Address_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_EXCEPTION_REG =>
bus_data_out_next <= Z32(0 to 27) & Exception_Cause;
Exception_Cause_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_SCHED_LINES =>
bus_data_out_next <= Z32(0 to 6) & sch2tm_busy & sch2tm_data &
Z32(16 to 22) & sch2tm_next_id_valid &
sch2tm_next_id;
next_state <= END_TRANSACTION;
when C_READ_THREAD => next_state <= READ_THREAD_INIT;
when C_CREATE_THREAD_D => next_state <= CREATE_THREAD_INIT;
when C_CREATE_THREAD_J => next_state <= CREATE_THREAD_INIT;
when C_CLEAR_THREAD => next_state <= CLEAR_THREAD_INIT;
when C_JOIN_THREAD => next_state <= JOIN_THREAD_INIT;
when C_IS_DETACHED => next_state <= IS_DETACHED_THREAD_INIT;
when C_IS_QUEUED => next_state <= IS_QUEUED_INIT;
when C_NEXT_THREAD => next_state <= NEXT_THREAD_INIT;
when C_ADD_THREAD => next_state <= ADD_THREAD_INIT;
when C_YIELD_THREAD => next_state <= YIELD_THREAD_INIT;
when C_EXIT_THREAD => next_state <= EXIT_THREAD_INIT;
when others =>
Exception_Cause_next <= EXCEPTION_UNDEFINED_ADDRESS;
next_state <= RAISE_EXCEPTION;
end case;
end if;
--
-- read/write to the soft resets register (1 bit per IP)
-- write '1' to reset, reads '1' if timeout error occured
-- before IP reports finished
--
-- SW Thread Manager = bit#4 (LSB)
-- Scheduler = bit#3
-- Semaphore = bit#2
-- SpinLock = bit#1
-- User_IP = bit#0
--
when SOFT_RESET_WRITE_INIT =>
soft_resets_next <= Bus2IP_Data(27 to 31);
reset_status_next <= (others => '0');
swtm_reset_done_next <= '0'; -- clear SWTM's reset_done
if (Bus2IP_Data(31) = '1') then -- soft_resets(4)
--
-- perform a soft reset on SWTM
--
bus_data_out_next <= (others => '0');
new_ID_next <= (others => '0');
next_ID_next <= (others => '0');
temp_thread_id_next <= (others => '0');
current_cpu_thread_next <= Z32(0 to 7) & '1';
core_stop_next <= '0';
tm2sch_opcode_next <= OPCODE_NOOP;
tm2sch_data_next <= (others => '0');
tm2sch_request_next <= '0';
next_state <= SOFT_RESET_INIT_TABLE;
else
next_state <= SOFT_RESET_WAIT;
end if;
-- initialize the thread ID table to all zeros
-- and the next available stack to 0..255
when SOFT_RESET_INIT_TABLE =>
ADDRA <= reset_ID;
ENA <= '1';
WEA <= '1';
if( reset_ID(0) = '0' ) then
-- init available ID stack & thread ID table
DIA <= reset_ID(1 to 8) & Z32(0 to 23);
else
-- clear 2nd half of table (unused)
DIA <= Z32(0 to 31);
end if;
if( reset_ID = H32(0 to 8) ) then
swtm_reset_done_next<= '1'; -- done
next_state <= soft_reset_wait;
end if;
-- wait for all IPs to finish initialization or
-- the maximum time to be exceeded then
-- ack to finish transaction
when SOFT_RESET_WAIT =>
if (resets_done = soft_resets) then -- done
next_state <= END_TRANSACTION;
elsif (timeout_expired = '1') then
reset_status_next <= (resets_done xor soft_resets);
Exception_Cause_next <= EXCEPTION_TO_SOFT_RESET;
next_state <= RAISE_EXCEPTION; -- timeout
else
next_state <= current_state;
end if;
when READ_THREAD_INIT =>
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
WEA <= '0';
ENA <= '1';
next_state <= READ_THREAD_RD_WAIT;
when READ_THREAD_RD_WAIT =>
next_state <= READ_THREAD_DONE;
when READ_THREAD_DONE =>
bus_data_out_next <= DOA;
next_state <= END_TRANSACTION;
when CREATE_THREAD_INIT =>
if next_ID(0) = '1' then
-- no IDs available, return with error bit set
--
bus_data_out_next <= Z32(0 to 30) & '1';
next_state <= END_TRANSACTION;
else
-- read next ID from stack
--
ADDRA <= next_ID;
ENA <= '1';
next_state <= CT_NEW_ID_RD_WAIT;
end if;
when CT_NEW_ID_RD_WAIT =>
next_state <= CT_NEW_ID_AVAILABLE;
when CT_NEW_ID_AVAILABLE =>
new_ID_next <= DOA(0 to 7); -- save new ID#
ADDRA <= '0' & DOA(0 to 7); -- point to new thread
ENA <= '1';
next_state <= CT_ENTRY_RD_WAIT;
when CT_ENTRY_RD_WAIT =>
next_state <= CT_ENTRY_AVAILABLE;
when CT_ENTRY_AVAILABLE =>
ADDRA <= '0' & new_ID;
ENA <= '1';
WEA <= '1'; -- enable write to bram
-- Determine if the thread to create is DETACHED / JOINABLE
if addr = C_CREATE_THREAD_D then -- set new thread status
-- create detached
DIA <= DOA(0 to 7) & Z32(0 to 7) &
Z32(0 to 7) & "1011" & Z32(0 to 3);
else
-- create joinable
DIA <= DOA(0 to 7) & Z32(0 to 7) &
current_cpu_thread(0 to 7) & "0011" & Z32(0 to 3);
end if;
next_state <= CT_DONE;
when CT_DONE =>
-- return new ID with no error,
bus_data_out_next <= Z32(0 to 22) & new_ID & '0';
-- point to next available ID
next_ID_next <= next_ID + 1;
next_state <= END_TRANSACTION;
when CLEAR_THREAD_INIT =>
-- clear the encoded thread ID if it is used and exited
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= CLEAR_ENTRY_RD_WAIT;
when CLEAR_ENTRY_RD_WAIT =>
next_state <= CLEAR_ENTRY_AVAIABLE ;
when CLEAR_ENTRY_AVAIABLE =>
if (DOA(26 to 27) = "10") then -- used and exited
bus_data_out_next <= Z32; -- success, return zero
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
WEA <= '1'; -- clear old status but
DIA <= DOA(0 to 7) & Z32(0 to 23); -- preserve ID stack
next_state <= DEALLOCATE_ID;
else
-- error occurred, return thread status w/ LSB=1
bus_data_out_next <= DOA(0 to 27) & CLEAR_ERROR_NOT_USED;
next_state <= END_TRANSACTION;
end if;
when DEALLOCATE_ID =>
if (next_ID /= Z32(0 to 8)) then
ADDRA <= next_ID - 1;
ENA <= '1';
next_ID_next <= next_ID - 1;
next_state <= DEALLOCATE_NEXT_ENTRY_RD_WAIT;
else
next_state <= END_TRANSACTION;
end if;
when DEALLOCATE_NEXT_ENTRY_RD_WAIT =>
next_state <= DEALLOCATE_NEXT_ENTRY_AVAIL;
when DEALLOCATE_NEXT_ENTRY_AVAIL =>
-- put ID back on stack, preserve other bits
ADDRA <= next_ID;
ENA <= '1';
WEA <= '1';
DIA <= Bus2IP_Addr(22 to 29) & DOA(8 to 31);
next_state <= END_TRANSACTION;
when JOIN_THREAD_INIT =>
-- join on the encoded thread ID if its PID = current_thread
-- and its status = used,~joined,~detached
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= JOIN_RD_ENTRY_RD_WAIT;
when JOIN_RD_ENTRY_RD_WAIT =>
next_state <= JOIN_RD_ENTRY_AVAILABLE;
when JOIN_RD_ENTRY_AVAILABLE =>
if ((DOA(16 to 23) & '0' = current_cpu_thread) and -- PID = current thread
(DOA(24 to 25) = "00") and -- ~detached,~joined
(DOA(26 to 27) /= "00")) then -- not unused
if DOA(27) = '0' then
-- thread has already exited, return a WARNING code
bus_data_out_next <= Z32(0 to 27) & THREAD_ALREADY_TERMINATED;
next_state <= END_TRANSACTION;
else
-- thread has not exited
bus_data_out_next <= Z32; -- success, return zero
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
WEA <= '1';
-- clear old status but
-- set joined bit; and preserve all other bits
DIA <= DOA(0 to 24) & '1' & DOA(26 to 31);
next_state <= END_TRANSACTION;
end if;
else
-- An error occured. Determine the error and return correct error code.
if( DOA(24) = '1' ) then
-- trying to join on a detached thread
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_DETACHED;
elsif ( DOA(24 to 25) = "01" ) then
-- tyring to join on a thread that is already joined
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_JOINED;
elsif( DOA(26) = '0' ) then
-- trying to join on a thread that is not used
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_NOT_USED;
elsif( DOA(16 to 23) & '0' /= current_cpu_thread ) then
-- trying to join to a thread that is not the current thread's child
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_NOT_CHILD;
else
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_UNKNOWN;
end if;
next_state <= END_TRANSACTION;
end if;
when IS_DETACHED_THREAD_INIT =>
-- Returns a 1 if the encoded thread ID is detached, else returns 0
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= IS_DETACHED_ENTRY_RD_WAIT;
when IS_DETACHED_ENTRY_RD_WAIT =>
next_state <= IS_DETACHED_ENTRY_AVAILABLE;
when IS_DETACHED_ENTRY_AVAILABLE =>
if (DOA(24) = '1' and DOA(26) = '1') then
-- Thread is detached, return 1
bus_data_out_next <= Z32(0 to 29) & "10"; -- The 0 in the last bit indicates no error
else
-- Thread is not detached, or not used, return 0
bus_data_out_next <= Z32;
end if;
next_state <= END_TRANSACTION;
when IS_QUEUED_INIT =>
tm2sch_opcode_next <= OPCODE_IS_QUEUED;
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29); -- thread ID
next_state <= ISQUEUED_WAIT_ACK;
return_state_next <= IS_QUEUED_DONE;
when IS_QUEUED_DONE =>
bus_data_out_next <= Z32(0 to 22) & sch2tm_data & '0';
next_state <= END_TRANSACTION;
when NEXT_THREAD_INIT =>
-- Return to the caller the value of the next thread to run
if sch2tm_next_id_valid = '1' then
-- the next thread has been identified,
-- read from Scheduler and check thread status
-- as stored by SWTM for consistency
ADDRA <= '0' & sch2tm_next_id;
ENA <= '1';
next_state <= NEXT_THREAD_RD_WAIT;
else
next_state <= NEXT_THREAD_WAIT4_SCHEDULER;
end if;
when NEXT_THREAD_WAIT4_SCHEDULER =>
if (sch2tm_next_id_valid = '1') then
-- Scheduler has made a scheduling decision
ADDRA <= '0' & sch2tm_next_id;
ENA <= '1';
next_state <= NEXT_THREAD_RD_WAIT;
elsif (timeout_expired = '1') then
-- Timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_NEXT_THREAD;
next_state <= RAISE_EXCEPTION; -- timeout
else
-- Continue waiting for scheduler
next_state <= current_state;
end if;
when NEXT_THREAD_RD_WAIT =>
next_state <= NEXT_THREAD_AVAILABLE;
when NEXT_THREAD_AVAILABLE =>
if DOA(26 to 27) = "11" then
-- thread status is used and not exited
-- dequeue the next_thread_id from the scheduler's queue
current_cpu_thread_next <= sch2tm_next_id & '0';
-- Send dequeue opperation to scheduler
tm2sch_opcode_next <= OPCODE_DEQUEUE;
tm2sch_request_next <= '1';
tm2sch_data_next <= Z32(0 to 7);
next_state <= DEQUEUE_WAIT_ACK;
return_state_next <= NEXT_THREAD_CHECK_DEQUEUE;
else
-- TM and SCHEDULER disagree if thread was used and not exited
-- return thread ID, set error bit and raise exception
bus_data_out_next <= Z32(0 to 22) & sch2tm_next_id & '1';
Exception_Cause_next <= EXCEPTION_SCHD_INVALID_THREAD;
next_state <= RAISE_EXCEPTION; -- timeout
end if;
when NEXT_THREAD_CHECK_DEQUEUE =>
-- Perform a check to make sure scheduler completed successfully
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
-- return the value of the next thread id (which by now is in the current_cpu_thread register)
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread(0 to 7) & '0';
next_state <= END_TRANSACTION;
end if;
when ADD_THREAD_INIT =>
-- if the thread is !used or exited return error
-- call scheduler to check queued status
-- if queued return error
-- call scheduler to enqueue thread ID
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- encoded thread ID
ENA <= '1';
next_state <= AT_ENTRY_RD_WAIT;
when AT_ENTRY_RD_WAIT =>
next_state <= AT_ENTRY_AVAILABLE;
when AT_ENTRY_AVAILABLE =>
-- check to see if the thread is used and !exited
if (DOA(26 to 27) = "11") then
-- thread is used and not exited
-- call scheduler isQueued
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29);
tm2sch_opcode_next <= OPCODE_IS_QUEUED;
next_state <= ISQUEUED_WAIT_ACK;
return_state_next <= AT_CHECK_ISQUEUE;
else
-- thread is unused or exited (or both)
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when AT_CHECK_ISQUEUE =>
-- Check to see if the thread is queued
if sch2tm_data(7) = '0' then
-- Thread is not queued, call scheduler's enqueue
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29);
tm2sch_opcode_next <= OPCODE_ENQUEUE;
next_state <= ENQUEUE_WAIT_ACK;
return_state_next <= AT_CHECK_ENQUEUE;
else
-- Thread is queued, return error
bus_data_out_next <= DOA(0 to 7) & sch2tm_data & DOA(16 to 27) & THREAD_ALREADY_QUEUED;
next_state <= END_TRANSACTION;
end if;
when AT_CHECK_ENQUEUE =>
-- Check to make sure the scheduler added the thread correctly
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 7) & sch2tm_data & Z32(16 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
bus_data_out_next <= Z32(0 to 7) & sch2tm_data & Z32(16 to 31);
next_state <= END_TRANSACTION;
end if;
when ISQUEUED_WAIT_ACK =>
-- wait for the scheduler to acknowledge the isqueued request
if sch2tm_busy = '0' then
-- scheduler has not yet responded to request
next_state <= current_state;
elsif (timeout_expired = '1') then
-- timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISQUEUED;
next_state <= RAISE_EXCEPTION;
else
-- scheduler acknowledged request, lower request line
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= ISQUEUED_WAIT_COMPLETE;
end if;
when ISQUEUED_WAIT_COMPLETE =>
-- wait for the scheduler to complete the isqueued request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
next_state <= current_state;
elsif (timeout_expired = '1') then
-- timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISQUEUED;
next_state <= RAISE_EXCEPTION;
else
-- scheduler finished request, and (should) have data on data_return line
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when ENQUEUE_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the enqueue request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ENQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= ENQUEUE_WAIT_COMPLETE;
end if;
when ENQUEUE_WAIT_COMPLETE =>
-- wait for the scheduler to complete the enqueue request
if sch2tm_busy = '1' then
-- scheduler has notyet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ENQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when DEQUEUE_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the dequeue request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_DEQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= DEQUEUE_WAIT_COMPLETE;
end if;
when DEQUEUE_WAIT_COMPLETE =>
-- wait for the scheduler to complete the dequeue request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_DEQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when IS_QUEUE_EMPTY_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the is queue empty request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISEMPTY;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= IS_QUEUE_EMPTY_WAIT_COMPLETE;
end if;
when IS_QUEUE_EMPTY_WAIT_COMPLETE =>
-- wait for the scheduler to complete the is queue empty request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISEMPTY;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when YIELD_THREAD_INIT =>
-- Retrieve the status of the current cpu thread
ADDRA <= '0' & current_cpu_thread(0 to 7);
ENA <= '1';
next_state <= YIELD_CURRENT_THREAD_RD_WAIT;
when YIELD_CURRENT_THREAD_RD_WAIT =>
next_state <= YIELD_CURRENT_THREAD_AVAILABLE;
when YIELD_CURRENT_THREAD_AVAILABLE =>
-- check to see if thread's status is used,~exited,~queued
if (DOA(26 to 27) = "11") then
-- check to see if the scheduler's queue is empty
tm2sch_request_next <= '1';
tm2sch_opcode_next <= OPCODE_IS_EMPTY;
tm2sch_data_next <= Z32(0 to 7);
next_state <= IS_QUEUE_EMPTY_WAIT_ACK;
return_state_next <= YIELD_CHECK_QUEUE_EMPTY;
else
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when YIELD_CHECK_QUEUE_EMPTY =>
if (sch2tm_data(7) = '1') then
-- Queue is empty, return the current thread id
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread;
next_state <= END_TRANSACTION;
else
-- Queue is not empty, add currently running thread to Q and then follow with a DEQ
next_state <= YIELD_ENQUEUE;
end if;
when YIELD_ENQUEUE =>
tm2sch_request_next <= '1';
tm2sch_opcode_next <= OPCODE_ENQUEUE;
tm2sch_data_next <= current_cpu_thread(0 to 7);
next_state <= ENQUEUE_WAIT_ACK;
return_state_next <= YIELD_CHECK_ENQUEUE;
when YIELD_CHECK_ENQUEUE =>
if (sch2tm_data(7) = '0') then
-- ENQ was successful, now DEQ to get next scheduling decision
current_cpu_thread_next <= sch2tm_next_id & '0'; -- update the currently running thread to the one that is scheduled to run next (AKA to be DEQ'd)
-- next_state <= YIELD_dummy_is_queued;
next_state <= YIELD_DEQUEUE;
else
-- ENQ failed, return error to caller
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
end if;
-- when YIELD_dummy_is_queued =>
-- tm2sch_request_next <= '1'; -- request the dummy is_queued operation
-- tm2sch_opcode_next <= OPCODE_IS_QUEUED;
-- tm2sch_data_next <= "11111111";
-- next_state <= ISQUEUED_WAIT_ACK;
-- return_state_next <= YIELD_DEQUEUE;
when YIELD_DEQUEUE =>
tm2sch_request_next <= '1'; -- request the DEQ operation to remove the thread to run from Q
tm2sch_opcode_next <= OPCODE_DEQUEUE;
tm2sch_data_next <= Z32(0 to 7);
next_state <= DEQUEUE_WAIT_ACK;
return_state_next <= YIELD_CHECK_DEQUEUE;
when YIELD_CHECK_DEQUEUE =>
if (sch2tm_data(7) = '1') then
-- error during DEQ...
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- DEQ completed successfully, end operation
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread(0 to 7) & '0'; -- setup the return value of the next thread to run (now in the currently running thread)
next_state <= END_TRANSACTION;
end if;
when EXIT_THREAD_INIT =>
bus_data_out_next <= Z32; -- change if failure occurs
ADDRA <= '0' & Bus2IP_Addr(22 to 29);
ENA <= '1';
next_state <= EXIT_THREAD_RD_WAIT;
when EXIT_THREAD_RD_WAIT =>
next_state <= EXIT_THREAD_AVAIABLE;
when EXIT_THREAD_AVAIABLE =>
-- full entry for the current_thread is required in later states
current_status_next <= DOA(0 to 31);
ADDRA <= '0' & Bus2IP_Addr(22 to 29);
ENA <= '1';
WEA <= '1';
if (DOA(24) = '1') then
-- Thread is detached
-- Make the thread status used and exited.
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= END_TRANSACTION;
elsif (DOA(25) = '1') then
-- Thread is joined
-- Make the thread status used and exited, and wake the parent
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= EXIT_READ_PARENT;
else
-- Thread is not detached and still joinable
-- Set the thread status to used and exited
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= END_TRANSACTION;
end if;
when EXIT_READ_PARENT =>
-- The thread that is exiting was joined, wake the parent up
ADDRA <= '0' & current_status(16 to 23);
ENA <= '1';
next_state <= EXIT_READ_PARENT_WAIT;
when EXIT_READ_PARENT_WAIT =>
next_state <= EXIT_READ_PARENT_AVAILABLE;
when EXIT_READ_PARENT_AVAILABLE =>
-- Make sure the parent thread is used and not exited
if (DOA(26 to 27) = "11") then
-- Parent thread is used and not exited.
-- Add the parent thread tothe scheduler's queue
tm2sch_opcode_next <= OPCODE_ENQUEUE;
tm2sch_request_next <= '1';
tm2sch_data_next <= current_status(16 to 23);
return_state_next <= EXIT_CHECK_ENQUEUE;
next_state <= ENQUEUE_WAIT_ACK;
else
-- Parent thread is either unused or exited, neither of which it should be
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when EXIT_CHECK_ENQUEUE =>
-- Check to make sure the scheduler added the thread correctly
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
bus_data_out_next <= Z32(0 to 31);
next_state <= END_TRANSACTION;
end if;
when RAISE_EXCEPTION =>
-- NOTE !!! You must assign Exception_Cause
-- where-ever you assign next_state <= RAISE_EXCEPTION;
Exception_Address_next <= Bus2IP_Addr(0 to 31); -- save address
access_error <= '1'; -- assert interrupt
my_ack <= '1'; -- done, "ack" the bus
next_state <= END_TRANSACTION_WAIT;
when END_TRANSACTION =>
IP2Bus_Data <= bus_data_out;
my_ack <= '1'; -- done, "ack" the bus
next_state <= END_TRANSACTION_WAIT;
when END_TRANSACTION_WAIT =>
if( Bus2IP_RdCE(0)='0' and Bus2IP_WrCE(0)='0' ) then
next_state <= IDLE_STATE;
else
next_state <= current_state;
end if;
when others =>
Exception_Cause_next <= EXCEPTION_ILLEGAL_STATE;
next_state <= RAISE_EXCEPTION;
end case; -- case current_state
end process SWTM_LOGIC_PROC;
-------------------------------------------------------------------
-- ICON core instance
-------------------------------------------------------------------
-- -- simulation translate_off
-- i_icon : chipscope_icon_v1_03_a
-- port map
-- (
-- control0 => control0
-- );
-- -- simulation translate_on
--
-- COUNTER_PROC : process (Bus2IP_Clk) is
-- begin
-- if( Bus2IP_Clk'event and Bus2IP_Clk='1' ) then
-- if (Bus2IP_Reset = '1') then
-- my_counter <= (others => '0');
-- else
-- my_counter <= my_counter + 1;
-- end if;
-- end if;
-- end process COUNTER_PROC;
--
-- --
--
-- -------------------------------------------------------------------
-- -- ILA core instance
-- -------------------------------------------------------------------
--
-- -- simulation translate_off
-- i_ila : chipscope_ila_v1_02_a
-- port map
-- (
-- control => control0,
-- clk => Bus2IP_Clk,
-- trig0(63 downto 32) => Bus2IP_Data,
-- trig0(31 downto 0) => my_counter, -- 64 bits -- Add in chipscope signals and run on board!!!!
-- trig1(63 downto 32) => Bus2IP_Addr,
-- trig1(31 downto 0) => bus_data_out, -- 64 bits
-- trig2 => current_status, -- 32 bits
-- trig3 => Bus2IP_Addr, -- 32 bits
-- trig4(0) => Bus2IP_RdCE, -- 16 bits
-- trig4(1) => Bus2IP_WrCE,
-- trig4(2) => my_ack,
-- trig4(3) => my_tout_sup,
-- trig4(4) => Bus2IP_Reset,
-- trig4(5) => '0',
-- trig4(6) => tm2sch_request_reg,
-- trig4(7) => next_ID(0),
-- trig4(8) => next_ID(1),
-- trig4(9) => next_ID(2),
-- trig4(10) => next_ID(3),
-- trig4(11) => next_ID(4),
-- trig4(12) => next_ID(5),
-- trig4(13) => next_ID(6),
-- trig4(14) => next_ID(7),
-- trig4(15) => next_ID(8)
-- );
-- -- simulation translate_on
--
end IMP;
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
--
-- Title Thread Manager
--
-- 26 Jul 2004: Mike Finley: Original author
-- 08 Jun 2005: Erik Anderson: Changes for new interface between TM and
-- Scheduler. Also adding function isQueue().
-- 15 Apr 2009: Jim Stevens: Ported to PLB version 4.6.
--
---------------------------------------------------------------------------
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_CS -- Bus to IP chip select
-- Bus2IP_RNW -- Bus to IP read/not write
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 1;
-- DO NOT EDIT ABOVE THIS LINE ---------------------
C_RESET_TIMEOUT : natural := 4096
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_CS : in std_logic_vector(0 to 0);
Bus2IP_RNW : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic;
-- DO NOT EDIT ABOVE THIS LINE ---------------------
Access_Intr : out std_logic;
Scheduler_Reset : out std_logic;
Scheduler_Reset_Done : in std_logic;
Semaphore_Reset : out std_logic;
Semaphore_Reset_Done : in std_logic;
SpinLock_Reset : out std_logic;
SpinLock_Reset_Done : in std_logic;
User_IP_Reset : out std_logic;
User_IP_Reset_Done : in std_logic;
Soft_Stop : out std_logic;
tm2sch_cpu_thread_id : out std_logic_vector(0 to 7);
tm2sch_opcode : out std_logic_vector(0 to 5);
tm2sch_data : out std_logic_vector(0 to 7);
tm2sch_request : out std_logic;
tm2sch_DOB : out std_logic_vector(0 to 31);
sch2tm_ADDRB : in std_logic_vector(0 to 8);
sch2tm_DIB : in std_logic_vector(0 to 31);
sch2tm_ENB : in std_logic;
sch2tm_WEB : in std_logic;
sch2tm_busy : in std_logic;
sch2tm_data : in std_logic_vector(0 to 7);
sch2tm_next_id : in std_logic_vector(0 to 7);
sch2tm_next_id_valid : in std_logic
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
-- Define the memory map for each register, Address[16 to 21]
--
constant C_CLEAR_THREAD : std_logic_vector(0 to 5) := "000000";
constant C_JOIN_THREAD : std_logic_vector(0 to 5) := "000001";
constant C_READ_THREAD : std_logic_vector(0 to 5) := "000011";
constant C_ADD_THREAD : std_logic_vector(0 to 5) := "000100";
constant C_CREATE_THREAD_J : std_logic_vector(0 to 5) := "000101";
constant C_CREATE_THREAD_D : std_logic_vector(0 to 5) := "000110";
constant C_EXIT_THREAD : std_logic_vector(0 to 5) := "000111";
constant C_NEXT_THREAD : std_logic_vector(0 to 5) := "001000";
constant C_YIELD_THREAD : std_logic_vector(0 to 5) := "001001";
constant C_CURRENT_THREAD : std_logic_vector(0 to 5) := "010000";
constant C_IS_DETACHED : std_logic_vector(0 to 5) := "011000";
constant C_IS_QUEUED : std_logic_vector(0 to 5) := "011001";
constant C_EXCEPTION_ADDR : std_logic_vector(0 to 5) := "010011";
constant C_EXCEPTION_REG : std_logic_vector(0 to 5) := "010100";
constant C_SOFT_START : std_logic_vector(0 to 5) := "010101";
constant C_SOFT_STOP : std_logic_vector(0 to 5) := "010110";
constant C_SOFT_RESET : std_logic_vector(0 to 5) := "010111";
constant C_SCHED_LINES : std_logic_vector(0 to 5) := "011010";
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
constant OPCODE_IS_QUEUED : std_logic_vector(0 to 5) := "000001";
constant OPCODE_ENQUEUE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DEQUEUE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_IS_EMPTY : std_logic_vector(0 to 5) := "000110";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
constant H32 : std_logic_vector(0 to 31) := (others => '1');
constant MAX_QUEUE_SIZE : std_logic_vector(0 to 7) := (others => '1');
constant TOUT_CYCLES : natural := 3; -- assert timeout suppress
signal cycle_count : std_logic_vector(0 to 15);
signal timeout_expired : std_logic;
-- Extended Thread Error Codes returned in lower 4 bits
constant ERROR_IN_STATUS : std_logic_vector(0 to 3) := "0001";
constant THREAD_ALREADY_TERMINATED : std_logic_vector(0 to 3) := "0011";
constant THREAD_ALREADY_QUEUED : std_logic_vector(0 to 3) := "0101";
constant ERROR_FROM_SCHEDULER : std_logic_vector(0 to 3) := "0111";
constant JOIN_ERROR_CHILD_JOINED : std_logic_vector(0 to 3) := "1001";
constant JOIN_ERROR_NOT_CHILD : std_logic_vector(0 to 3) := "1011";
constant JOIN_ERROR_CHILD_DETACHED : std_logic_vector(0 to 3) := "1101";
constant JOIN_ERROR_CHILD_NOT_USED : std_logic_vector(0 to 3) := "1111";
constant JOIN_ERROR_UNKNOWN : std_logic_vector(0 to 3) := "0001";
constant CLEAR_ERROR_NOT_USED : std_logic_vector(0 to 3) := "1001";
-- Exception "cause" returned in Exception register
constant EXCEPTION_WRITE_TO_READ_ONLY : std_logic_vector(0 to 3) := "0001";
constant EXCEPTION_UNDEFINED_ADDRESS : std_logic_vector(0 to 3) := "0010";
constant EXCEPTION_TO_SOFT_RESET : std_logic_vector(0 to 3) := "0011";
constant EXCEPTION_TO_SCHD_ISQUEUED : std_logic_vector(0 to 3) := "0100";
constant EXCEPTION_TO_SCHD_ENQUEUE : std_logic_vector(0 to 3) := "0101";
constant EXCEPTION_TO_SCHD_DEQUEUE : std_logic_vector(0 to 3) := "0110";
constant EXCEPTION_TO_SCHD_ISEMPTY : std_logic_vector(0 to 3) := "0111";
constant EXCEPTION_TO_SCHD_NEXT_THREAD : std_logic_vector(0 to 3) := "1000";
constant EXCEPTION_SCHD_INVALID_THREAD : std_logic_vector(0 to 3) := "1001";
constant EXCEPTION_ILLEGAL_STATE : std_logic_vector(0 to 3) := "1111";
-- BRAM constants
constant BRAM_ADDRESS_BITS : integer := 9;
constant BRAM_DATA_BITS : integer := 32;
-- Address,Cause for access exceptions
--
signal Exception_Address : std_logic_vector(0 to 31);
signal Exception_Address_next : std_logic_vector(0 to 31);
signal Exception_Cause : std_logic_vector(0 to 3);
signal Exception_Cause_next : std_logic_vector(0 to 3);
signal access_error : std_logic;
-- Debug control signals
--
-- Soft reset signals, LSB = SWTM reset; reset IP(s) if '1'
-- Resets done, handshake from IPs if done resetting(1)
-- core_stop , halt state machines at next appropriate point if '1'
--
signal soft_resets : std_logic_vector(0 to 4);
signal soft_resets_next : std_logic_vector(0 to 4);
signal resets_done : std_logic_vector(0 to 4);
signal reset_status : std_logic_vector(0 to 4);
signal reset_status_next : std_logic_vector(0 to 4);
signal core_stop : std_logic;
signal core_stop_next : std_logic;
-- Declarations for each register
-- Current thread,Idle thread : bits 0..7 = ID, bit 8 = '1' = invalid
signal current_cpu_thread : std_logic_vector(0 to 8);
signal current_cpu_thread_next : std_logic_vector(0 to 8);
-- internal signals
signal next_ID : std_logic_vector(0 to 8);
signal next_ID_next : std_logic_vector(0 to 8);
signal temp_thread_id : std_logic_vector(0 to 7);
signal temp_thread_id_next : std_logic_vector(0 to 7);
signal temp_thread_id2 : std_logic_vector(0 to 7);
signal temp_thread_id2_next : std_logic_vector(0 to 7);
signal reset_ID : std_logic_vector(0 to 8);
type swtm_state_type is
(IDLE_STATE,
SOFT_RESET_WRITE_INIT,
SOFT_RESET_INIT_TABLE,
SOFT_RESET_WAIT,
READ_THREAD_INIT,
READ_THREAD_RD_WAIT,
READ_THREAD_DONE,
CREATE_THREAD_INIT,
CT_NEW_ID_RD_WAIT,
CT_NEW_ID_AVAILABLE,
CT_ENTRY_RD_WAIT,
CT_ENTRY_AVAILABLE,
CT_DONE,
CLEAR_THREAD_INIT,
CLEAR_ENTRY_RD_WAIT,
CLEAR_ENTRY_AVAIABLE,
DEALLOCATE_ID,
DEALLOCATE_NEXT_ENTRY_RD_WAIT,
DEALLOCATE_NEXT_ENTRY_AVAIL,
JOIN_THREAD_INIT,
JOIN_RD_ENTRY_RD_WAIT,
JOIN_RD_ENTRY_AVAILABLE,
IS_QUEUED_INIT,
IS_QUEUED_DONE,
IS_DETACHED_THREAD_INIT,
IS_DETACHED_ENTRY_RD_WAIT,
IS_DETACHED_ENTRY_AVAILABLE,
NEXT_THREAD_INIT,
NEXT_THREAD_WAIT4_SCHEDULER,
NEXT_THREAD_RD_WAIT,
NEXT_THREAD_AVAILABLE,
NEXT_THREAD_CHECK_DEQUEUE,
ADD_THREAD_INIT,
AT_ENTRY_RD_WAIT,
AT_ENTRY_AVAILABLE,
AT_ISQUEUED_WAIT,
AT_CHECK_ISQUEUE,
AT_ENQUEUE_WAIT,
AT_CHECK_ENQUEUE,
ISQUEUED_WAIT_ACK,
ISQUEUED_WAIT_COMPLETE,
ENQUEUE_WAIT_ACK,
ENQUEUE_WAIT_COMPLETE,
DEQUEUE_WAIT_ACK,
DEQUEUE_WAIT_COMPLETE,
IS_QUEUE_EMPTY_WAIT_ACK,
IS_QUEUE_EMPTY_WAIT_COMPLETE,
YIELD_THREAD_INIT,
YIELD_CURRENT_THREAD_RD_WAIT,
YIELD_CURRENT_THREAD_AVAILABLE,
YIELD_CHECK_QUEUE_EMPTY,
YIELD_ENQUEUE,
YIELD_CHECK_ENQUEUE,
-- YIELD_dummy_is_queued,
YIELD_DEQUEUE,
YIELD_CHECK_DEQUEUE,
EXIT_THREAD_INIT,
EXIT_THREAD_RD_WAIT,
EXIT_THREAD_AVAIABLE,
EXIT_DEALLOCATE,
EXIT_NEXT_THREAD_RD_WAIT,
EXIT_NEXT_THREAD_AVAILABLE,
EXIT_READ_PARENT,
EXIT_READ_PARENT_WAIT,
EXIT_READ_PARENT_AVAILABLE,
EXIT_CHECK_ENQUEUE,
RAISE_EXCEPTION,
END_TRANSACTION,
END_TRANSACTION_WAIT);
signal current_state, next_state : swtm_state_type := IDLE_STATE;
signal return_state, return_state_next : swtm_state_type := IDLE_STATE;
signal bus_data_out : std_logic_vector(0 to 31);
signal bus_data_out_next : std_logic_vector(0 to 31);
signal current_status : std_logic_vector(0 to 31);
signal current_status_next : std_logic_vector(0 to 31);
signal Swtm_Reset_Done : std_logic;
signal Swtm_Reset_Done_next : std_logic;
signal new_ID : std_logic_vector(0 to 7);
signal new_ID_next : std_logic_vector(0 to 7);
signal tm2sch_request_next : std_logic;
signal tm2sch_request_reg : std_logic;
signal tm2sch_data_next : std_logic_vector(0 to 7);
signal tm2sch_data_reg : std_logic_vector(0 to 7);
signal tm2sch_opcode_next : std_logic_vector(0 to 5);
signal tm2sch_opcode_reg : std_logic_vector(0 to 5);
-- Signals for thread table BRAM
signal ENA : std_logic;
signal WEA : std_logic;
signal ADDRA : std_logic_vector(0 to BRAM_ADDRESS_BITS - 1);
signal DIA : std_logic_vector(0 to BRAM_DATA_BITS - 1);
signal DOA : std_logic_vector(0 to BRAM_DATA_BITS - 1);
alias addr :std_logic_vector(0 to 5) is Bus2IP_Addr(16 to 21);
---------------------------------------------------------------------------
-- Component Instantiation of inferred dual ported block RAM
---------------------------------------------------------------------------
component infer_bram_dual_port is
generic (
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to ADDRESS_BITS - 1);
DIA : in std_logic_vector(0 to DATA_BITS - 1);
DOA : out std_logic_vector(0 to DATA_BITS - 1);
CLKB : in std_logic;
ENB : in std_logic;
WEB : in std_logic;
ADDRB : in std_logic_vector(0 to ADDRESS_BITS - 1);
DIB : in std_logic_vector(0 to DATA_BITS - 1);
DOB : out std_logic_vector(0 to DATA_BITS - 1)
);
end component infer_bram_dual_port;
-------------------------------------------------------------------
-- ICON core signal declarations
-------------------------------------------------------------------
signal control0 : std_logic_vector(35 downto 0);
signal my_ack, my_tout_sup, my_error, my_sched_req : std_logic; -- TODO: This line might be gone.
signal my_counter : std_logic_vector(0 to 31);
-------------------------------------------------------------------
-- ICON core component declaration
-------------------------------------------------------------------
-- simulation translate_off
--component chipscope_icon_v1_03_a
-- port
-- (
-- control0 : out std_logic_vector(35 downto 0)
-- );
--end component;
-- simulation translate_on
-------------------------------------------------------------------
-- ILA core component declaration
-------------------------------------------------------------------
-- simulation translate_off
--component chipscope_ila_v1_02_a
-- port
-- (
--- control : in std_logic_vector(35 downto 0);
-- clk : in std_logic;
-- trig0 : in std_logic_vector(63 downto 0);
-- trig1 : in std_logic_vector(63 downto 0);
-- trig2 : in std_logic_vector(31 downto 0);
-- trig3 : in std_logic_vector(31 downto 0);
-- trig4 : in std_logic_vector(15 downto 0)
-- );
--end component;
-- simulation translate_on
begin
thread_table_bram : infer_bram_dual_port
generic map (
ADDRESS_BITS => BRAM_ADDRESS_BITS,
DATA_BITS => BRAM_DATA_BITS
)
port map (
CLKA => Bus2IP_Clk,
ENA => ENA,
WEA => WEA,
ADDRA => ADDRA,
DIA => DIA,
DOA => DOA,
CLKB => Bus2IP_Clk,
ENB => sch2tm_ENB,
WEB => sch2tm_WEB,
ADDRB => sch2tm_ADDRB,
DIB => sch2tm_DIB,
DOB => tm2sch_DOB
);
tm2sch_opcode <= tm2sch_opcode_reg;
tm2sch_data <= tm2sch_data_reg;
tm2sch_request <= tm2sch_request_reg;
Soft_Stop <= core_stop;
Scheduler_Reset <= soft_resets(3);
Semaphore_Reset <= soft_resets(2);
SpinLock_Reset <= soft_resets(1);
User_IP_Reset <= soft_resets(0);
Access_Intr <= access_error;
CYCLE_PROC : process (Bus2IP_Clk, Bus2IP_CS) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk='1' ) then
if( Bus2IP_CS(0) = '0' ) then
cycle_count <= (others => '0');
else
cycle_count <= cycle_count + 1;
end if;
end if;
end process CYCLE_PROC;
--
-- create a counter for the number of elapsed cycles
-- in each bus transaction.
-- assert TimeOut suppress when count = TOUT_CYCLES
--
CYCLE_CONTROL : process( cycle_count ) is
begin
IP2Bus_Error <= '0'; -- no error
--
-- count the number of elapsed clock cycles in transaction
--
if cycle_count < C_RESET_TIMEOUT then
timeout_expired <= '0';
else
--timeout_expired <= '1';
timeout_expired <= '0'; -- Disable timeouts.
end if;
--
-- activate time out suppress if count exceeds TOUT_CYCLES
-- edk. Why isn't this done inside the clk_event ???
--
-- if cycle_count > TOUT_CYCLES then
-- --IP2Bus_ToutSup <= '1'; -- halt time out counter
-- my_tout_sup <= '1'; -- halt time out counter
-- else
-- --IP2Bus_ToutSup <= '0'; -- release
-- my_tout_sup <= '0'; -- release
-- end if;
end process CYCLE_CONTROL;
-- IP2Bus_ToutSup <= my_tout_sup;
RESET_PROC : process (Bus2IP_Clk, addr, current_state)
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( addr = C_SOFT_RESET and current_state = SOFT_RESET_WRITE_INIT ) then
reset_ID <= (others => '0');
else
reset_ID <= reset_ID + 1;
end if;
end if;
end process;
ACK_PROC : process(my_ack, Bus2IP_RdCE, Bus2IP_WrCE)
begin
if (Bus2IP_RdCE(0) = '1') then
IP2Bus_RdAck <= my_ack;
else
IP2Bus_RdAck <= '0';
end if;
if (Bus2IP_WrCE(0) = '1') then
IP2Bus_WrAck <= my_ack;
else
IP2Bus_WrAck <= '0';
end if;
end process;
SWTM_STATE_PROC : process (Bus2IP_Clk, core_stop_next, new_ID_next, next_ID_next, temp_thread_id_next, temp_thread_id2_next, current_cpu_thread_next, Current_status_next, soft_resets_next, reset_status_next, Swtm_Reset_Done_next, Scheduler_Reset_Done, Semaphore_Reset_Done, SpinLock_Reset_Done, User_IP_Reset_Done, next_state, return_state_next, Bus2IP_Reset,Exception_Cause_next) is
begin
if (Bus2IP_Clk'event and (Bus2IP_Clk = '1')) then
core_stop <= core_stop_next;
new_ID <= new_ID_next;
next_ID <= next_ID_next;
temp_thread_id <= temp_thread_id_next;
temp_thread_id2 <= temp_thread_id2_next;
current_cpu_thread <= current_cpu_thread_next;
tm2sch_cpu_thread_id <= current_cpu_thread_next(0 to 7);
tm2sch_data_reg <= tm2sch_data_next;
tm2sch_opcode_reg <= tm2sch_opcode_next;
tm2sch_request_reg <= tm2sch_request_next;
current_status <= current_status_next;
Exception_Address <= Exception_Address_next;
Exception_Cause <= Exception_Cause_next;
soft_resets <= soft_resets_next;
reset_status <= reset_status_next;
bus_data_out <= bus_data_out_next;
Swtm_Reset_Done <= Swtm_Reset_Done_next;
resets_done(4) <= Swtm_Reset_Done_next;
resets_done(3) <= Scheduler_Reset_Done;
resets_done(2) <= Semaphore_Reset_Done;
resets_done(1) <= SpinLock_Reset_Done;
resets_done(0) <= User_IP_Reset_Done;
return_state <= return_state_next;
if( Bus2IP_Reset = '1' ) then
current_state <= IDLE_STATE;
else
current_state <= next_state;
end if;
end if;
end process SWTM_STATE_PROC;
-- IP2Bus_Ack <= my_ack; -- pulse(010) to end bus transaction
SWTM_LOGIC_PROC : process (current_state, core_stop, new_ID, next_ID, current_cpu_thread, current_status, reset_status, Swtm_Reset_Done, soft_resets, Bus2IP_Addr, Bus2IP_Data, Exception_Address, Bus2IP_WrCE, addr, Bus2IP_RdCE, reset_ID, resets_done, timeout_expired, DOA, sch2tm_next_id_valid, sch2tm_next_id, sch2tm_busy, bus_data_out, Exception_Cause, tm2sch_request_reg, tm2sch_data_reg, tm2sch_opcode_reg, temp_thread_id, temp_thread_id2) is
begin
-- -------------------------------------------------
-- default output signal assignments
-- -------------------------------------------------
my_ack <= '0'; -- pulse(010) to end bus transaction
access_error <= '0'; -- pulse(010) for access error interrupt
IP2Bus_Data <= (others => '0');
ADDRA <= (others => '0');
ENA <= '0';
WEA <= '0';
DIA <= (others => '0');
-- -------------------------------------------------
-- default register assignments
-- -------------------------------------------------
next_state <= current_state;
return_state_next <= return_state;
core_stop_next <= core_stop;
new_ID_next <= new_ID;
next_ID_next <= next_ID;
temp_thread_id_next <= temp_thread_id;
temp_thread_id2_next <= temp_thread_id2;
current_cpu_thread_next <= current_cpu_thread;
current_status_next <= current_status;
Exception_Address_next <= Exception_Address;
reset_status_next <= reset_status;
Swtm_Reset_Done_next <= Swtm_Reset_Done;
Exception_Cause_next <= Exception_Cause;
tm2sch_request_next <= tm2sch_request_reg;
tm2sch_data_next <= tm2sch_data_reg;
tm2sch_opcode_next <= tm2sch_opcode_reg;
bus_data_out_next <= bus_data_out;
soft_resets_next <= soft_resets;
case current_state is
-- Command (addr) decode whenever we are waiting for something new to do.
when IDLE_STATE =>
bus_data_out_next <= (others => '0');
if (Bus2IP_WrCE(0) = '1') then
case addr is
when C_SOFT_START =>
-- Any write to soft_start address clears
-- all soft reset signals and the Soft_Stop signal
soft_resets_next <= (others => '0');
swtm_reset_done_next <= '0'; -- clear SWTM's reset done
core_stop_next <= '0'; -- clear core_stop
next_state <= END_TRANSACTION;
when C_SOFT_STOP =>
-- write any data to Soft_Stop to assert the Soft_Stop signal
core_stop_next <= '1';
next_state <= END_TRANSACTION;
when C_SOFT_RESET =>
next_state <= SOFT_RESET_WRITE_INIT;
when C_READ_THREAD =>
if (core_stop = '1') then
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
WEA <= '1';
ENA <= '1';
DIA <= Bus2IP_Data(0 to 31);
next_state <= END_TRANSACTION;
else
Exception_Cause_next <= EXCEPTION_WRITE_TO_READ_ONLY;
next_state <= RAISE_EXCEPTION;
end if;
when others =>
Exception_Cause_next <= EXCEPTION_UNDEFINED_ADDRESS;
next_state <= RAISE_EXCEPTION;
end case;
elsif (Bus2IP_RdCE(0) = '1') then
case addr is
when C_SOFT_START =>
bus_data_out_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_SOFT_STOP =>
-- returns signal level in LSB on read
bus_data_out_next <= Z32(0 to 30) & core_stop;
next_state <= END_TRANSACTION;
when C_SOFT_RESET =>
-- returns 1's in bit positions that failed
bus_data_out_next <= Z32(0 to 26) & reset_status;
next_state <= END_TRANSACTION;
when C_CURRENT_THREAD =>
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread;
next_state <= END_TRANSACTION;
when C_EXCEPTION_ADDR =>
bus_data_out_next <= Exception_Address;
Exception_Address_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_EXCEPTION_REG =>
bus_data_out_next <= Z32(0 to 27) & Exception_Cause;
Exception_Cause_next <= (others => '0');
next_state <= END_TRANSACTION;
when C_SCHED_LINES =>
bus_data_out_next <= Z32(0 to 6) & sch2tm_busy & sch2tm_data &
Z32(16 to 22) & sch2tm_next_id_valid &
sch2tm_next_id;
next_state <= END_TRANSACTION;
when C_READ_THREAD => next_state <= READ_THREAD_INIT;
when C_CREATE_THREAD_D => next_state <= CREATE_THREAD_INIT;
when C_CREATE_THREAD_J => next_state <= CREATE_THREAD_INIT;
when C_CLEAR_THREAD => next_state <= CLEAR_THREAD_INIT;
when C_JOIN_THREAD => next_state <= JOIN_THREAD_INIT;
when C_IS_DETACHED => next_state <= IS_DETACHED_THREAD_INIT;
when C_IS_QUEUED => next_state <= IS_QUEUED_INIT;
when C_NEXT_THREAD => next_state <= NEXT_THREAD_INIT;
when C_ADD_THREAD => next_state <= ADD_THREAD_INIT;
when C_YIELD_THREAD => next_state <= YIELD_THREAD_INIT;
when C_EXIT_THREAD => next_state <= EXIT_THREAD_INIT;
when others =>
Exception_Cause_next <= EXCEPTION_UNDEFINED_ADDRESS;
next_state <= RAISE_EXCEPTION;
end case;
end if;
--
-- read/write to the soft resets register (1 bit per IP)
-- write '1' to reset, reads '1' if timeout error occured
-- before IP reports finished
--
-- SW Thread Manager = bit#4 (LSB)
-- Scheduler = bit#3
-- Semaphore = bit#2
-- SpinLock = bit#1
-- User_IP = bit#0
--
when SOFT_RESET_WRITE_INIT =>
soft_resets_next <= Bus2IP_Data(27 to 31);
reset_status_next <= (others => '0');
swtm_reset_done_next <= '0'; -- clear SWTM's reset_done
if (Bus2IP_Data(31) = '1') then -- soft_resets(4)
--
-- perform a soft reset on SWTM
--
bus_data_out_next <= (others => '0');
new_ID_next <= (others => '0');
next_ID_next <= (others => '0');
temp_thread_id_next <= (others => '0');
current_cpu_thread_next <= Z32(0 to 7) & '1';
core_stop_next <= '0';
tm2sch_opcode_next <= OPCODE_NOOP;
tm2sch_data_next <= (others => '0');
tm2sch_request_next <= '0';
next_state <= SOFT_RESET_INIT_TABLE;
else
next_state <= SOFT_RESET_WAIT;
end if;
-- initialize the thread ID table to all zeros
-- and the next available stack to 0..255
when SOFT_RESET_INIT_TABLE =>
ADDRA <= reset_ID;
ENA <= '1';
WEA <= '1';
if( reset_ID(0) = '0' ) then
-- init available ID stack & thread ID table
DIA <= reset_ID(1 to 8) & Z32(0 to 23);
else
-- clear 2nd half of table (unused)
DIA <= Z32(0 to 31);
end if;
if( reset_ID = H32(0 to 8) ) then
swtm_reset_done_next<= '1'; -- done
next_state <= soft_reset_wait;
end if;
-- wait for all IPs to finish initialization or
-- the maximum time to be exceeded then
-- ack to finish transaction
when SOFT_RESET_WAIT =>
if (resets_done = soft_resets) then -- done
next_state <= END_TRANSACTION;
elsif (timeout_expired = '1') then
reset_status_next <= (resets_done xor soft_resets);
Exception_Cause_next <= EXCEPTION_TO_SOFT_RESET;
next_state <= RAISE_EXCEPTION; -- timeout
else
next_state <= current_state;
end if;
when READ_THREAD_INIT =>
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
WEA <= '0';
ENA <= '1';
next_state <= READ_THREAD_RD_WAIT;
when READ_THREAD_RD_WAIT =>
next_state <= READ_THREAD_DONE;
when READ_THREAD_DONE =>
bus_data_out_next <= DOA;
next_state <= END_TRANSACTION;
when CREATE_THREAD_INIT =>
if next_ID(0) = '1' then
-- no IDs available, return with error bit set
--
bus_data_out_next <= Z32(0 to 30) & '1';
next_state <= END_TRANSACTION;
else
-- read next ID from stack
--
ADDRA <= next_ID;
ENA <= '1';
next_state <= CT_NEW_ID_RD_WAIT;
end if;
when CT_NEW_ID_RD_WAIT =>
next_state <= CT_NEW_ID_AVAILABLE;
when CT_NEW_ID_AVAILABLE =>
new_ID_next <= DOA(0 to 7); -- save new ID#
ADDRA <= '0' & DOA(0 to 7); -- point to new thread
ENA <= '1';
next_state <= CT_ENTRY_RD_WAIT;
when CT_ENTRY_RD_WAIT =>
next_state <= CT_ENTRY_AVAILABLE;
when CT_ENTRY_AVAILABLE =>
ADDRA <= '0' & new_ID;
ENA <= '1';
WEA <= '1'; -- enable write to bram
-- Determine if the thread to create is DETACHED / JOINABLE
if addr = C_CREATE_THREAD_D then -- set new thread status
-- create detached
DIA <= DOA(0 to 7) & Z32(0 to 7) &
Z32(0 to 7) & "1011" & Z32(0 to 3);
else
-- create joinable
DIA <= DOA(0 to 7) & Z32(0 to 7) &
current_cpu_thread(0 to 7) & "0011" & Z32(0 to 3);
end if;
next_state <= CT_DONE;
when CT_DONE =>
-- return new ID with no error,
bus_data_out_next <= Z32(0 to 22) & new_ID & '0';
-- point to next available ID
next_ID_next <= next_ID + 1;
next_state <= END_TRANSACTION;
when CLEAR_THREAD_INIT =>
-- clear the encoded thread ID if it is used and exited
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= CLEAR_ENTRY_RD_WAIT;
when CLEAR_ENTRY_RD_WAIT =>
next_state <= CLEAR_ENTRY_AVAIABLE ;
when CLEAR_ENTRY_AVAIABLE =>
if (DOA(26 to 27) = "10") then -- used and exited
bus_data_out_next <= Z32; -- success, return zero
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
WEA <= '1'; -- clear old status but
DIA <= DOA(0 to 7) & Z32(0 to 23); -- preserve ID stack
next_state <= DEALLOCATE_ID;
else
-- error occurred, return thread status w/ LSB=1
bus_data_out_next <= DOA(0 to 27) & CLEAR_ERROR_NOT_USED;
next_state <= END_TRANSACTION;
end if;
when DEALLOCATE_ID =>
if (next_ID /= Z32(0 to 8)) then
ADDRA <= next_ID - 1;
ENA <= '1';
next_ID_next <= next_ID - 1;
next_state <= DEALLOCATE_NEXT_ENTRY_RD_WAIT;
else
next_state <= END_TRANSACTION;
end if;
when DEALLOCATE_NEXT_ENTRY_RD_WAIT =>
next_state <= DEALLOCATE_NEXT_ENTRY_AVAIL;
when DEALLOCATE_NEXT_ENTRY_AVAIL =>
-- put ID back on stack, preserve other bits
ADDRA <= next_ID;
ENA <= '1';
WEA <= '1';
DIA <= Bus2IP_Addr(22 to 29) & DOA(8 to 31);
next_state <= END_TRANSACTION;
when JOIN_THREAD_INIT =>
-- join on the encoded thread ID if its PID = current_thread
-- and its status = used,~joined,~detached
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= JOIN_RD_ENTRY_RD_WAIT;
when JOIN_RD_ENTRY_RD_WAIT =>
next_state <= JOIN_RD_ENTRY_AVAILABLE;
when JOIN_RD_ENTRY_AVAILABLE =>
if ((DOA(16 to 23) & '0' = current_cpu_thread) and -- PID = current thread
(DOA(24 to 25) = "00") and -- ~detached,~joined
(DOA(26 to 27) /= "00")) then -- not unused
if DOA(27) = '0' then
-- thread has already exited, return a WARNING code
bus_data_out_next <= Z32(0 to 27) & THREAD_ALREADY_TERMINATED;
next_state <= END_TRANSACTION;
else
-- thread has not exited
bus_data_out_next <= Z32; -- success, return zero
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
WEA <= '1';
-- clear old status but
-- set joined bit; and preserve all other bits
DIA <= DOA(0 to 24) & '1' & DOA(26 to 31);
next_state <= END_TRANSACTION;
end if;
else
-- An error occured. Determine the error and return correct error code.
if( DOA(24) = '1' ) then
-- trying to join on a detached thread
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_DETACHED;
elsif ( DOA(24 to 25) = "01" ) then
-- tyring to join on a thread that is already joined
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_JOINED;
elsif( DOA(26) = '0' ) then
-- trying to join on a thread that is not used
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_CHILD_NOT_USED;
elsif( DOA(16 to 23) & '0' /= current_cpu_thread ) then
-- trying to join to a thread that is not the current thread's child
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_NOT_CHILD;
else
bus_data_out_next <= DOA(0 to 27) & JOIN_ERROR_UNKNOWN;
end if;
next_state <= END_TRANSACTION;
end if;
when IS_DETACHED_THREAD_INIT =>
-- Returns a 1 if the encoded thread ID is detached, else returns 0
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- thread ID
ENA <= '1';
next_state <= IS_DETACHED_ENTRY_RD_WAIT;
when IS_DETACHED_ENTRY_RD_WAIT =>
next_state <= IS_DETACHED_ENTRY_AVAILABLE;
when IS_DETACHED_ENTRY_AVAILABLE =>
if (DOA(24) = '1' and DOA(26) = '1') then
-- Thread is detached, return 1
bus_data_out_next <= Z32(0 to 29) & "10"; -- The 0 in the last bit indicates no error
else
-- Thread is not detached, or not used, return 0
bus_data_out_next <= Z32;
end if;
next_state <= END_TRANSACTION;
when IS_QUEUED_INIT =>
tm2sch_opcode_next <= OPCODE_IS_QUEUED;
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29); -- thread ID
next_state <= ISQUEUED_WAIT_ACK;
return_state_next <= IS_QUEUED_DONE;
when IS_QUEUED_DONE =>
bus_data_out_next <= Z32(0 to 22) & sch2tm_data & '0';
next_state <= END_TRANSACTION;
when NEXT_THREAD_INIT =>
-- Return to the caller the value of the next thread to run
if sch2tm_next_id_valid = '1' then
-- the next thread has been identified,
-- read from Scheduler and check thread status
-- as stored by SWTM for consistency
ADDRA <= '0' & sch2tm_next_id;
ENA <= '1';
next_state <= NEXT_THREAD_RD_WAIT;
else
next_state <= NEXT_THREAD_WAIT4_SCHEDULER;
end if;
when NEXT_THREAD_WAIT4_SCHEDULER =>
if (sch2tm_next_id_valid = '1') then
-- Scheduler has made a scheduling decision
ADDRA <= '0' & sch2tm_next_id;
ENA <= '1';
next_state <= NEXT_THREAD_RD_WAIT;
elsif (timeout_expired = '1') then
-- Timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_NEXT_THREAD;
next_state <= RAISE_EXCEPTION; -- timeout
else
-- Continue waiting for scheduler
next_state <= current_state;
end if;
when NEXT_THREAD_RD_WAIT =>
next_state <= NEXT_THREAD_AVAILABLE;
when NEXT_THREAD_AVAILABLE =>
if DOA(26 to 27) = "11" then
-- thread status is used and not exited
-- dequeue the next_thread_id from the scheduler's queue
current_cpu_thread_next <= sch2tm_next_id & '0';
-- Send dequeue opperation to scheduler
tm2sch_opcode_next <= OPCODE_DEQUEUE;
tm2sch_request_next <= '1';
tm2sch_data_next <= Z32(0 to 7);
next_state <= DEQUEUE_WAIT_ACK;
return_state_next <= NEXT_THREAD_CHECK_DEQUEUE;
else
-- TM and SCHEDULER disagree if thread was used and not exited
-- return thread ID, set error bit and raise exception
bus_data_out_next <= Z32(0 to 22) & sch2tm_next_id & '1';
Exception_Cause_next <= EXCEPTION_SCHD_INVALID_THREAD;
next_state <= RAISE_EXCEPTION; -- timeout
end if;
when NEXT_THREAD_CHECK_DEQUEUE =>
-- Perform a check to make sure scheduler completed successfully
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
-- return the value of the next thread id (which by now is in the current_cpu_thread register)
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread(0 to 7) & '0';
next_state <= END_TRANSACTION;
end if;
when ADD_THREAD_INIT =>
-- if the thread is !used or exited return error
-- call scheduler to check queued status
-- if queued return error
-- call scheduler to enqueue thread ID
ADDRA <= '0' & Bus2IP_Addr(22 to 29); -- encoded thread ID
ENA <= '1';
next_state <= AT_ENTRY_RD_WAIT;
when AT_ENTRY_RD_WAIT =>
next_state <= AT_ENTRY_AVAILABLE;
when AT_ENTRY_AVAILABLE =>
-- check to see if the thread is used and !exited
if (DOA(26 to 27) = "11") then
-- thread is used and not exited
-- call scheduler isQueued
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29);
tm2sch_opcode_next <= OPCODE_IS_QUEUED;
next_state <= ISQUEUED_WAIT_ACK;
return_state_next <= AT_CHECK_ISQUEUE;
else
-- thread is unused or exited (or both)
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when AT_CHECK_ISQUEUE =>
-- Check to see if the thread is queued
if sch2tm_data(7) = '0' then
-- Thread is not queued, call scheduler's enqueue
tm2sch_request_next <= '1';
tm2sch_data_next <= Bus2IP_Addr(22 to 29);
tm2sch_opcode_next <= OPCODE_ENQUEUE;
next_state <= ENQUEUE_WAIT_ACK;
return_state_next <= AT_CHECK_ENQUEUE;
else
-- Thread is queued, return error
bus_data_out_next <= DOA(0 to 7) & sch2tm_data & DOA(16 to 27) & THREAD_ALREADY_QUEUED;
next_state <= END_TRANSACTION;
end if;
when AT_CHECK_ENQUEUE =>
-- Check to make sure the scheduler added the thread correctly
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 7) & sch2tm_data & Z32(16 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
bus_data_out_next <= Z32(0 to 7) & sch2tm_data & Z32(16 to 31);
next_state <= END_TRANSACTION;
end if;
when ISQUEUED_WAIT_ACK =>
-- wait for the scheduler to acknowledge the isqueued request
if sch2tm_busy = '0' then
-- scheduler has not yet responded to request
next_state <= current_state;
elsif (timeout_expired = '1') then
-- timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISQUEUED;
next_state <= RAISE_EXCEPTION;
else
-- scheduler acknowledged request, lower request line
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= ISQUEUED_WAIT_COMPLETE;
end if;
when ISQUEUED_WAIT_COMPLETE =>
-- wait for the scheduler to complete the isqueued request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
next_state <= current_state;
elsif (timeout_expired = '1') then
-- timed out waiting for scheduler
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISQUEUED;
next_state <= RAISE_EXCEPTION;
else
-- scheduler finished request, and (should) have data on data_return line
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when ENQUEUE_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the enqueue request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ENQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= ENQUEUE_WAIT_COMPLETE;
end if;
when ENQUEUE_WAIT_COMPLETE =>
-- wait for the scheduler to complete the enqueue request
if sch2tm_busy = '1' then
-- scheduler has notyet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ENQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when DEQUEUE_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the dequeue request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_DEQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= DEQUEUE_WAIT_COMPLETE;
end if;
when DEQUEUE_WAIT_COMPLETE =>
-- wait for the scheduler to complete the dequeue request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_DEQUEUE;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when IS_QUEUE_EMPTY_WAIT_ACK =>
-- Wait for the scheduler to acknowledge the is queue empty request
if sch2tm_busy = '0' then
-- Scheduler has not yet responded
next_state <= current_state;
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISEMPTY;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has acknowledged the request
tm2sch_request_next <= '0';
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= IS_QUEUE_EMPTY_WAIT_COMPLETE;
end if;
when IS_QUEUE_EMPTY_WAIT_COMPLETE =>
-- wait for the scheduler to complete the is queue empty request
if sch2tm_busy = '1' then
-- scheduler has not yet completed request
elsif (timeout_expired = '1') then
-- Timed out waiting for queue
Exception_Cause_next <= EXCEPTION_TO_SCHD_ISEMPTY;
next_state <= RAISE_EXCEPTION;
else
-- Scheduler has completed the request
tm2sch_data_next <= Z32(0 to 7);
tm2sch_opcode_next <= OPCODE_NOOP;
next_state <= return_state;
end if;
when YIELD_THREAD_INIT =>
-- Retrieve the status of the current cpu thread
ADDRA <= '0' & current_cpu_thread(0 to 7);
ENA <= '1';
next_state <= YIELD_CURRENT_THREAD_RD_WAIT;
when YIELD_CURRENT_THREAD_RD_WAIT =>
next_state <= YIELD_CURRENT_THREAD_AVAILABLE;
when YIELD_CURRENT_THREAD_AVAILABLE =>
-- check to see if thread's status is used,~exited,~queued
if (DOA(26 to 27) = "11") then
-- check to see if the scheduler's queue is empty
tm2sch_request_next <= '1';
tm2sch_opcode_next <= OPCODE_IS_EMPTY;
tm2sch_data_next <= Z32(0 to 7);
next_state <= IS_QUEUE_EMPTY_WAIT_ACK;
return_state_next <= YIELD_CHECK_QUEUE_EMPTY;
else
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when YIELD_CHECK_QUEUE_EMPTY =>
if (sch2tm_data(7) = '1') then
-- Queue is empty, return the current thread id
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread;
next_state <= END_TRANSACTION;
else
-- Queue is not empty, add currently running thread to Q and then follow with a DEQ
next_state <= YIELD_ENQUEUE;
end if;
when YIELD_ENQUEUE =>
tm2sch_request_next <= '1';
tm2sch_opcode_next <= OPCODE_ENQUEUE;
tm2sch_data_next <= current_cpu_thread(0 to 7);
next_state <= ENQUEUE_WAIT_ACK;
return_state_next <= YIELD_CHECK_ENQUEUE;
when YIELD_CHECK_ENQUEUE =>
if (sch2tm_data(7) = '0') then
-- ENQ was successful, now DEQ to get next scheduling decision
current_cpu_thread_next <= sch2tm_next_id & '0'; -- update the currently running thread to the one that is scheduled to run next (AKA to be DEQ'd)
-- next_state <= YIELD_dummy_is_queued;
next_state <= YIELD_DEQUEUE;
else
-- ENQ failed, return error to caller
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
end if;
-- when YIELD_dummy_is_queued =>
-- tm2sch_request_next <= '1'; -- request the dummy is_queued operation
-- tm2sch_opcode_next <= OPCODE_IS_QUEUED;
-- tm2sch_data_next <= "11111111";
-- next_state <= ISQUEUED_WAIT_ACK;
-- return_state_next <= YIELD_DEQUEUE;
when YIELD_DEQUEUE =>
tm2sch_request_next <= '1'; -- request the DEQ operation to remove the thread to run from Q
tm2sch_opcode_next <= OPCODE_DEQUEUE;
tm2sch_data_next <= Z32(0 to 7);
next_state <= DEQUEUE_WAIT_ACK;
return_state_next <= YIELD_CHECK_DEQUEUE;
when YIELD_CHECK_DEQUEUE =>
if (sch2tm_data(7) = '1') then
-- error during DEQ...
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- DEQ completed successfully, end operation
bus_data_out_next <= Z32(0 to 22) & current_cpu_thread(0 to 7) & '0'; -- setup the return value of the next thread to run (now in the currently running thread)
next_state <= END_TRANSACTION;
end if;
when EXIT_THREAD_INIT =>
bus_data_out_next <= Z32; -- change if failure occurs
ADDRA <= '0' & Bus2IP_Addr(22 to 29);
ENA <= '1';
next_state <= EXIT_THREAD_RD_WAIT;
when EXIT_THREAD_RD_WAIT =>
next_state <= EXIT_THREAD_AVAIABLE;
when EXIT_THREAD_AVAIABLE =>
-- full entry for the current_thread is required in later states
current_status_next <= DOA(0 to 31);
ADDRA <= '0' & Bus2IP_Addr(22 to 29);
ENA <= '1';
WEA <= '1';
if (DOA(24) = '1') then
-- Thread is detached
-- Make the thread status used and exited.
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= END_TRANSACTION;
elsif (DOA(25) = '1') then
-- Thread is joined
-- Make the thread status used and exited, and wake the parent
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= EXIT_READ_PARENT;
else
-- Thread is not detached and still joinable
-- Set the thread status to used and exited
DIA <= DOA(0 to 25) & "10" & DOA(28 to 31);
next_state <= END_TRANSACTION;
end if;
when EXIT_READ_PARENT =>
-- The thread that is exiting was joined, wake the parent up
ADDRA <= '0' & current_status(16 to 23);
ENA <= '1';
next_state <= EXIT_READ_PARENT_WAIT;
when EXIT_READ_PARENT_WAIT =>
next_state <= EXIT_READ_PARENT_AVAILABLE;
when EXIT_READ_PARENT_AVAILABLE =>
-- Make sure the parent thread is used and not exited
if (DOA(26 to 27) = "11") then
-- Parent thread is used and not exited.
-- Add the parent thread tothe scheduler's queue
tm2sch_opcode_next <= OPCODE_ENQUEUE;
tm2sch_request_next <= '1';
tm2sch_data_next <= current_status(16 to 23);
return_state_next <= EXIT_CHECK_ENQUEUE;
next_state <= ENQUEUE_WAIT_ACK;
else
-- Parent thread is either unused or exited, neither of which it should be
-- operation failed, return error code
bus_data_out_next <= DOA(0 to 27) & ERROR_IN_STATUS;
next_state <= END_TRANSACTION;
end if;
when EXIT_CHECK_ENQUEUE =>
-- Check to make sure the scheduler added the thread correctly
if sch2tm_data(7) = '1' then
-- error during enqueue
bus_data_out_next <= Z32(0 to 27) & ERROR_FROM_SCHEDULER;
next_state <= END_TRANSACTION;
else
-- enqueue completed correctly
bus_data_out_next <= Z32(0 to 31);
next_state <= END_TRANSACTION;
end if;
when RAISE_EXCEPTION =>
-- NOTE !!! You must assign Exception_Cause
-- where-ever you assign next_state <= RAISE_EXCEPTION;
Exception_Address_next <= Bus2IP_Addr(0 to 31); -- save address
access_error <= '1'; -- assert interrupt
my_ack <= '1'; -- done, "ack" the bus
next_state <= END_TRANSACTION_WAIT;
when END_TRANSACTION =>
IP2Bus_Data <= bus_data_out;
my_ack <= '1'; -- done, "ack" the bus
next_state <= END_TRANSACTION_WAIT;
when END_TRANSACTION_WAIT =>
if( Bus2IP_RdCE(0)='0' and Bus2IP_WrCE(0)='0' ) then
next_state <= IDLE_STATE;
else
next_state <= current_state;
end if;
when others =>
Exception_Cause_next <= EXCEPTION_ILLEGAL_STATE;
next_state <= RAISE_EXCEPTION;
end case; -- case current_state
end process SWTM_LOGIC_PROC;
-------------------------------------------------------------------
-- ICON core instance
-------------------------------------------------------------------
-- -- simulation translate_off
-- i_icon : chipscope_icon_v1_03_a
-- port map
-- (
-- control0 => control0
-- );
-- -- simulation translate_on
--
-- COUNTER_PROC : process (Bus2IP_Clk) is
-- begin
-- if( Bus2IP_Clk'event and Bus2IP_Clk='1' ) then
-- if (Bus2IP_Reset = '1') then
-- my_counter <= (others => '0');
-- else
-- my_counter <= my_counter + 1;
-- end if;
-- end if;
-- end process COUNTER_PROC;
--
-- --
--
-- -------------------------------------------------------------------
-- -- ILA core instance
-- -------------------------------------------------------------------
--
-- -- simulation translate_off
-- i_ila : chipscope_ila_v1_02_a
-- port map
-- (
-- control => control0,
-- clk => Bus2IP_Clk,
-- trig0(63 downto 32) => Bus2IP_Data,
-- trig0(31 downto 0) => my_counter, -- 64 bits -- Add in chipscope signals and run on board!!!!
-- trig1(63 downto 32) => Bus2IP_Addr,
-- trig1(31 downto 0) => bus_data_out, -- 64 bits
-- trig2 => current_status, -- 32 bits
-- trig3 => Bus2IP_Addr, -- 32 bits
-- trig4(0) => Bus2IP_RdCE, -- 16 bits
-- trig4(1) => Bus2IP_WrCE,
-- trig4(2) => my_ack,
-- trig4(3) => my_tout_sup,
-- trig4(4) => Bus2IP_Reset,
-- trig4(5) => '0',
-- trig4(6) => tm2sch_request_reg,
-- trig4(7) => next_ID(0),
-- trig4(8) => next_ID(1),
-- trig4(9) => next_ID(2),
-- trig4(10) => next_ID(3),
-- trig4(11) => next_ID(4),
-- trig4(12) => next_ID(5),
-- trig4(13) => next_ID(6),
-- trig4(14) => next_ID(7),
-- trig4(15) => next_ID(8)
-- );
-- -- simulation translate_on
--
end IMP;
|
-- File: dyplo_user_logic_stub.vhd
--
-- � COPYRIGHT 2014 TOPIC EMBEDDED PRODUCTS B.V. ALL RIGHTS RESERVED.
--
-- This file contains confidential and proprietary information of
-- Topic Embedded Products B.V. and is protected under Dutch and
-- International copyright and other international intellectual property laws.
--
-- Disclaimer
--
-- This disclaimer is not a license and does not grant any rights to the
-- materials distributed herewith. Except as otherwise provided in a valid
-- license issued to you by Topic Embedded Products B.V., and to the maximum
-- extend permitted by applicable law:
--
-- 1. Dyplo is furnished on an �as is�, as available basis. Topic makes no
-- warranty, express or implied, with respect to the capability of Dyplo. All
-- warranties of any type, express or implied, including the warranties of
-- merchantability, fitness for a particular purpose and non-infringement of
-- third party rights are expressly disclaimed.
--
-- 2. Topic�s maximum total liability shall be limited to general money
-- damages in an amount not to exceed the total amount paid for in the year
-- in which the damages have occurred. Under no circumstances including
-- negligence shall Topic be liable for direct, indirect, incidental, special,
-- consequential or punitive damages, or for loss of profits, revenue, or data,
-- that are directly or indirectly related to the use of, or the inability to
-- access and use Dyplo and related services, whether in an action in contract,
-- tort, product liability, strict liability, statute or otherwise even if
-- Topic has been advised of the possibility of those damages.
--
-- This copyright notice and disclaimer must be retained as part of this file at all times.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library dyplo_hdl_node_lib;
use dyplo_hdl_node_lib.hdl_node_package.all;
use dyplo_hdl_node_lib.hdl_node_user_params.all;
entity dyplo_user_logic_adder is
generic(
INPUT_STREAMS : integer := 4;
OUTPUT_STREAMS : integer := 4
);
port(
-- Processor bus interface
dab_clk : in std_logic;
dab_rst : in std_logic;
dab_addr : in std_logic_vector(15 DOWNTO 0);
dab_sel : in std_logic;
dab_wvalid : in std_logic;
dab_rvalid : in std_logic;
dab_wdata : in std_logic_vector(c_hdl_dab_dwidth - 1 downto 0);
dab_rdata : out std_logic_vector(c_hdl_dab_dwidth - 1 downto 0);
-- Streaming input interfaces
cin_tdata : in cin_tdata_ul_type;
cin_tvalid : in std_logic_vector(INPUT_STREAMS - 1 downto 0);
cin_tready : out std_logic_vector(INPUT_STREAMS - 1 downto 0);
cin_tlevel : in cin_tlevel_ul_type;
-- Streaming output interfaces
cout_tdata : out cout_tdata_ul_type;
cout_tvalid : out std_logic_vector(OUTPUT_STREAMS - 1 downto 0);
cout_tready : in std_logic_vector(OUTPUT_STREAMS - 1 downto 0);
-- Clock signals
user_clocks : in std_logic_vector(3 downto 0)
);
end dyplo_user_logic_adder;
architecture rtl of dyplo_user_logic_adder is
type signed_matrix_4x32 is array (0 to INPUT_STREAMS - 1) of signed(31 downto 0);
signal value_to_add : signed_matrix_4x32;
signal cout_tdata_i : signed_matrix_4x32 := (others => (others => '0'));
signal cout_tvalid_i : std_logic_vector(OUTPUT_STREAMS - 1 downto 0) := (others => '0');
signal cin_tready_i : std_logic_vector(INPUT_STREAMS - 1 downto 0) := (others => '0');
begin
config_reg : process (dab_clk)
variable index : integer;
begin
if rising_edge(dab_clk) then
if (dab_rst = '1') then
value_to_add <= (others => (others => '0'));
else
index := to_integer(unsigned(dab_addr(3 downto 2)));
if (dab_sel = '1') and (dab_wvalid = '1') then
value_to_add(index) <= signed(dab_wdata);
end if;
dab_rdata <= std_logic_vector(value_to_add(index));
end if;
end if;
end process config_reg;
adders : for i in 0 to 3 generate
type sm_calc_states is (S_FETCH, S_CALC, S_SEND, S_FINISH);
signal sm_calc : sm_calc_states := S_FETCH;
signal tdata : signed(31 downto 0) := (others => '0');
begin
calc_data : process (dab_clk)
begin
if rising_edge(dab_clk) then
if (dab_rst = '1') then
cin_tready_i(i) <= '0';
tdata <= (others => '0');
sm_calc <= S_FETCH;
cout_tvalid_i(i) <= '0';
else
case sm_calc is
when S_FETCH =>
if (cin_tvalid(i) = '1') then
cin_tready_i(i) <= '1';
tdata <= signed(cin_tdata(i));
sm_calc <= S_CALC;
end if;
when S_CALC =>
cin_tready_i(i) <= '0';
cout_tdata_i(i) <= tdata + value_to_add(i);
cout_tvalid_i(i) <= '1';
sm_calc <= S_SEND;
when S_SEND =>
if (cout_tready(i) = '1') then
cout_tvalid_i(i) <= '0';
sm_calc <= S_FINISH;
end if;
when S_FINISH =>
sm_calc <= S_FETCH;
end case;
end if;
end if;
end process calc_data;
end generate adders;
cout_tvalid <= cout_tvalid_i;
cin_tready <= cin_tready_i;
cout_tdata(0) <= std_logic_vector(cout_tdata_i(0));
cout_tdata(1) <= std_logic_vector(cout_tdata_i(1));
cout_tdata(2) <= std_logic_vector(cout_tdata_i(2));
cout_tdata(3) <= std_logic_vector(cout_tdata_i(3));
end rtl;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: rom_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : spartan6
-- C_XDEVICEFAMILY : spartan6
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 3
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 1
-- C_INIT_FILE_NAME : rom.mif
-- C_USE_DEFAULT_DATA : 0
-- C_DEFAULT_DATA : 0
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 8
-- C_READ_WIDTH_A : 8
-- C_WRITE_DEPTH_A : 8192
-- C_READ_DEPTH_A : 8192
-- C_ADDRA_WIDTH : 13
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 8
-- C_READ_WIDTH_B : 8
-- C_WRITE_DEPTH_B : 8192
-- C_READ_DEPTH_B : 8192
-- C_ADDRB_WIDTH : 13
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY rom_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(12 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END rom_prod;
ARCHITECTURE xilinx OF rom_prod IS
COMPONENT rom_exdes IS
PORT (
--Port A
ADDRA : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : rom_exdes
PORT MAP (
--Port A
ADDRA => ADDRA,
DOUTA => DOUTA,
CLKA => CLKA
);
END xilinx;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
k6+1ZDBPxx3J0vctj2PgcZsLNz2XGdfowg51JgXnb+MH9GZFXI/D3a9c7Va0vHzfzeKU3mVpW7Ut
jLCf2XzWFQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iTC31J0RUr0ytsxM/NPrBRviRpQ0je4h2YYt0WzW//Aweb3fhHpw2qrXAdWfnHVBLaN0Kdw3mt0W
laVQdwix8hhzQlXo26Je0dfpRBp6a8EZhV2PXP1iDolToUm4iQbR5uY0kSC7whx+P50KI6Td83CH
7Vm5ud0+tetNdkpN5zU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Hzes6xNE8OrubKHKiSOWScp7dTf+uzKhG77ZzR6qXayz6dAQhL6qq64ApCe51BQ3wHw6P50phOpy
txXEtfaHzP61dqUDTaFq5DKzzhxCR29TZnmY4WKwNJKjkD8euJqLsZIwpI7Lv3Rv7t7NoiIZt6Jt
fH6x4QvsWuPRpU22uTBRGQ2sg1n+f2daFEke8peWqLObxA+T61TtetEfL2vngD4SQDnYPtU4nQez
DkjiZy3WIrYvnyR9k6mfc9tN+VvUDS10qfowunULj+rNrVVaXfpKI1gwWb2utC7QuKyW6DnsZYRG
pUDi+tikyxHiA4Og6S4Mas18D+uehSrdnDuBrw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
lEPEJnUEGMC2GI1W1vvA+8HeNvxR7MXLtI40VeJCgd/xNM+dcUWBaKmXp4xyhXWiroCwi9Wjzp+R
OsRx0xQOXPmHT3PZoMFv7E9I3ZSxEAQXDoBaeKcb6MJIhfS7FAYpygA2q/Gq6jmg5f06mdLCctk6
eWzxA9x3LhNKzRtBRXU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
d+sEItWcrF4VPLHbPtJPEY2OlKwxOBFICjFeJl4YgUviWPz6BCXTdaQy2MlbpjdRjJ9bL7ccDkt9
V8GwZCMpp+hy9pd4VKY1X0PniV36OvPeNqwiT8/t2U48LY8uw1N9vwVroszkivfRYocmm7rtC+ip
e5ywsW7vinUmF5SckPm0jnqa4x0vJB71Jc2Tweiet2WDY0eNRRywwQqfVb9LG7lbWf1AtZKsIJIm
xOI2Ic7ZzFKmaPtwECVxNCtN2rHUZwdtuSRA+3SaLcQn41ntd+20oxvn6Ns1e+N7l+tKQMbEzSyK
dY4+kxhG9XI+ddUyg/6KDmLu60sDHWRqzI8J/g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 478432)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
k6+1ZDBPxx3J0vctj2PgcZsLNz2XGdfowg51JgXnb+MH9GZFXI/D3a9c7Va0vHzfzeKU3mVpW7Ut
jLCf2XzWFQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iTC31J0RUr0ytsxM/NPrBRviRpQ0je4h2YYt0WzW//Aweb3fhHpw2qrXAdWfnHVBLaN0Kdw3mt0W
laVQdwix8hhzQlXo26Je0dfpRBp6a8EZhV2PXP1iDolToUm4iQbR5uY0kSC7whx+P50KI6Td83CH
7Vm5ud0+tetNdkpN5zU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Hzes6xNE8OrubKHKiSOWScp7dTf+uzKhG77ZzR6qXayz6dAQhL6qq64ApCe51BQ3wHw6P50phOpy
txXEtfaHzP61dqUDTaFq5DKzzhxCR29TZnmY4WKwNJKjkD8euJqLsZIwpI7Lv3Rv7t7NoiIZt6Jt
fH6x4QvsWuPRpU22uTBRGQ2sg1n+f2daFEke8peWqLObxA+T61TtetEfL2vngD4SQDnYPtU4nQez
DkjiZy3WIrYvnyR9k6mfc9tN+VvUDS10qfowunULj+rNrVVaXfpKI1gwWb2utC7QuKyW6DnsZYRG
pUDi+tikyxHiA4Og6S4Mas18D+uehSrdnDuBrw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
lEPEJnUEGMC2GI1W1vvA+8HeNvxR7MXLtI40VeJCgd/xNM+dcUWBaKmXp4xyhXWiroCwi9Wjzp+R
OsRx0xQOXPmHT3PZoMFv7E9I3ZSxEAQXDoBaeKcb6MJIhfS7FAYpygA2q/Gq6jmg5f06mdLCctk6
eWzxA9x3LhNKzRtBRXU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
d+sEItWcrF4VPLHbPtJPEY2OlKwxOBFICjFeJl4YgUviWPz6BCXTdaQy2MlbpjdRjJ9bL7ccDkt9
V8GwZCMpp+hy9pd4VKY1X0PniV36OvPeNqwiT8/t2U48LY8uw1N9vwVroszkivfRYocmm7rtC+ip
e5ywsW7vinUmF5SckPm0jnqa4x0vJB71Jc2Tweiet2WDY0eNRRywwQqfVb9LG7lbWf1AtZKsIJIm
xOI2Ic7ZzFKmaPtwECVxNCtN2rHUZwdtuSRA+3SaLcQn41ntd+20oxvn6Ns1e+N7l+tKQMbEzSyK
dY4+kxhG9XI+ddUyg/6KDmLu60sDHWRqzI8J/g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 478432)
`protect data_block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`protect end_protected
|
component scaled is
port (
Clock : in std_ulogic;
Reset : in std_ulogic;
Din : in unsigned;
Dout : out unsigned
);
end component;
|
component scaled is
port (
Clock : in std_ulogic;
Reset : in std_ulogic;
Din : in unsigned;
Dout : out unsigned
);
end component;
|
library verilog;
use verilog.vl_types.all;
entity subtract is
port(
busSUB : out vl_logic_vector(31 downto 0);
busA : in vl_logic_vector(31 downto 0);
busB : in vl_logic_vector(31 downto 0);
zSUB : out vl_logic;
oSUB : out vl_logic;
cSUB : out vl_logic;
nSUB : out vl_logic
);
end subtract;
|
--------------------------------------------------------------------------------
-- Author: Parham Alvani ([email protected])
--
-- Create Date: 30-05-2016
-- Module Name: counter.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity counter is
generic (N : integer := 4);
port (inc, dec : in std_logic;
output : out std_logic_vector (N - 1 downto 0);
clk : in std_logic);
end entity;
architecture rtl of counter is
signal count : std_logic_vector (N - 1 downto 0) := (others => '0');
begin
output <= count;
process (clk)
begin
if clk'event and clk = '1' then
if inc = '1' then
count <= count + (0 => '1');
elsif dec = '1' then
count <= count - (0 => '1');
end if;
end if;
end process;
end architecture;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3121.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c05s02b01x01p05n01i03121ent_aa IS
END c05s02b01x01p05n01i03121ent_aa;
ARCHITECTURE c05s02b01x01p05n01i03121arch_aa OF c05s02b01x01p05n01i03121ent_aa IS
BEGIN
END c05s02b01x01p05n01i03121arch_aa;
ARCHITECTURE c05s02b01x01p05n01i03121arch_bb OF c05s02b01x01p05n01i03121ent_aa IS
BEGIN
END c05s02b01x01p05n01i03121arch_bb;
--
ENTITY c05s02b01x01p05n01i03121ent_a IS
generic ( g1 : boolean );
port ( p1 : in Bit;
p2 : out Bit );
END c05s02b01x01p05n01i03121ent_a;
ARCHITECTURE c05s02b01x01p05n01i03121arch_a OF c05s02b01x01p05n01i03121ent_a IS
component zippy
end component;
BEGIN
u1 : zippy;
p2 <= p1 after 10 ns;
END c05s02b01x01p05n01i03121arch_a;
configuration c05s02b01x01p05n01i03121cfg_a of c05s02b01x01p05n01i03121ent_a is
for c05s02b01x01p05n01i03121arch_a
for u1 : zippy use entity work.c05s02b01x01p05n01i03121ent_aa;
end for;
end for;
end c05s02b01x01p05n01i03121cfg_a;
--
ENTITY c05s02b01x01p05n01i03121ent IS
END c05s02b01x01p05n01i03121ent;
ARCHITECTURE c05s02b01x01p05n01i03121arch OF c05s02b01x01p05n01i03121ent IS
component virtual
generic ( g1 : boolean );
port ( p1 : in Bit;
p2 : out Bit );
end component;
signal s1,s2,s3,s4 : Bit;
BEGIN
u1 : virtual
generic map ( true )
port map (s1, s2);
u2 : virtual
generic map ( true )
port map (s2, s3);
u3 : virtual
generic map ( true )
port map (s3, s4);
TESTING: PROCESS
BEGIN
wait for 30 ns;
assert NOT( s2 = s1 and
s3 = s2 and
s4 = s3 )
report "***PASSED TEST: c05s02b01x01p05n01i03121"
severity NOTE;
assert ( s2 = s1 and
s3 = s2 and
s4 = s3 )
report "***FAILED TEST: c05s02b01x01p05n01i03121 - Use a configuration that is not fully bound test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c05s02b01x01p05n01i03121arch;
configuration vests30 of c05s02b01x01p05n01i03121ent is
for c05s02b01x01p05n01i03121arch
for all : virtual use configuration work.c05s02b01x01p05n01i03121cfg_a;
end for;
end for;
end vests30;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
hX+r2FgqyRPswm7uz2R8SBNUHgwe2umr9N5JAfnbN+s9LFH0JVj3Dv6MwCHMYaWSB84mUA9irvr7
lA+w8nJRVg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ChzTwiXmJqq31eUPVwVhrMq22U93zB4DJA8+Z1BZou8aKfOxhqKu9hE6/Gja6nQ/s8Y5yadl16Rz
01NUC7MNxnjQxXVYIze+8F/HGNDUTNxFuvMDi+TRbAT18NjFLGbUlisL4lYdWDfzhavGeU2cjKgr
wqofew3yD5nCJ22mN+A=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UNxKjTG8mck5lCg+Qn4kv3/YRIZX3swysl/tFFT1QVEst0FAY+nlCLQ2MbX4r1ec5lIYABKmnotj
2VygnF5Q690GjHdhXtecZW2Vg1LG5MwI3sixPG/KQtedkLBmkS8Xb5yfVhwlop084why5e42mffp
lB17dgi9kY9ud55OBCkjXgoNXxZGjEb86/7I7plkpYqjm+sznrG2eTX/fRqoejlx6OCXehrR3B4n
AX5x/uipg8INC9bPCzdsDzC2TmMmESIqMJ1t0n9DXNs721NvsdB9v+Ul0FjFMnkkPFA5t/CIX1MT
hdboR4Zx5gHzOD/dtTL20MUXAhCU2VFqst/8gQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
duwlRcXA4/m7tPNPXZ8rAVYkhDnejoEfyaq6N7duucw+e+nP0vc/NkF721OFWMNOdqDhzMso1d7M
/X+wq8ICHLJur5xlxxI57AXH+ctyU4sZlUR9Dxnz74wNijvTDepH9xmHt7+K5dKZc96MSfSFN5FM
gxNt18/3YVxicOHCw9w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pq5Rd+LbdI3FzHvBIsuMdOTt+zMRuYhLYbpOikk/WeQVUZHWcRzTE4h47p55NUwyZIH6wPirIs+O
0pvP4SzZOtUXhAezsMtH0jO6MkvWnURz9ce1Y3FQK+NsfB87iRU9vZupsGlhyxCYJUSe+P/LYboN
L8txFCqSzQ0GrWiDBCYJL3y4xNaKNEnT5NDb6HlqB3TnBC2jZYkBGDyxGVtFGgHWmST9dd8dsIot
U7aeTRWt+er7yuu5P1cTiYMeynlbcvcSFIYPvpsl44nCeWtB7XD3I3+vMKBpzHJOCwQXoq2E7IFE
rYhPN+d+t5IrDnNMKQPpB5Vz1EoUWZi6X5MtJA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11184)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
hX+r2FgqyRPswm7uz2R8SBNUHgwe2umr9N5JAfnbN+s9LFH0JVj3Dv6MwCHMYaWSB84mUA9irvr7
lA+w8nJRVg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ChzTwiXmJqq31eUPVwVhrMq22U93zB4DJA8+Z1BZou8aKfOxhqKu9hE6/Gja6nQ/s8Y5yadl16Rz
01NUC7MNxnjQxXVYIze+8F/HGNDUTNxFuvMDi+TRbAT18NjFLGbUlisL4lYdWDfzhavGeU2cjKgr
wqofew3yD5nCJ22mN+A=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UNxKjTG8mck5lCg+Qn4kv3/YRIZX3swysl/tFFT1QVEst0FAY+nlCLQ2MbX4r1ec5lIYABKmnotj
2VygnF5Q690GjHdhXtecZW2Vg1LG5MwI3sixPG/KQtedkLBmkS8Xb5yfVhwlop084why5e42mffp
lB17dgi9kY9ud55OBCkjXgoNXxZGjEb86/7I7plkpYqjm+sznrG2eTX/fRqoejlx6OCXehrR3B4n
AX5x/uipg8INC9bPCzdsDzC2TmMmESIqMJ1t0n9DXNs721NvsdB9v+Ul0FjFMnkkPFA5t/CIX1MT
hdboR4Zx5gHzOD/dtTL20MUXAhCU2VFqst/8gQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
duwlRcXA4/m7tPNPXZ8rAVYkhDnejoEfyaq6N7duucw+e+nP0vc/NkF721OFWMNOdqDhzMso1d7M
/X+wq8ICHLJur5xlxxI57AXH+ctyU4sZlUR9Dxnz74wNijvTDepH9xmHt7+K5dKZc96MSfSFN5FM
gxNt18/3YVxicOHCw9w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pq5Rd+LbdI3FzHvBIsuMdOTt+zMRuYhLYbpOikk/WeQVUZHWcRzTE4h47p55NUwyZIH6wPirIs+O
0pvP4SzZOtUXhAezsMtH0jO6MkvWnURz9ce1Y3FQK+NsfB87iRU9vZupsGlhyxCYJUSe+P/LYboN
L8txFCqSzQ0GrWiDBCYJL3y4xNaKNEnT5NDb6HlqB3TnBC2jZYkBGDyxGVtFGgHWmST9dd8dsIot
U7aeTRWt+er7yuu5P1cTiYMeynlbcvcSFIYPvpsl44nCeWtB7XD3I3+vMKBpzHJOCwQXoq2E7IFE
rYhPN+d+t5IrDnNMKQPpB5Vz1EoUWZi6X5MtJA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11184)
`protect data_block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`protect end_protected
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_cast_GNQAP6WVUD is
generic ( round : natural := 0;
saturate : natural := 0);
port(
input : in std_logic_vector(15 downto 0);
output : out std_logic_vector(7 downto 0));
end entity;
architecture rtl of alt_dspbuilder_cast_GNQAP6WVUD is
Begin
-- Output - I/O assignment from Simulink Block "Output"
Outputi : alt_dspbuilder_SBF generic map(
width_inl=> 16 + 1 ,
width_inr=> 0,
width_outl=> 8,
width_outr=> 0,
lpm_signed=> BusIsUnsigned ,
round=> round,
satur=> saturate)
port map (
xin(15 downto 0) => input,
xin(16) => '0', yout => output
);
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_cast_GNQAP6WVUD is
generic ( round : natural := 0;
saturate : natural := 0);
port(
input : in std_logic_vector(15 downto 0);
output : out std_logic_vector(7 downto 0));
end entity;
architecture rtl of alt_dspbuilder_cast_GNQAP6WVUD is
Begin
-- Output - I/O assignment from Simulink Block "Output"
Outputi : alt_dspbuilder_SBF generic map(
width_inl=> 16 + 1 ,
width_inr=> 0,
width_outl=> 8,
width_outr=> 0,
lpm_signed=> BusIsUnsigned ,
round=> round,
satur=> saturate)
port map (
xin(15 downto 0) => input,
xin(16) => '0', yout => output
);
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_cast_GNQAP6WVUD is
generic ( round : natural := 0;
saturate : natural := 0);
port(
input : in std_logic_vector(15 downto 0);
output : out std_logic_vector(7 downto 0));
end entity;
architecture rtl of alt_dspbuilder_cast_GNQAP6WVUD is
Begin
-- Output - I/O assignment from Simulink Block "Output"
Outputi : alt_dspbuilder_SBF generic map(
width_inl=> 16 + 1 ,
width_inr=> 0,
width_outl=> 8,
width_outr=> 0,
lpm_signed=> BusIsUnsigned ,
round=> round,
satur=> saturate)
port map (
xin(15 downto 0) => input,
xin(16) => '0', yout => output
);
end architecture; |
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:module_ref:alu:1.0
-- IP Revision: 1
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY RAT_alu_0_0 IS
PORT (
A : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
C_IN : IN STD_LOGIC;
Sel : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
SUM : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
C_FLAG : OUT STD_LOGIC;
Z_FLAG : OUT STD_LOGIC
);
END RAT_alu_0_0;
ARCHITECTURE RAT_alu_0_0_arch OF RAT_alu_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_alu_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT alu IS
GENERIC (
data_width : INTEGER;
sel_width : INTEGER
);
PORT (
A : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
C_IN : IN STD_LOGIC;
Sel : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
SUM : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
C_FLAG : OUT STD_LOGIC;
Z_FLAG : OUT STD_LOGIC
);
END COMPONENT alu;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF RAT_alu_0_0_arch: ARCHITECTURE IS "alu,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_alu_0_0_arch : ARCHITECTURE IS "RAT_alu_0_0,alu,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF RAT_alu_0_0_arch: ARCHITECTURE IS "RAT_alu_0_0,alu,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=alu,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,data_width=8,sel_width=4}";
BEGIN
U0 : alu
GENERIC MAP (
data_width => 8,
sel_width => 4
)
PORT MAP (
A => A,
B => B,
C_IN => C_IN,
Sel => Sel,
SUM => SUM,
C_FLAG => C_FLAG,
Z_FLAG => Z_FLAG
);
END RAT_alu_0_0_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:module_ref:alu:1.0
-- IP Revision: 1
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY RAT_alu_0_0 IS
PORT (
A : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
C_IN : IN STD_LOGIC;
Sel : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
SUM : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
C_FLAG : OUT STD_LOGIC;
Z_FLAG : OUT STD_LOGIC
);
END RAT_alu_0_0;
ARCHITECTURE RAT_alu_0_0_arch OF RAT_alu_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_alu_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT alu IS
GENERIC (
data_width : INTEGER;
sel_width : INTEGER
);
PORT (
A : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
C_IN : IN STD_LOGIC;
Sel : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
SUM : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
C_FLAG : OUT STD_LOGIC;
Z_FLAG : OUT STD_LOGIC
);
END COMPONENT alu;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF RAT_alu_0_0_arch: ARCHITECTURE IS "alu,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_alu_0_0_arch : ARCHITECTURE IS "RAT_alu_0_0,alu,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF RAT_alu_0_0_arch: ARCHITECTURE IS "RAT_alu_0_0,alu,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=alu,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,data_width=8,sel_width=4}";
BEGIN
U0 : alu
GENERIC MAP (
data_width => 8,
sel_width => 4
)
PORT MAP (
A => A,
B => B,
C_IN => C_IN,
Sel => Sel,
SUM => SUM,
C_FLAG => C_FLAG,
Z_FLAG => Z_FLAG
);
END RAT_alu_0_0_arch;
|
-------------------------------------------------------------------------------
--
-- (C) COPYRIGHT 2004, Gideon's Logic Architectures
--
-------------------------------------------------------------------------------
-- Title : Serial Transmitter: 115200/8N1
-------------------------------------------------------------------------------
-- Author : Gideon Zweijtzer <[email protected]>
-- Created : Wed Apr 28, 2004
-------------------------------------------------------------------------------
-- Description: This module sends a character over a serial line
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity tx is
generic (clks_per_bit : integer := 434); -- 115k2 @ 50 MHz
port (
clk : in std_logic;
reset : in std_logic;
dotx : in std_logic;
txchar : in std_logic_vector(7 downto 0);
cts : in std_logic := '1';
txd : out std_logic;
done : out std_logic );
end tx;
architecture gideon of tx is
signal bitcnt : integer range 0 to 9;
signal bitvec : std_logic_vector(8 downto 0);
signal timer : integer range 0 to clks_per_bit;
type state_t is (Idle, Waiting, Transmitting);
signal state : state_t;
signal cts_c : std_logic := '1';
begin
process(clk, reset)
begin
if rising_edge(clk) then
cts_c <= cts;
case state is
when Idle =>
if DoTx='1' then
if cts_c='1' then
state <= Transmitting;
else
state <= Waiting;
end if;
bitcnt <= 9;
bitvec <= not(txchar) & '1';
timer <= clks_per_bit - 1;
end if;
when Waiting =>
if cts_c='1' then
state <= Transmitting;
end if;
when Transmitting =>
if timer=0 then
timer <= clks_per_bit - 1;
if bitcnt = 0 then
state <= Idle;
else
bitcnt <= bitcnt - 1;
bitvec <= '0' & bitvec(8 downto 1);
end if;
else
timer <= timer - 1;
end if;
end case;
end if;
if reset='1' then
state <= Idle;
bitcnt <= 0;
timer <= 0;
bitvec <= (others => '0');
end if;
end process;
done <= '1' when state=Idle else '0';
txd <= not(bitvec(0));
end gideon;
|
-------------------------------------------------------------------------------
--
-- (C) COPYRIGHT 2004, Gideon's Logic Architectures
--
-------------------------------------------------------------------------------
-- Title : Serial Transmitter: 115200/8N1
-------------------------------------------------------------------------------
-- Author : Gideon Zweijtzer <[email protected]>
-- Created : Wed Apr 28, 2004
-------------------------------------------------------------------------------
-- Description: This module sends a character over a serial line
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity tx is
generic (clks_per_bit : integer := 434); -- 115k2 @ 50 MHz
port (
clk : in std_logic;
reset : in std_logic;
dotx : in std_logic;
txchar : in std_logic_vector(7 downto 0);
cts : in std_logic := '1';
txd : out std_logic;
done : out std_logic );
end tx;
architecture gideon of tx is
signal bitcnt : integer range 0 to 9;
signal bitvec : std_logic_vector(8 downto 0);
signal timer : integer range 0 to clks_per_bit;
type state_t is (Idle, Waiting, Transmitting);
signal state : state_t;
signal cts_c : std_logic := '1';
begin
process(clk, reset)
begin
if rising_edge(clk) then
cts_c <= cts;
case state is
when Idle =>
if DoTx='1' then
if cts_c='1' then
state <= Transmitting;
else
state <= Waiting;
end if;
bitcnt <= 9;
bitvec <= not(txchar) & '1';
timer <= clks_per_bit - 1;
end if;
when Waiting =>
if cts_c='1' then
state <= Transmitting;
end if;
when Transmitting =>
if timer=0 then
timer <= clks_per_bit - 1;
if bitcnt = 0 then
state <= Idle;
else
bitcnt <= bitcnt - 1;
bitvec <= '0' & bitvec(8 downto 1);
end if;
else
timer <= timer - 1;
end if;
end case;
end if;
if reset='1' then
state <= Idle;
bitcnt <= 0;
timer <= 0;
bitvec <= (others => '0');
end if;
end process;
done <= '1' when state=Idle else '0';
txd <= not(bitvec(0));
end gideon;
|
-------------------------------------------------------------------------------
--
-- (C) COPYRIGHT 2004, Gideon's Logic Architectures
--
-------------------------------------------------------------------------------
-- Title : Serial Transmitter: 115200/8N1
-------------------------------------------------------------------------------
-- Author : Gideon Zweijtzer <[email protected]>
-- Created : Wed Apr 28, 2004
-------------------------------------------------------------------------------
-- Description: This module sends a character over a serial line
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity tx is
generic (clks_per_bit : integer := 434); -- 115k2 @ 50 MHz
port (
clk : in std_logic;
reset : in std_logic;
dotx : in std_logic;
txchar : in std_logic_vector(7 downto 0);
cts : in std_logic := '1';
txd : out std_logic;
done : out std_logic );
end tx;
architecture gideon of tx is
signal bitcnt : integer range 0 to 9;
signal bitvec : std_logic_vector(8 downto 0);
signal timer : integer range 0 to clks_per_bit;
type state_t is (Idle, Waiting, Transmitting);
signal state : state_t;
signal cts_c : std_logic := '1';
begin
process(clk, reset)
begin
if rising_edge(clk) then
cts_c <= cts;
case state is
when Idle =>
if DoTx='1' then
if cts_c='1' then
state <= Transmitting;
else
state <= Waiting;
end if;
bitcnt <= 9;
bitvec <= not(txchar) & '1';
timer <= clks_per_bit - 1;
end if;
when Waiting =>
if cts_c='1' then
state <= Transmitting;
end if;
when Transmitting =>
if timer=0 then
timer <= clks_per_bit - 1;
if bitcnt = 0 then
state <= Idle;
else
bitcnt <= bitcnt - 1;
bitvec <= '0' & bitvec(8 downto 1);
end if;
else
timer <= timer - 1;
end if;
end case;
end if;
if reset='1' then
state <= Idle;
bitcnt <= 0;
timer <= 0;
bitvec <= (others => '0');
end if;
end process;
done <= '1' when state=Idle else '0';
txd <= not(bitvec(0));
end gideon;
|
-------------------------------------------------------------------------------
--
-- (C) COPYRIGHT 2004, Gideon's Logic Architectures
--
-------------------------------------------------------------------------------
-- Title : Serial Transmitter: 115200/8N1
-------------------------------------------------------------------------------
-- Author : Gideon Zweijtzer <[email protected]>
-- Created : Wed Apr 28, 2004
-------------------------------------------------------------------------------
-- Description: This module sends a character over a serial line
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity tx is
generic (clks_per_bit : integer := 434); -- 115k2 @ 50 MHz
port (
clk : in std_logic;
reset : in std_logic;
dotx : in std_logic;
txchar : in std_logic_vector(7 downto 0);
cts : in std_logic := '1';
txd : out std_logic;
done : out std_logic );
end tx;
architecture gideon of tx is
signal bitcnt : integer range 0 to 9;
signal bitvec : std_logic_vector(8 downto 0);
signal timer : integer range 0 to clks_per_bit;
type state_t is (Idle, Waiting, Transmitting);
signal state : state_t;
signal cts_c : std_logic := '1';
begin
process(clk, reset)
begin
if rising_edge(clk) then
cts_c <= cts;
case state is
when Idle =>
if DoTx='1' then
if cts_c='1' then
state <= Transmitting;
else
state <= Waiting;
end if;
bitcnt <= 9;
bitvec <= not(txchar) & '1';
timer <= clks_per_bit - 1;
end if;
when Waiting =>
if cts_c='1' then
state <= Transmitting;
end if;
when Transmitting =>
if timer=0 then
timer <= clks_per_bit - 1;
if bitcnt = 0 then
state <= Idle;
else
bitcnt <= bitcnt - 1;
bitvec <= '0' & bitvec(8 downto 1);
end if;
else
timer <= timer - 1;
end if;
end case;
end if;
if reset='1' then
state <= Idle;
bitcnt <= 0;
timer <= 0;
bitvec <= (others => '0');
end if;
end process;
done <= '1' when state=Idle else '0';
txd <= not(bitvec(0));
end gideon;
|
--------------------------------------------------------------------------------
-- Company: UMASS DARTMOUTH
-- Engineer: Christopher Parks ([email protected])
--
-- Create Date: 14:11:08 04/25/2016
-- Module Name: Z:/Xilinx/StallModuleControl/StallModuleControl_tb.vhd
-- Project Name: StallModuleControl
-- Target Device: SPARTAN 3E XC3S500E-4FG320
-- Description:
--
-- VHDL Test Bench Created by ISE for module: StallModuleControl
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY StallModuleControl_tb IS
END StallModuleControl_tb;
ARCHITECTURE behavior OF StallModuleControl_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT StallModuleControl
PORT(
CLK : IN std_logic;
GUESS : IN std_logic;
ACTUAL : IN std_logic;
STALL : OUT std_logic
);
END COMPONENT;
--Inputs
signal CLK : std_logic := '0';
signal GUESS : std_logic := '0';
signal ACTUAL : std_logic := '0';
--Outputs
signal STALL : std_logic;
-- Clock period definitions
constant CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: StallModuleControl PORT MAP (
CLK => CLK,
GUESS => GUESS,
ACTUAL => ACTUAL,
STALL => STALL
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
GUESS <= '0'; -- (0,0)
ACTUAL <= '0';
wait for CLK_period;
GUESS <= '1'; -- (1,1)
ACTUAL <= '1';
wait for CLK_period;
GUESS <= '0';
ACTUAL <= '0';
wait for CLK_period;
assert(STALL = '1') report "Module failed to output stall signal for 1 cycle." severity ERROR;
GUESS <= '1'; -- (1,0)
ACTUAL <= '0';
wait for CLK_period;
GUESS <= '0';
ACTUAL <= '0'; -- Redundancy for easier understanding of code
wait for CLK_period;
for i in 0 to 3 loop -- Wait for 4 cycles to ensure a stall control signal for 4 cycles
assert(STALL = '1') report "Module failed to output stall signal for 4 cycles." severity ERROR;
wait for CLK_period;
end loop;
GUESS <= '0';
ACTUAL <= '1';
wait for CLK_period;
GUESS <= '0'; -- Redundancy for easier understanding of code
ACTUAL <= '0';
wait for CLK_period;
for i in 0 to 3 loop -- Check for 4 cycles that a stall control signal is being put out
assert(STALL = '1') report "Module failed to output stall signal for 4 cycles." severity ERROR;
wait for CLK_period;
end loop;
wait;
end process;
END;
|
--------------------------------------------------------------------------------
-- Company: UMASS DARTMOUTH
-- Engineer: Christopher Parks ([email protected])
--
-- Create Date: 14:11:08 04/25/2016
-- Module Name: Z:/Xilinx/StallModuleControl/StallModuleControl_tb.vhd
-- Project Name: StallModuleControl
-- Target Device: SPARTAN 3E XC3S500E-4FG320
-- Description:
--
-- VHDL Test Bench Created by ISE for module: StallModuleControl
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY StallModuleControl_tb IS
END StallModuleControl_tb;
ARCHITECTURE behavior OF StallModuleControl_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT StallModuleControl
PORT(
CLK : IN std_logic;
GUESS : IN std_logic;
ACTUAL : IN std_logic;
STALL : OUT std_logic
);
END COMPONENT;
--Inputs
signal CLK : std_logic := '0';
signal GUESS : std_logic := '0';
signal ACTUAL : std_logic := '0';
--Outputs
signal STALL : std_logic;
-- Clock period definitions
constant CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: StallModuleControl PORT MAP (
CLK => CLK,
GUESS => GUESS,
ACTUAL => ACTUAL,
STALL => STALL
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
GUESS <= '0'; -- (0,0)
ACTUAL <= '0';
wait for CLK_period;
GUESS <= '1'; -- (1,1)
ACTUAL <= '1';
wait for CLK_period;
GUESS <= '0';
ACTUAL <= '0';
wait for CLK_period;
assert(STALL = '1') report "Module failed to output stall signal for 1 cycle." severity ERROR;
GUESS <= '1'; -- (1,0)
ACTUAL <= '0';
wait for CLK_period;
GUESS <= '0';
ACTUAL <= '0'; -- Redundancy for easier understanding of code
wait for CLK_period;
for i in 0 to 3 loop -- Wait for 4 cycles to ensure a stall control signal for 4 cycles
assert(STALL = '1') report "Module failed to output stall signal for 4 cycles." severity ERROR;
wait for CLK_period;
end loop;
GUESS <= '0';
ACTUAL <= '1';
wait for CLK_period;
GUESS <= '0'; -- Redundancy for easier understanding of code
ACTUAL <= '0';
wait for CLK_period;
for i in 0 to 3 loop -- Check for 4 cycles that a stall control signal is being put out
assert(STALL = '1') report "Module failed to output stall signal for 4 cycles." severity ERROR;
wait for CLK_period;
end loop;
wait;
end process;
END;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc760.vhd,v 1.2 2001-10-26 16:30:00 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c01s01b01x01p05n02i00760pkg is
--UNCONSTRAINED ARRAY OF TYPES FROM STANDARD PACKAGE
--Index type is natural
type boolean_vector is array (natural range <>) of boolean;
type severity_level_vector is array (natural range <>) of severity_level;
type integer_vector is array (natural range <>) of integer;
type real_vector is array (natural range <>) of real;
type time_vector is array (natural range <>) of time;
type natural_vector is array (natural range <>) of natural;
type positive_vector is array (natural range <>) of positive;
constant C1 : boolean := true;
constant C2 : bit := '1';
constant C3 : character := 's';
constant C4 : severity_level:= note;
constant C5 : integer := 3;
constant C6 : real := 3.0;
constant C7 : time := 3 ns;
constant C8 : natural := 1;
constant C9 : positive := 1;
constant C10 : string := "shishir";
constant C11 : bit_vector := B"0011";
constant C12 : boolean_vector:= (true,false);
constant C13 : severity_level_vector := (note,error);
constant C14 : integer_vector:= (1,2,3,4);
constant C15 : real_vector := (1.0,2.0,3.0,4.0);
constant C16 : time_vector := (1 ns, 2 ns, 3 ns, 4 ns);
constant C17 : natural_vector:= (1,2,3,4);
constant C18 : positive_vector:= (1,2,3,4);
end c01s01b01x01p05n02i00760pkg;
use work.c01s01b01x01p05n02i00760pkg.ALL;
ENTITY c01s01b01x01p05n02i00760ent IS
generic(
zero : integer := 0;
one : integer := 1;
two : integer := 2;
three : integer := 3;
four : integer := 4;
five : integer := 5;
six : integer := 6;
seven : integer := 7;
eight : integer := 8;
nine : integer := 9;
fifteen :integer:= 15;
Cgen1 : boolean := true;
Cgen2 : bit := '1';
Cgen3 : character := 's';
Cgen4 : severity_level := note;
Cgen5 : integer := 3;
Cgen6 : real := 3.0;
Cgen7 : time := 3 ns;
Cgen8 : natural := 1;
Cgen9 : positive := 1;
Cgen10 : string := "shishir";
Cgen11 : bit_vector := B"0011";
Cgen12 : boolean_vector := (true,false);
Cgen13 : severity_level_vector := (note,error);
Cgen14 : integer_vector := (1,2,3,4);
Cgen15 : real_vector := (1.0,2.0,3.0,4.0);
Cgen16 : time_vector := (1 ns, 2 ns, 3 ns, 4 ns);
Cgen17 : natural_vector := (1,2,3,4);
Cgen18 : positive_vector := (1,2,3,4)
);
END c01s01b01x01p05n02i00760ent;
ARCHITECTURE c01s01b01x01p05n02i00760arch OF c01s01b01x01p05n02i00760ent IS
signal Vgen1 : boolean := true;
signal Vgen2 : bit := '1';
signal Vgen3 : character := 's';
signal Vgen4 : severity_level:= note;
signal Vgen5 : integer := 3;
signal Vgen6 : real := 3.0;
signal Vgen7 : time := 3 ns;
signal Vgen8 : natural := 1;
signal Vgen9 : positive := 1;
signal Vgen10 : string (one to seven) := "shishir";
signal Vgen11 : bit_vector(zero to three) := B"0011";
signal Vgen12 : boolean_vector(zero to one) := (true,false);
signal Vgen13 : severity_level_vector(zero to one) := (note,error);
signal Vgen14 : integer_vector(zero to three) := (1,2,3,4);
signal Vgen15 : real_vector(zero to three) := (1.0,2.0,3.0,4.0);
signal Vgen16 : time_vector(zero to three) := (1 ns, 2 ns, 3 ns, 4 ns);
signal Vgen17 : natural_vector(zero to three) := (1,2,3,4);
signal Vgen18 : positive_vector(zero to three) := (1,2,3,4);
BEGIN
assert Vgen1 = C1 report "Initializing signal with generic Vgen1 does not work" severity error;
assert Vgen2 = C2 report "Initializing signal with generic Vgen2 does not work" severity error;
assert Vgen3 = C3 report "Initializing signal with generic Vgen3 does not work" severity error;
assert Vgen4 = C4 report "Initializing signal with generic Vgen4 does not work" severity error;
assert Vgen5 = C5 report "Initializing signal with generic Vgen5 does not work" severity error;
assert Vgen6 = C6 report "Initializing signal with generic Vgen6 does not work" severity error;
assert Vgen7 = C7 report "Initializing signal with generic Vgen7 does not work" severity error;
assert Vgen8 = C8 report "Initializing signal with generic Vgen8 does not work" severity error;
assert Vgen9 = C9 report "Initializing signal with generic Vgen9 does not work" severity error;
assert Vgen10 = C10 report "Initializing signal with generic Vgen10 does not work" severity error;
assert Vgen11 = C11 report "Initializing signal with generic Vgen11 does not work" severity error;
assert Vgen12 = C12 report "Initializing signal with generic Vgen12 does not work" severity error;
assert Vgen13 = C13 report "Initializing signal with generic Vgen13 does not work" severity error;
assert Vgen14 = C14 report "Initializing signal with generic Vgen14 does not work" severity error;
assert Vgen15 = C15 report "Initializing signal with generic Vgen15 does not work" severity error;
assert Vgen16 = C16 report "Initializing signal with generic Vgen16 does not work" severity error;
assert Vgen17 = C17 report "Initializing signal with generic Vgen17 does not work" severity error;
assert Vgen18 = C18 report "Initializing signal with generic Vgen18 does not work" severity error;
TESTING: PROCESS
BEGIN
assert NOT( Vgen1 = C1 and
Vgen2 = C2 and
Vgen3 = C3 and
Vgen4 = C4 and
Vgen5 = C5 and
Vgen6 = C6 and
Vgen7 = C7 and
Vgen8 = C8 and
Vgen9 = C9 and
Vgen10 = C10 and
Vgen11 = C11 and
Vgen12 = C12 and
Vgen13 = C13 and
Vgen14 = C14 and
Vgen15 = C15 and
Vgen16 = C16 and
Vgen17 = C17 and
Vgen18 = C18 )
report "***PASSED TEST: c01s01b01x01p05n02i00760"
severity NOTE;
assert( Vgen1 = C1 and
Vgen2 = C2 and
Vgen3 = C3 and
Vgen4 = C4 and
Vgen5 = C5 and
Vgen6 = C6 and
Vgen7 = C7 and
Vgen8 = C8 and
Vgen9 = C9 and
Vgen10 = C10 and
Vgen11 = C11 and
Vgen12 = C12 and
Vgen13 = C13 and
Vgen14 = C14 and
Vgen15 = C15 and
Vgen16 = C16 and
Vgen17 = C17 and
Vgen18 = C18 )
report "***FAILED TEST: c01s01b01x01p05n02i00760 - Generic can be used to specify the size of ports."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s01b01x01p05n02i00760arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc760.vhd,v 1.2 2001-10-26 16:30:00 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c01s01b01x01p05n02i00760pkg is
--UNCONSTRAINED ARRAY OF TYPES FROM STANDARD PACKAGE
--Index type is natural
type boolean_vector is array (natural range <>) of boolean;
type severity_level_vector is array (natural range <>) of severity_level;
type integer_vector is array (natural range <>) of integer;
type real_vector is array (natural range <>) of real;
type time_vector is array (natural range <>) of time;
type natural_vector is array (natural range <>) of natural;
type positive_vector is array (natural range <>) of positive;
constant C1 : boolean := true;
constant C2 : bit := '1';
constant C3 : character := 's';
constant C4 : severity_level:= note;
constant C5 : integer := 3;
constant C6 : real := 3.0;
constant C7 : time := 3 ns;
constant C8 : natural := 1;
constant C9 : positive := 1;
constant C10 : string := "shishir";
constant C11 : bit_vector := B"0011";
constant C12 : boolean_vector:= (true,false);
constant C13 : severity_level_vector := (note,error);
constant C14 : integer_vector:= (1,2,3,4);
constant C15 : real_vector := (1.0,2.0,3.0,4.0);
constant C16 : time_vector := (1 ns, 2 ns, 3 ns, 4 ns);
constant C17 : natural_vector:= (1,2,3,4);
constant C18 : positive_vector:= (1,2,3,4);
end c01s01b01x01p05n02i00760pkg;
use work.c01s01b01x01p05n02i00760pkg.ALL;
ENTITY c01s01b01x01p05n02i00760ent IS
generic(
zero : integer := 0;
one : integer := 1;
two : integer := 2;
three : integer := 3;
four : integer := 4;
five : integer := 5;
six : integer := 6;
seven : integer := 7;
eight : integer := 8;
nine : integer := 9;
fifteen :integer:= 15;
Cgen1 : boolean := true;
Cgen2 : bit := '1';
Cgen3 : character := 's';
Cgen4 : severity_level := note;
Cgen5 : integer := 3;
Cgen6 : real := 3.0;
Cgen7 : time := 3 ns;
Cgen8 : natural := 1;
Cgen9 : positive := 1;
Cgen10 : string := "shishir";
Cgen11 : bit_vector := B"0011";
Cgen12 : boolean_vector := (true,false);
Cgen13 : severity_level_vector := (note,error);
Cgen14 : integer_vector := (1,2,3,4);
Cgen15 : real_vector := (1.0,2.0,3.0,4.0);
Cgen16 : time_vector := (1 ns, 2 ns, 3 ns, 4 ns);
Cgen17 : natural_vector := (1,2,3,4);
Cgen18 : positive_vector := (1,2,3,4)
);
END c01s01b01x01p05n02i00760ent;
ARCHITECTURE c01s01b01x01p05n02i00760arch OF c01s01b01x01p05n02i00760ent IS
signal Vgen1 : boolean := true;
signal Vgen2 : bit := '1';
signal Vgen3 : character := 's';
signal Vgen4 : severity_level:= note;
signal Vgen5 : integer := 3;
signal Vgen6 : real := 3.0;
signal Vgen7 : time := 3 ns;
signal Vgen8 : natural := 1;
signal Vgen9 : positive := 1;
signal Vgen10 : string (one to seven) := "shishir";
signal Vgen11 : bit_vector(zero to three) := B"0011";
signal Vgen12 : boolean_vector(zero to one) := (true,false);
signal Vgen13 : severity_level_vector(zero to one) := (note,error);
signal Vgen14 : integer_vector(zero to three) := (1,2,3,4);
signal Vgen15 : real_vector(zero to three) := (1.0,2.0,3.0,4.0);
signal Vgen16 : time_vector(zero to three) := (1 ns, 2 ns, 3 ns, 4 ns);
signal Vgen17 : natural_vector(zero to three) := (1,2,3,4);
signal Vgen18 : positive_vector(zero to three) := (1,2,3,4);
BEGIN
assert Vgen1 = C1 report "Initializing signal with generic Vgen1 does not work" severity error;
assert Vgen2 = C2 report "Initializing signal with generic Vgen2 does not work" severity error;
assert Vgen3 = C3 report "Initializing signal with generic Vgen3 does not work" severity error;
assert Vgen4 = C4 report "Initializing signal with generic Vgen4 does not work" severity error;
assert Vgen5 = C5 report "Initializing signal with generic Vgen5 does not work" severity error;
assert Vgen6 = C6 report "Initializing signal with generic Vgen6 does not work" severity error;
assert Vgen7 = C7 report "Initializing signal with generic Vgen7 does not work" severity error;
assert Vgen8 = C8 report "Initializing signal with generic Vgen8 does not work" severity error;
assert Vgen9 = C9 report "Initializing signal with generic Vgen9 does not work" severity error;
assert Vgen10 = C10 report "Initializing signal with generic Vgen10 does not work" severity error;
assert Vgen11 = C11 report "Initializing signal with generic Vgen11 does not work" severity error;
assert Vgen12 = C12 report "Initializing signal with generic Vgen12 does not work" severity error;
assert Vgen13 = C13 report "Initializing signal with generic Vgen13 does not work" severity error;
assert Vgen14 = C14 report "Initializing signal with generic Vgen14 does not work" severity error;
assert Vgen15 = C15 report "Initializing signal with generic Vgen15 does not work" severity error;
assert Vgen16 = C16 report "Initializing signal with generic Vgen16 does not work" severity error;
assert Vgen17 = C17 report "Initializing signal with generic Vgen17 does not work" severity error;
assert Vgen18 = C18 report "Initializing signal with generic Vgen18 does not work" severity error;
TESTING: PROCESS
BEGIN
assert NOT( Vgen1 = C1 and
Vgen2 = C2 and
Vgen3 = C3 and
Vgen4 = C4 and
Vgen5 = C5 and
Vgen6 = C6 and
Vgen7 = C7 and
Vgen8 = C8 and
Vgen9 = C9 and
Vgen10 = C10 and
Vgen11 = C11 and
Vgen12 = C12 and
Vgen13 = C13 and
Vgen14 = C14 and
Vgen15 = C15 and
Vgen16 = C16 and
Vgen17 = C17 and
Vgen18 = C18 )
report "***PASSED TEST: c01s01b01x01p05n02i00760"
severity NOTE;
assert( Vgen1 = C1 and
Vgen2 = C2 and
Vgen3 = C3 and
Vgen4 = C4 and
Vgen5 = C5 and
Vgen6 = C6 and
Vgen7 = C7 and
Vgen8 = C8 and
Vgen9 = C9 and
Vgen10 = C10 and
Vgen11 = C11 and
Vgen12 = C12 and
Vgen13 = C13 and
Vgen14 = C14 and
Vgen15 = C15 and
Vgen16 = C16 and
Vgen17 = C17 and
Vgen18 = C18 )
report "***FAILED TEST: c01s01b01x01p05n02i00760 - Generic can be used to specify the size of ports."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s01b01x01p05n02i00760arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc760.vhd,v 1.2 2001-10-26 16:30:00 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c01s01b01x01p05n02i00760pkg is
--UNCONSTRAINED ARRAY OF TYPES FROM STANDARD PACKAGE
--Index type is natural
type boolean_vector is array (natural range <>) of boolean;
type severity_level_vector is array (natural range <>) of severity_level;
type integer_vector is array (natural range <>) of integer;
type real_vector is array (natural range <>) of real;
type time_vector is array (natural range <>) of time;
type natural_vector is array (natural range <>) of natural;
type positive_vector is array (natural range <>) of positive;
constant C1 : boolean := true;
constant C2 : bit := '1';
constant C3 : character := 's';
constant C4 : severity_level:= note;
constant C5 : integer := 3;
constant C6 : real := 3.0;
constant C7 : time := 3 ns;
constant C8 : natural := 1;
constant C9 : positive := 1;
constant C10 : string := "shishir";
constant C11 : bit_vector := B"0011";
constant C12 : boolean_vector:= (true,false);
constant C13 : severity_level_vector := (note,error);
constant C14 : integer_vector:= (1,2,3,4);
constant C15 : real_vector := (1.0,2.0,3.0,4.0);
constant C16 : time_vector := (1 ns, 2 ns, 3 ns, 4 ns);
constant C17 : natural_vector:= (1,2,3,4);
constant C18 : positive_vector:= (1,2,3,4);
end c01s01b01x01p05n02i00760pkg;
use work.c01s01b01x01p05n02i00760pkg.ALL;
ENTITY c01s01b01x01p05n02i00760ent IS
generic(
zero : integer := 0;
one : integer := 1;
two : integer := 2;
three : integer := 3;
four : integer := 4;
five : integer := 5;
six : integer := 6;
seven : integer := 7;
eight : integer := 8;
nine : integer := 9;
fifteen :integer:= 15;
Cgen1 : boolean := true;
Cgen2 : bit := '1';
Cgen3 : character := 's';
Cgen4 : severity_level := note;
Cgen5 : integer := 3;
Cgen6 : real := 3.0;
Cgen7 : time := 3 ns;
Cgen8 : natural := 1;
Cgen9 : positive := 1;
Cgen10 : string := "shishir";
Cgen11 : bit_vector := B"0011";
Cgen12 : boolean_vector := (true,false);
Cgen13 : severity_level_vector := (note,error);
Cgen14 : integer_vector := (1,2,3,4);
Cgen15 : real_vector := (1.0,2.0,3.0,4.0);
Cgen16 : time_vector := (1 ns, 2 ns, 3 ns, 4 ns);
Cgen17 : natural_vector := (1,2,3,4);
Cgen18 : positive_vector := (1,2,3,4)
);
END c01s01b01x01p05n02i00760ent;
ARCHITECTURE c01s01b01x01p05n02i00760arch OF c01s01b01x01p05n02i00760ent IS
signal Vgen1 : boolean := true;
signal Vgen2 : bit := '1';
signal Vgen3 : character := 's';
signal Vgen4 : severity_level:= note;
signal Vgen5 : integer := 3;
signal Vgen6 : real := 3.0;
signal Vgen7 : time := 3 ns;
signal Vgen8 : natural := 1;
signal Vgen9 : positive := 1;
signal Vgen10 : string (one to seven) := "shishir";
signal Vgen11 : bit_vector(zero to three) := B"0011";
signal Vgen12 : boolean_vector(zero to one) := (true,false);
signal Vgen13 : severity_level_vector(zero to one) := (note,error);
signal Vgen14 : integer_vector(zero to three) := (1,2,3,4);
signal Vgen15 : real_vector(zero to three) := (1.0,2.0,3.0,4.0);
signal Vgen16 : time_vector(zero to three) := (1 ns, 2 ns, 3 ns, 4 ns);
signal Vgen17 : natural_vector(zero to three) := (1,2,3,4);
signal Vgen18 : positive_vector(zero to three) := (1,2,3,4);
BEGIN
assert Vgen1 = C1 report "Initializing signal with generic Vgen1 does not work" severity error;
assert Vgen2 = C2 report "Initializing signal with generic Vgen2 does not work" severity error;
assert Vgen3 = C3 report "Initializing signal with generic Vgen3 does not work" severity error;
assert Vgen4 = C4 report "Initializing signal with generic Vgen4 does not work" severity error;
assert Vgen5 = C5 report "Initializing signal with generic Vgen5 does not work" severity error;
assert Vgen6 = C6 report "Initializing signal with generic Vgen6 does not work" severity error;
assert Vgen7 = C7 report "Initializing signal with generic Vgen7 does not work" severity error;
assert Vgen8 = C8 report "Initializing signal with generic Vgen8 does not work" severity error;
assert Vgen9 = C9 report "Initializing signal with generic Vgen9 does not work" severity error;
assert Vgen10 = C10 report "Initializing signal with generic Vgen10 does not work" severity error;
assert Vgen11 = C11 report "Initializing signal with generic Vgen11 does not work" severity error;
assert Vgen12 = C12 report "Initializing signal with generic Vgen12 does not work" severity error;
assert Vgen13 = C13 report "Initializing signal with generic Vgen13 does not work" severity error;
assert Vgen14 = C14 report "Initializing signal with generic Vgen14 does not work" severity error;
assert Vgen15 = C15 report "Initializing signal with generic Vgen15 does not work" severity error;
assert Vgen16 = C16 report "Initializing signal with generic Vgen16 does not work" severity error;
assert Vgen17 = C17 report "Initializing signal with generic Vgen17 does not work" severity error;
assert Vgen18 = C18 report "Initializing signal with generic Vgen18 does not work" severity error;
TESTING: PROCESS
BEGIN
assert NOT( Vgen1 = C1 and
Vgen2 = C2 and
Vgen3 = C3 and
Vgen4 = C4 and
Vgen5 = C5 and
Vgen6 = C6 and
Vgen7 = C7 and
Vgen8 = C8 and
Vgen9 = C9 and
Vgen10 = C10 and
Vgen11 = C11 and
Vgen12 = C12 and
Vgen13 = C13 and
Vgen14 = C14 and
Vgen15 = C15 and
Vgen16 = C16 and
Vgen17 = C17 and
Vgen18 = C18 )
report "***PASSED TEST: c01s01b01x01p05n02i00760"
severity NOTE;
assert( Vgen1 = C1 and
Vgen2 = C2 and
Vgen3 = C3 and
Vgen4 = C4 and
Vgen5 = C5 and
Vgen6 = C6 and
Vgen7 = C7 and
Vgen8 = C8 and
Vgen9 = C9 and
Vgen10 = C10 and
Vgen11 = C11 and
Vgen12 = C12 and
Vgen13 = C13 and
Vgen14 = C14 and
Vgen15 = C15 and
Vgen16 = C16 and
Vgen17 = C17 and
Vgen18 = C18 )
report "***FAILED TEST: c01s01b01x01p05n02i00760 - Generic can be used to specify the size of ports."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s01b01x01p05n02i00760arch;
|
library ieee;
use Std.TextIO.all;
use ieee.STD_LOGIC_1164.all;
use ieee.numeric_std.all;
package debugtools is
function to_string(sv: Std_Logic_Vector) return string;
function to_hstring(sv: Std_Logic_Vector) return string;
function to_hstring(sv: unsigned) return string;
procedure HWRITE(L:inout LINE; VALUE:in BIT_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
end debugtools;
package body debugtools is
procedure HWRITE(L:inout LINE; VALUE:in BIT_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
variable quad: bit_vector(0 to 3);
constant ne: integer := value'length/4;
variable bv: bit_vector(0 to value'length-1) := value;
variable s: string(1 to ne);
begin
if value'length mod 4 /= 0 then
assert FALSE report
"HWRITE Error: Trying to read vector " &
"with an odd (non multiple of 4) length";
return;
end if;
for i in 0 to ne-1 loop
quad := bv(4*i to 4*i+3);
case quad is
when x"0" => s(i+1) := '0';
when x"1" => s(i+1) := '1';
when x"2" => s(i+1) := '2';
when x"3" => s(i+1) := '3';
when x"4" => s(i+1) := '4';
when x"5" => s(i+1) := '5';
when x"6" => s(i+1) := '6';
when x"7" => s(i+1) := '7';
when x"8" => s(i+1) := '8';
when x"9" => s(i+1) := '9';
when x"A" => s(i+1) := 'A';
when x"B" => s(i+1) := 'B';
when x"C" => s(i+1) := 'C';
when x"D" => s(i+1) := 'D';
when x"E" => s(i+1) := 'E';
when x"F" => s(i+1) := 'F';
end case;
end loop;
write(L, s, JUSTIFIED, FIELD);
end HWRITE;
function to_string(sv: Std_Logic_Vector) return string is
use Std.TextIO.all;
variable bv: bit_vector(sv'range) := to_bitvector(sv);
variable lp: line;
begin
write(lp, bv);
return lp.all;
end;
function to_hstring(sv: Std_Logic_Vector) return string is
use Std.TextIO.all;
variable bv: bit_vector(sv'range) := to_bitvector(sv);
variable lp: line;
begin
hwrite(lp, bv);
return lp.all;
end;
function to_hstring(sv: unsigned) return string is
use Std.TextIO.all;
begin
return to_hstring(std_logic_vector(sv));
end;
end debugtools;
|
library ieee;
use Std.TextIO.all;
use ieee.STD_LOGIC_1164.all;
use ieee.numeric_std.all;
package debugtools is
function to_string(sv: Std_Logic_Vector) return string;
function to_hstring(sv: Std_Logic_Vector) return string;
function to_hstring(sv: unsigned) return string;
procedure HWRITE(L:inout LINE; VALUE:in BIT_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
end debugtools;
package body debugtools is
procedure HWRITE(L:inout LINE; VALUE:in BIT_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
variable quad: bit_vector(0 to 3);
constant ne: integer := value'length/4;
variable bv: bit_vector(0 to value'length-1) := value;
variable s: string(1 to ne);
begin
if value'length mod 4 /= 0 then
assert FALSE report
"HWRITE Error: Trying to read vector " &
"with an odd (non multiple of 4) length";
return;
end if;
for i in 0 to ne-1 loop
quad := bv(4*i to 4*i+3);
case quad is
when x"0" => s(i+1) := '0';
when x"1" => s(i+1) := '1';
when x"2" => s(i+1) := '2';
when x"3" => s(i+1) := '3';
when x"4" => s(i+1) := '4';
when x"5" => s(i+1) := '5';
when x"6" => s(i+1) := '6';
when x"7" => s(i+1) := '7';
when x"8" => s(i+1) := '8';
when x"9" => s(i+1) := '9';
when x"A" => s(i+1) := 'A';
when x"B" => s(i+1) := 'B';
when x"C" => s(i+1) := 'C';
when x"D" => s(i+1) := 'D';
when x"E" => s(i+1) := 'E';
when x"F" => s(i+1) := 'F';
end case;
end loop;
write(L, s, JUSTIFIED, FIELD);
end HWRITE;
function to_string(sv: Std_Logic_Vector) return string is
use Std.TextIO.all;
variable bv: bit_vector(sv'range) := to_bitvector(sv);
variable lp: line;
begin
write(lp, bv);
return lp.all;
end;
function to_hstring(sv: Std_Logic_Vector) return string is
use Std.TextIO.all;
variable bv: bit_vector(sv'range) := to_bitvector(sv);
variable lp: line;
begin
hwrite(lp, bv);
return lp.all;
end;
function to_hstring(sv: unsigned) return string is
use Std.TextIO.all;
begin
return to_hstring(std_logic_vector(sv));
end;
end debugtools;
|
library ieee;
use Std.TextIO.all;
use ieee.STD_LOGIC_1164.all;
use ieee.numeric_std.all;
package debugtools is
function to_string(sv: Std_Logic_Vector) return string;
function to_hstring(sv: Std_Logic_Vector) return string;
function to_hstring(sv: unsigned) return string;
procedure HWRITE(L:inout LINE; VALUE:in BIT_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0);
end debugtools;
package body debugtools is
procedure HWRITE(L:inout LINE; VALUE:in BIT_VECTOR;
JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is
variable quad: bit_vector(0 to 3);
constant ne: integer := value'length/4;
variable bv: bit_vector(0 to value'length-1) := value;
variable s: string(1 to ne);
begin
if value'length mod 4 /= 0 then
assert FALSE report
"HWRITE Error: Trying to read vector " &
"with an odd (non multiple of 4) length";
return;
end if;
for i in 0 to ne-1 loop
quad := bv(4*i to 4*i+3);
case quad is
when x"0" => s(i+1) := '0';
when x"1" => s(i+1) := '1';
when x"2" => s(i+1) := '2';
when x"3" => s(i+1) := '3';
when x"4" => s(i+1) := '4';
when x"5" => s(i+1) := '5';
when x"6" => s(i+1) := '6';
when x"7" => s(i+1) := '7';
when x"8" => s(i+1) := '8';
when x"9" => s(i+1) := '9';
when x"A" => s(i+1) := 'A';
when x"B" => s(i+1) := 'B';
when x"C" => s(i+1) := 'C';
when x"D" => s(i+1) := 'D';
when x"E" => s(i+1) := 'E';
when x"F" => s(i+1) := 'F';
end case;
end loop;
write(L, s, JUSTIFIED, FIELD);
end HWRITE;
function to_string(sv: Std_Logic_Vector) return string is
use Std.TextIO.all;
variable bv: bit_vector(sv'range) := to_bitvector(sv);
variable lp: line;
begin
write(lp, bv);
return lp.all;
end;
function to_hstring(sv: Std_Logic_Vector) return string is
use Std.TextIO.all;
variable bv: bit_vector(sv'range) := to_bitvector(sv);
variable lp: line;
begin
hwrite(lp, bv);
return lp.all;
end;
function to_hstring(sv: unsigned) return string is
use Std.TextIO.all;
begin
return to_hstring(std_logic_vector(sv));
end;
end debugtools;
|
-------------------------------------------------------------------------------
--
-- T410 system toplevel.
--
-- $Id: t410.vhd,v 1.2 2008-08-23 11:19:20 arniml Exp $
-- $Name: not supported by cvs2svn $
--
-- Copyright (c) 2006 Arnim Laeuger ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t400/
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.t400_opt_pack.all;
entity t410 is
generic (
opt_ck_div_g : integer := t400_opt_ck_div_16_c;
opt_l_out_type_7_g : integer := t400_opt_out_type_std_c;
opt_l_out_type_6_g : integer := t400_opt_out_type_std_c;
opt_l_out_type_5_g : integer := t400_opt_out_type_std_c;
opt_l_out_type_4_g : integer := t400_opt_out_type_std_c;
opt_l_out_type_3_g : integer := t400_opt_out_type_std_c;
opt_l_out_type_2_g : integer := t400_opt_out_type_std_c;
opt_l_out_type_1_g : integer := t400_opt_out_type_std_c;
opt_l_out_type_0_g : integer := t400_opt_out_type_std_c;
opt_d_out_type_3_g : integer := t400_opt_out_type_std_c;
opt_d_out_type_2_g : integer := t400_opt_out_type_std_c;
opt_d_out_type_1_g : integer := t400_opt_out_type_std_c;
opt_d_out_type_0_g : integer := t400_opt_out_type_std_c;
opt_g_out_type_3_g : integer := t400_opt_out_type_std_c;
opt_g_out_type_2_g : integer := t400_opt_out_type_std_c;
opt_g_out_type_1_g : integer := t400_opt_out_type_std_c;
opt_g_out_type_0_g : integer := t400_opt_out_type_std_c;
opt_so_output_type_g : integer := t400_opt_out_type_std_c;
opt_sk_output_type_g : integer := t400_opt_out_type_std_c
);
port (
ck_i : in std_logic;
ck_en_i : in std_logic;
reset_n_i : in std_logic;
io_l_b : inout std_logic_vector(7 downto 0);
io_d_o : out std_logic_vector(3 downto 0);
io_g_b : inout std_logic_vector(3 downto 0);
si_i : in std_logic;
so_o : out std_logic;
sk_o : out std_logic
);
end t410;
use work.t400_system_comp_pack.t410_notri;
architecture struct of t410 is
signal io_l_from_t410_s,
io_l_en_s : std_logic_vector(7 downto 0);
signal io_d_from_t410_s,
io_d_en_s : std_logic_vector(3 downto 0);
signal io_g_to_t410_s,
io_g_from_t410_s,
io_g_en_s : std_logic_vector(3 downto 0);
signal so_s,
so_en_s : std_logic;
signal sk_s,
sk_en_s : std_logic;
signal gnd_s : std_logic;
begin
gnd_s <= '0';
-----------------------------------------------------------------------------
-- T410 without tri-states
-----------------------------------------------------------------------------
t410_notri_b : t410_notri
generic map (
opt_ck_div_g => opt_ck_div_g,
opt_cko_g => t400_opt_cko_crystal_c,
opt_l_out_type_7_g => opt_l_out_type_7_g,
opt_l_out_type_6_g => opt_l_out_type_6_g,
opt_l_out_type_5_g => opt_l_out_type_5_g,
opt_l_out_type_4_g => opt_l_out_type_4_g,
opt_l_out_type_3_g => opt_l_out_type_3_g,
opt_l_out_type_2_g => opt_l_out_type_2_g,
opt_l_out_type_1_g => opt_l_out_type_1_g,
opt_l_out_type_0_g => opt_l_out_type_0_g,
opt_d_out_type_3_g => opt_d_out_type_3_g,
opt_d_out_type_2_g => opt_d_out_type_2_g,
opt_d_out_type_1_g => opt_d_out_type_1_g,
opt_d_out_type_0_g => opt_d_out_type_0_g,
opt_g_out_type_3_g => opt_g_out_type_3_g,
opt_g_out_type_2_g => opt_g_out_type_2_g,
opt_g_out_type_1_g => opt_g_out_type_1_g,
opt_g_out_type_0_g => opt_g_out_type_0_g,
opt_so_output_type_g => opt_so_output_type_g,
opt_sk_output_type_g => opt_sk_output_type_g
)
port map (
ck_i => ck_i,
ck_en_i => ck_en_i,
reset_n_i => reset_n_i,
cko_i => gnd_s,
io_l_i => io_l_b,
io_l_o => io_l_from_t410_s,
io_l_en_o => io_l_en_s,
io_d_o => io_d_from_t410_s,
io_d_en_o => io_d_en_s,
io_g_i => io_g_b,
io_g_o => io_g_from_t410_s,
io_g_en_o => io_g_en_s,
si_i => si_i,
so_o => so_s,
so_en_o => so_en_s,
sk_o => sk_s,
sk_en_o => sk_en_s
);
-----------------------------------------------------------------------------
-- Tri-states for output drivers
-----------------------------------------------------------------------------
io_l_tri: for idx in 7 downto 0 generate
io_l_b(idx) <= io_l_from_t410_s(idx)
when io_l_en_s(idx) = '1' else
'Z';
end generate;
--
io_d_tri: for idx in 3 downto 0 generate
io_d_o(idx) <= io_d_from_t410_s(idx)
when io_d_en_s(idx) = '1' else
'Z';
end generate;
--
io_g_tri: for idx in 3 downto 0 generate
io_g_b(idx) <= io_g_from_t410_s(idx)
when io_g_en_s(idx) = '1' else
'Z';
end generate;
--
so_o <= so_s
when so_en_s = '1' else
'Z';
--
sk_o <= sk_s
when sk_en_s = '1' else
'Z';
end struct;
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-- Revision 1.1 2006/06/11 22:18:28 arniml
-- initial check-in
--
-------------------------------------------------------------------------------
|
--345678901234567890123456789012345678901234567890123456789012345678901234567890
-- 1 2 3 4 5 6 7 8
-- Title: Entity and RTL architecture of the processor-to-fi_mem_agent connector
-- Engineer: Olga Mamoutova
-- Company: SpbSTU
-- Project: Fault injection
-- File name: fi_mem_connector.vhd
--------------------------------------------------------------------------------
-- Purpose: Connects array of memory fault injection agents to the [NIOS] processor
--------------------------------------------------------------------------------
-- Simulator: Altera Quartus II
-- Synthesis: Altera Quartus II
--------------------------------------------------------------------------------
-- Revision: 1.0
-- Modification date: 22 Nov 2013
-- Notes:
-- Limitation:
-- Revision: 1.1
-- Modification date: 15 Dec 2013
-- Notes: minor improvements
-- Limitation:
-- Revision: 1.2
-- Modification date: 11 Jan 2014
-- Notes: correct work with iw paramter being zero
-- IF ( (fi_A_i_rg(aw_max+iw-1 DOWNTO aw_max)=i) AND i>0 OR i=0)THEN
-- Limitation:
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
--==============================================================================
ENTITY fi_mem_connector IS
GENERIC
(
N : INTEGER := 4; -- number of fi agents
iw : INTEGER := 2; -- width of fi index value
dw_max : INTEGER := 8; -- maximum data width among fi_mem_agent blocks
aw_max : INTEGER := 5 -- maximum address width among fi_mem_agent blocks
);
PORT
(
-- Combined signals of fault injection command - from the processor
clk_i : IN STD_LOGIC;
rst_i : IN STD_LOGIC;
fi_i : IN STD_LOGIC; -- chipselect, active high
fi_wr_i : IN STD_LOGIC; -- write, active high
fi_A_i : IN STD_LOGIC_VECTOR(aw_max+iw-1 DOWNTO 0); -- address
fi_Mask_i : IN STD_LOGIC_VECTOR(dw_max-1 DOWNTO 0); -- writedata
fi_data_r_i : OUT STD_LOGIC_VECTOR(dw_max-1 DOWNTO 0); -- readdata - debug feature
fi_ack_i : OUT STD_LOGIC; -- waitrequest_n, active low
-- Array of signals of fault injection command - to array fi_mem_agent
clk_o : OUT STD_LOGIC;
rst_o : OUT STD_LOGIC;
fi_o : OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0); -- chipselect
fi_wr_o : OUT STD_LOGIC; -- write
fi_A_o : OUT STD_LOGIC_VECTOR(aw_max-1 DOWNTO 0); -- address
fi_Mask_o : OUT STD_LOGIC_VECTOR(dw_max-1 DOWNTO 0); -- writedata
fi_data_r_o : IN STD_LOGIC_VECTOR(dw_max*N-1 DOWNTO 0); -- readdata - debug feature
fi_ack_o : IN STD_LOGIC_VECTOR(N-1 DOWNTO 0) -- waitrequest_n
);
END fi_mem_connector;
ARCHITECTURE rtl OF fi_mem_connector IS
SIGNAL fi_A_i_rg : STD_LOGIC_VECTOR(aw_max+iw-1 DOWNTO 0); -- for readdata - debug feature
BEGIN
assert (N < 2**iw+1) report "N > 2**iw in fi_mem_connector" severity error;
-- clk, reset
clk_o <= clk_i;
rst_o <= rst_i;
-- chipselect
-- select fi_o, addressed by iw msb bits of fi_A_i
PROCESS(fi_A_i, fi_i)
BEGIN
FOR i IN 0 TO N-1 LOOP
IF (((fi_A_i(aw_max+iw-1 DOWNTO aw_max)=i) AND (iw>0)) OR (iw=0)) AND fi_i='1' THEN
fi_o(i) <= '1';
ELSE
fi_o(i) <= '0';
END IF;
END LOOP;
END PROCESS;
-- write
fi_wr_o <= fi_wr_i;
-- address
fi_A_o <= fi_A_i(aw_max-1 DOWNTO 0);
-- writedata
fi_Mask_o <= fi_Mask_i;
-- waitrequest_n
PROCESS(fi_A_i, fi_ack_o)
VARIABLE fi_ack_i_tmp : STD_LOGIC;
BEGIN
fi_ack_i_tmp := '1'; -- not active
FOR i IN 0 TO N-1 LOOP
fi_ack_i_tmp := fi_ack_i_tmp AND fi_ack_o(i);
END LOOP;
fi_ack_i <= fi_ack_i_tmp;
END PROCESS;
-- readdata - debug feature
PROCESS(fi_A_i_rg, fi_data_r_o)
BEGIN
fi_data_r_i <= (OTHERS=>'0');
FOR i IN 0 TO N-1 LOOP
IF ((fi_A_i_rg(aw_max+iw-1 DOWNTO aw_max)=i) AND (iw>0)) OR (iw=0) THEN
fi_data_r_i <= fi_data_r_o(i*dw_max + dw_max -1 DOWNTO i*dw_max);
END IF;
END LOOP;
END PROCESS;
PROCESS(clk_i, rst_i, fi_A_i)
BEGIN
IF rst_i = '0' THEN
fi_A_i_rg <= (OTHERS=>'0');
ELSIF clk_i'event AND clk_i = '1' THEN
IF fi_i='1' THEN
fi_A_i_rg <= fi_A_i;
END IF;
END IF;
END PROCESS;
END rtl; |
process(CLK, RST)
begin
if(RST = '1') then
Q <= '0';
elsif(CLK = '1' and CLK'event) then
Q <= D;
end if;
end process;
|
--双向总线缓存器,有两个数据输入/输出端A和B,一个方向控制端DIR和一个选通端EN。
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY BIDIR IS
PORT(A,B:INOUT STD_LOGIC_VECTOR(7 DOWNTO 0);
EN,DIR:IN STD_LOGIC);
END ENTITY;
ARCHITECTURE ART OF BIDIR IS
SIGNAL AOUT,BOUT:STD_LOGIC_VECTOR(7 DOWNTO 0);
BEGIN
PROCESS(A,EN,DIR) IS
BEGIN
IF((EN='0') AND (DIR='1')) THEN BOUT<=A;
ELSE BOUT<="ZZZZZZZZ";
END IF;
B<=BOUT;
END PROCESS;
PROCESS(B,EN,DIR) IS
BEGIN
IF((EN='0') AND (DIR='1')) THEN AOUT<=B;
ELSE AOUT<="ZZZZZZZZ";
END IF;
A<=AOUT;
END PROCESS;
END ARCHITECTURE ART;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc745.vhd,v 1.2 2001-10-26 16:29:59 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c01s01b01x01p05n02i00745pkg is
type boolean_vector is array (natural range <>) of boolean;
type severity_level_vector is array (natural range <>) of severity_level;
type integer_vector is array (natural range <>) of integer;
type real_vector is array (natural range <>) of real;
type time_vector is array (natural range <>) of time;
type natural_vector is array (natural range <>) of natural;
type positive_vector is array (natural range <>) of positive;
type record_std_package is record
a: boolean;
b: bit;
c: character;
d: severity_level;
e: integer;
f: real;
g: time;
h: natural;
i: positive;
j: string(1 to 7);
k: bit_vector(0 to 3);
end record;
type array_rec_std is array (integer range <>) of record_std_package;
function F1(inp : boolean_vector) return boolean ;
function F2(inp : bit_vector) return bit ;
function F3(inp : string) return character ;
function F4(inp : severity_level_vector) return severity_level ;
function F5(inp : integer_vector) return integer ;
function F6(inp : real_vector) return real ;
function F7(inp : time_vector) return time ;
function F8(inp : natural_vector) return natural ;
function F9(inp : positive_vector) return positive ;
function F10(inp : array_rec_std) return record_std_package ;
end c01s01b01x01p05n02i00745pkg;
package body c01s01b01x01p05n02i00745pkg is
function F1(inp : boolean_vector) return boolean is
begin
for i in 0 to 15 loop
assert(inp(i) = true) report"wrong initialization of S1" severity error;
end loop;
return false;
end F1;
function F2(inp : bit_vector) return bit is
begin
for i in 0 to 3 loop
assert(inp(i) = '0') report"wrong initialization of S2" severity error;
end loop;
return '0';
end F2;
function F3(inp : string) return character is
begin
for i in 1 to 7 loop
assert(inp(i) = 's') report"wrong initialization of S3" severity error;
end loop;
return 'h';
end F3;
function F4(inp : severity_level_vector) return severity_level is
begin
for i in 0 to 15 loop
assert(inp(i) = note) report"wrong initialization of S4" severity error;
end loop;
return error;
end F4;
function F5(inp : integer_vector) return integer is
begin
for i in 0 to 15 loop
assert(inp(i) = 3) report"wrong initialization of S5" severity error;
end loop;
return 6;
end F5;
function F6(inp : real_vector) return real is
begin
for i in 0 to 15 loop
assert(inp(i) = 3.0) report"wrong initialization of S6" severity error;
end loop;
return 6.0;
end F6;
function F7(inp : time_vector) return time is
begin
for i in 0 to 15 loop
assert(inp(i) = 3 ns) report"wrong initialization of S7" severity error;
end loop;
return 6 ns;
end F7;
function F8(inp : natural_vector) return natural is
begin
for i in 0 to 15 loop
assert(inp(i) = 1) report"wrong initialization of S8" severity error;
end loop;
return 6;
end F8;
function F9(inp : positive_vector) return positive is
begin
for i in 0 to 15 loop
assert(inp(i) = 1) report"wrong initialization of S9" severity error;
end loop;
return 6;
end F9;
function F10(inp : array_rec_std) return record_std_package is
begin
for i in 0 to 7 loop
assert(inp(i) = (true,'1','s',note,3,3.0,3 ns, 1,1,"sssssss","0000")) report"wrong initialization of S10" severity error;
end loop;
return (false,'0','s',error,5,5.0,5 ns,5,5,"metrics","1100");
end F10;
end c01s01b01x01p05n02i00745pkg;
use work.c01s01b01x01p05n02i00745pkg.all;
ENTITY vests3 IS
generic(
zero : integer := 0;
one : integer := 1;
two : integer := 2;
three: integer := 3;
four : integer := 4;
five : integer := 5;
six : integer := 6;
seven: integer := 7;
eight: integer := 8;
nine : integer := 9;
fifteen:integer:= 15;
C1 : boolean := true;
C2 : bit := '1';
C3 : character := 's';
C4 : severity_level:= note;
C5 : integer := 3;
C6 : real := 3.0;
C7 : time := 3 ns;
C8 : natural := 1;
C9 : positive := 1;
C10 : string := "sssssss";
C11 : bit_vector := B"0000";
C48 : record_std_package := (true,'1','s',note,3,3.0,3 ns,1,1,"sssssss","0000")
);
port(
S1 : boolean_vector(zero to fifteen) := (others => C1);
S2 : severity_level_vector(zero to fifteen) := (others => C4);
S3 : integer_vector(zero to fifteen) := (others => C5);
S4 : real_vector(zero to fifteen) := (others => C6);
S5 : time_vector (zero to fifteen) := (others => C7);
S6 : natural_vector(zero to fifteen) := (others => C8);
S7 : positive_vector(zero to fifteen) := (others => C9);
S8 : string(one to seven) := C10;
S9 : bit_vector(zero to three) := C11;
S48: array_rec_std(zero to seven) := (others => C48)
);
END vests3;
ARCHITECTURE c01s01b01x01p05n02i00745arch OF vests3 IS
BEGIN
TESTING: PROCESS
variable var1 : boolean;
variable var4 : severity_level;
variable var5 : integer;
variable var6 : real;
variable var7 : time;
variable var8 : natural;
variable var9 : positive;
variable var2 : bit;
variable var3 : character;
variable var48: record_std_package;
BEGIN
var1 := F1(S1);
var2 := F2(S9);
var3 := F3(S8);
var4 := F4(S2);
var5 := F5(S3);
var6 := F6(S4);
var7 := F7(S5);
var8 := F8(S6);
var9 := F9(S7);
var48 := F10(S48);
wait for 1 ns;
assert(var1 = false) report "wrong assignment in the function F1" severity error;
assert(var2 = '0') report "wrong assignment in the function F2" severity error;
assert(var3 = 'h') report "wrong assignment in the function F3" severity error;
assert(var4 = error) report "wrong assignment in the function F4" severity error;
assert(var5 = 6) report "wrong assignment in the function F5" severity error;
assert(var6 = 6.0) report "wrong assignment in the function F6" severity error;
assert(var7 = 6 ns) report "wrong assignment in the function F7" severity error;
assert(var8 = 6) report "wrong assignment in the function F8" severity error;
assert(var9 = 6) report "wrong assignment in the function F9" severity error;
assert(var48 = (false,'0','s',error,5,5.0,5 ns,5,5,"metrics","1100")) report "wrong assignment in the function F10" severity error;
assert NOT( var1 = F1(S1) and
var2 = F2(S9) and
var3 = F3(S8) and
var4 = F4(S2) and
var5 = F5(S3) and
var6 = F6(S4) and
var7 = F7(S5) and
var8 = F8(S6) and
var9 = F9(S7) and
var48 = F10(S48) )
report "***PASSED TEST: c01s01b01x01p05n02i00745"
severity NOTE;
assert ( var1 = F1(S1) and
var2 = F2(S9) and
var3 = F3(S8) and
var4 = F4(S2) and
var5 = F5(S3) and
var6 = F6(S4) and
var7 = F7(S5) and
var8 = F8(S6) and
var9 = F9(S7) and
var48 = F10(S48) )
report "***FAILED TEST: c01s01b01x01p05n02i00745 - Generic can be used to specify the size of ports."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s01b01x01p05n02i00745arch;
|
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.09:04:40)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY hal_nsga2_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5: IN unsigned(0 TO 30);
output1, output2, output3: OUT unsigned(0 TO 31));
END hal_nsga2_entity;
ARCHITECTURE hal_nsga2_description OF hal_nsga2_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 + 1;
register2 := input2 * 2;
WHEN "00000010" =>
output1 <= register2 + 3;
register2 := input3 * 4;
IF (register1 < 5) THEN
output2 <= register1;
ELSE
output2 <= "0000000000000000000000000000101";
END IF;
register1 := input4 * 6;
WHEN "00000011" =>
register1 := register2 * register1;
WHEN "00000100" =>
register1 := register1 - 8;
register2 := input5 * 9;
WHEN "00000101" =>
register2 := register2 * 11;
WHEN "00000110" =>
output3 <= register1 - register2;
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END hal_nsga2_description; |
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: pciahbmst
-- File: pciahbmst.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Generic AHB master interface
-----------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library gaisler;
use gaisler.pci.all;
entity pciahbmst is
generic (
hindex : integer := 0;
hirq : integer := 0;
venid : integer := VENDOR_GAISLER;
devid : integer := 0;
version : integer := 0;
chprot : integer := 3;
incaddr : integer := 0);
port (
rst : in std_ulogic;
clk : in std_ulogic;
dmai : in pci_ahb_dma_in_type;
dmao : out pci_ahb_dma_out_type;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type
);
end;
architecture rtl of pciahbmst is
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( venid, devid, 0, version, 0),
others => zero32);
type reg_type is record
start : std_ulogic;
retry : std_ulogic;
grant : std_ulogic;
active : std_ulogic;
end record;
signal r, rin : reg_type;
begin
comb : process(ahbi, dmai, rst, r)
variable v : reg_type;
variable ready : std_ulogic;
variable retry : std_ulogic;
variable mexc : std_ulogic;
variable inc : std_logic_vector(3 downto 0); -- address increment
variable haddr : std_logic_vector(31 downto 0); -- AHB address
variable hwdata : std_logic_vector(31 downto 0); -- AHB write data
variable htrans : std_logic_vector(1 downto 0); -- transfer type
variable hwrite : std_ulogic; -- read/write
variable hburst : std_logic_vector(2 downto 0); -- burst type
variable newaddr : std_logic_vector(10 downto 0); -- next sequential address
variable hbusreq : std_ulogic; -- bus request
variable hprot : std_logic_vector(3 downto 0); -- transfer type
variable xhirq : std_logic_vector(NAHBIRQ-1 downto 0);
variable kblimit : std_logic; -- 1 kB limit indicator
begin
v := r; ready := '0'; mexc := '0'; retry := '0'; inc := (others => '0');
hprot := conv_std_logic_vector(chprot, 4); -- non-cached supervisor data
xhirq := (others => '0'); xhirq(hirq) := dmai.irq; kblimit := '0';
haddr := dmai.address; hbusreq := dmai.start; hwdata := dmai.wdata;
newaddr := dmai.address(10 downto 0);
if INCADDR > 0 then
inc(conv_integer(dmai.size)) := '1';
newaddr := haddr(10 downto 0) + inc;
if (newaddr(10) xor haddr(10)) = '1' then kblimit := '1'; end if;
end if;
-- hburst := HBURST_SINGLE;
if dmai.burst = '0' then hburst := HBURST_SINGLE;
else hburst := HBURST_INCR; end if;
if dmai.start = '1' then
-- hburst := HBURST_INCR;
if (r.active and dmai.burst and not r.retry) = '1' then
haddr(9 downto 0) := newaddr(9 downto 0);
if dmai.busy = '1' then htrans := HTRANS_BUSY;
elsif kblimit = '1' then htrans := HTRANS_IDLE;
else htrans := HTRANS_SEQ; end if;
else htrans := HTRANS_NONSEQ; end if;
else htrans := HTRANS_IDLE; end if;
if r.active = '1' then
if ahbi.hready = '1' then
case ahbi.hresp is
when HRESP_OKAY => ready := '1';
when HRESP_RETRY | HRESP_SPLIT=> retry := '1';
when others => ready := '1'; mexc := '1';
end case;
end if;
if ((ahbi.hresp = HRESP_RETRY) or (ahbi.hresp = HRESP_SPLIT)) then
v.retry := not ahbi.hready;
else v.retry := '0'; end if;
end if;
if r.retry = '1' then htrans := HTRANS_IDLE; end if;
v.start := '0';
if ahbi.hready = '1' then
v.grant := ahbi.hgrant(hindex);
if (htrans = HTRANS_NONSEQ) or (htrans = HTRANS_SEQ) or (htrans = HTRANS_BUSY) then
v.active := r.grant; v.start := r.grant;
else
v.active := '0';
end if;
end if;
if rst = '0' then v.retry := '0'; v.active := '0'; end if;
rin <= v;
ahbo.haddr <= haddr;
ahbo.htrans <= htrans;
ahbo.hbusreq <= hbusreq;
ahbo.hwdata <= ahbdrivedata(dmai.wdata);
ahbo.hconfig <= hconfig;
ahbo.hlock <= '0';
ahbo.hwrite <= dmai.write;
ahbo.hsize <= '0' & dmai.size;
ahbo.hburst <= hburst;
ahbo.hprot <= hprot;
ahbo.hirq <= xhirq;
ahbo.hindex <= hindex;
dmao.start <= r.start;
dmao.active <= r.active;
dmao.ready <= ready;
dmao.mexc <= mexc;
dmao.retry <= retry;
dmao.haddr <= newaddr(9 downto 0);
dmao.rdata <= ahbreadword(ahbi.hrdata);
end process;
regs : process(clk)
begin if rising_edge(clk) then r <= rin; end if; end process;
end;
|
-- EthernetExample
-- Brent Erickson
-- Top level system file
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.vital_primitives.all;
use work.DE2_CONSTANTS.all;
entity EthernetExample is
port (
-- Reset and Clock
KEY : in std_logic_vector (0 downto 0);
CLOCK_50 : in std_logic;
CLOCK_27 : in std_logic;
-- SDRAM On Board
DRAM_ADDR : out DE2_SDRAM_ADDR_BUS;
DRAM_BA_0 : out std_logic;
DRAM_BA_1 : out std_logic;
DRAM_CAS_N : out std_logic;
DRAM_CKE : out std_logic;
DRAM_CLK : out std_logic;
DRAM_CS_N : out std_logic;
DRAM_DQ : inout DE2_SDRAM_DATA_BUS;
DRAM_LDQM : out std_logic;
DRAM_UDQM : out std_logic;
DRAM_RAS_N : out std_logic;
DRAM_WE_N : out std_logic;
-- Ethernet
ENET_CLK : out std_logic;
ENET_CMD : out std_logic;
ENET_CS_N : out std_logic;
ENET_INT : in std_logic;
ENET_RD_N : out std_logic;
ENET_WR_N : out std_logic;
ENET_RST_N : out std_logic;
ENET_DATA : inout std_logic_vector(15 downto 0);
-- Flash memory
FL_ADDR : out std_logic_vector (21 downto 0);
FL_CE_N : out std_logic_vector (0 downto 0);
FL_OE_N : out std_logic_vector (0 downto 0);
FL_DQ : inout std_logic_vector (7 downto 0);
FL_RST_N : out std_logic_vector (0 downto 0);
FL_WE_N : out std_logic_vector (0 downto 0)
);
end EthernetExample;
architecture structure of EthernetExample is
component nios_system is
port (
clk_clk : in std_logic := 'X'; -- clk
reset_reset_n : in std_logic := 'X'; -- reset_n -- export
altpll_0_c0_clk : out std_logic; -- clk
altpll_0_c2_clk : out std_logic; -- clk
sdram_0_wire_addr : out std_logic_vector(11 downto 0); -- addr
sdram_0_wire_ba : out std_logic_vector(1 downto 0); -- ba
sdram_0_wire_cas_n : out std_logic; -- cas_n
sdram_0_wire_cke : out std_logic; -- cke
sdram_0_wire_cs_n : out std_logic; -- cs_n
sdram_0_wire_dq : inout std_logic_vector(15 downto 0) := (others => 'X'); -- dq
sdram_0_wire_dqm : out std_logic_vector(1 downto 0); -- dqm
sdram_0_wire_ras_n : out std_logic; -- ras_n
sdram_0_wire_we_n : out std_logic; -- we_n
dm9000a_if_0_s1_export_DATA : inout std_logic_vector(15 downto 0) := (others => 'X'); -- DATA
dm9000a_if_0_s1_export_CMD : out std_logic; -- CMD
dm9000a_if_0_s1_export_RD_N : out std_logic; -- RD_N
dm9000a_if_0_s1_export_WR_N : out std_logic; -- WR_N
dm9000a_if_0_s1_export_CS_N : out std_logic; -- CS_N
dm9000a_if_0_s1_export_RST_N : out std_logic; -- RST_N
dm9000a_if_0_s1_export_INT : in std_logic := 'X'; -- INT
dm9000a_if_0_s1_export_CLK : out std_logic;
tristate_conduit_bridge_0_out_generic_tristate_controller_0_tcm_read_n_out : out std_logic_vector(0 downto 0); -- generic_tristate_controller_0_tcm_read_n_out
tristate_conduit_bridge_0_out_generic_tristate_controller_0_tcm_data_out : inout std_logic_vector(7 downto 0) := (others => 'X'); -- generic_tristate_controller_0_tcm_data_out
tristate_conduit_bridge_0_out_generic_tristate_controller_0_tcm_chipselect_n_out : out std_logic_vector(0 downto 0); -- generic_tristate_controller_0_tcm_chipselect_n_out
tristate_conduit_bridge_0_out_generic_tristate_controller_0_tcm_write_n_out : out std_logic_vector(0 downto 0); -- generic_tristate_controller_0_tcm_write_n_out
tristate_conduit_bridge_0_out_generic_tristate_controller_0_tcm_address_out : out std_logic_vector(21 downto 0) -- generic_tristate_controller_0_tcm_address_out
);
end component nios_system;
-- signals to match provided IP core to specific SDRAM chip of our system
signal BA : std_logic_vector (1 downto 0);
signal DQM : std_logic_vector (1 downto 0);
begin
DRAM_BA_1 <= BA(1);
DRAM_BA_0 <= BA(0);
DRAM_UDQM <= DQM(1);
DRAM_LDQM <= DQM(0);
FL_RST_N <= "1";
u0 : component nios_system
port map (
reset_reset_n => KEY(0), -- reset.reset_n
altpll_0_c0_clk => DRAM_CLK, -- altpll_0_c0.clk
altpll_0_c2_clk => ENET_CLK, -- altpll_0_c2.clk
sdram_0_wire_addr => DRAM_ADDR, -- sdram_0_wire.addr
sdram_0_wire_ba => BA, -- .ba
sdram_0_wire_cas_n => DRAM_CAS_N, -- .cas_n
sdram_0_wire_cke => DRAM_CKE, -- .cke
sdram_0_wire_cs_n => DRAM_CS_N, -- .cs_n
sdram_0_wire_dq => DRAM_DQ, -- .dq
sdram_0_wire_dqm => DQM, -- .dqm
sdram_0_wire_ras_n => DRAM_RAS_N, -- .ras_n
sdram_0_wire_we_n => DRAM_WE_N, -- .we_n
clk_clk => CLOCK_50, -- clk.clk
dm9000a_if_0_s1_export_DATA => ENET_DATA, -- dm9000a_if_0_s1_export.DATA
dm9000a_if_0_s1_export_CMD => ENET_CMD, -- .CMD
dm9000a_if_0_s1_export_RD_N => ENET_RD_N, -- .RD_N
dm9000a_if_0_s1_export_WR_N => ENET_WR_N, -- .WR_N
dm9000a_if_0_s1_export_CS_N => ENET_CS_N, -- .CS_N
dm9000a_if_0_s1_export_RST_N => ENET_RST_N, -- .RST_N
dm9000a_if_0_s1_export_INT => ENET_INT, -- .INT
--dm9000a_if_0_s1_export_CLK => ENET_CLK, -- .CLK
tristate_conduit_bridge_0_out_generic_tristate_controller_0_tcm_read_n_out => FL_OE_N, -- tristate_conduit_bridge_0_out.generic_tristate_controller_0_tcm_read_n_out
tristate_conduit_bridge_0_out_generic_tristate_controller_0_tcm_data_out => FL_DQ, -- .generic_tristate_controller_0_tcm_data_out
tristate_conduit_bridge_0_out_generic_tristate_controller_0_tcm_chipselect_n_out => FL_CE_N, -- .generic_tristate_controller_0_tcm_chipselect_n_out
tristate_conduit_bridge_0_out_generic_tristate_controller_0_tcm_write_n_out => FL_WE_N, -- .generic_tristate_controller_0_tcm_write_n_out
tristate_conduit_bridge_0_out_generic_tristate_controller_0_tcm_address_out => FL_ADDR -- .generic_tristate_controller_0_tcm_address_out
);
end structure;
library ieee;
--DE2 Constants
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.vital_primitives.all;
package DE2_CONSTANTS is
subtype DE2_LCD_DATA_BUS is std_logic_vector(7 downto 0);
subtype DE2_LED_GREEN is std_logic_vector(7 downto 0);
subtype DE2_SRAM_ADDR_BUS is std_logic_vector(17 downto 0);
subtype DE2_SRAM_DATA_BUS is std_logic_vector(15 downto 0);
subtype DE2_SDRAM_ADDR_BUS is std_logic_vector(11 downto 0);
subtype DE2_SDRAM_DATA_BUS is std_logic_vector(15 downto 0);
end DE2_CONSTANTS;
|
-----------------------------------------------------------------------------------------
-- --
-- This file is part of the CAPH Compiler distribution --
-- http://caph.univ-bpclermont.fr --
-- --
-- Jocelyn SEROT, Francois BERRY --
-- {Jocelyn.Serot,Francois.Berry}@univ-bpclermont.fr --
-- --
-- Copyright 2011-2015 Jocelyn SEROT. All rights reserved. --
-- This file is distributed under the terms of the GNU Library General Public License --
-- with the special exception on linking described in file ../LICENSE. --
-- --
-----------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity fifo_fb is
generic
(
depth : integer := 8; -- FIFO depth (number of cells)
size : integer := 8; -- FIFO width (size in bits of each cell)
threshold : integer := 32 -- Threshold for switching from a "small" (LE-based) to a "big" (RAM-based) implementation
);
port (
full : out std_logic;
datain : in std_logic_vector (size-1 downto 0);
enw : in std_logic;
empty : out std_logic;
dataout : out std_logic_vector(size-1 downto 0);
enr : in std_logic;
clk : in std_logic;
rst: in std_logic
);
end fifo_fb;
architecture archi of fifo_fb is
constant ad_Max : integer range 0 to depth-1:= depth-1;
constant ad_Min : integer range 0 to depth-1:= 0;
type fifo_length is array ( 0 to depth-1) of std_logic_vector((size-1) downto 0);
signal tmp: fifo_length ;
signal address: integer range 0 to depth-1 := ad_Max;
signal we_a,enr_c,enw_c:std_logic;
signal readaddr : natural range 0 to depth-1;
signal writeaddr : natural range 0 to depth-1;
signal cnt, cnt_c : integer range 0 to depth-1:=0;
signal inputD,outputD,inputR,outputR: STD_LOGIC_VECTOR (size-1 DOWNTO 0);
component single_clock_ram is
generic ( depth: integer := 10; size: integer := 10);
PORT (
clock: IN STD_LOGIC;
data: IN STD_LOGIC_VECTOR (size-1 DOWNTO 0);
write_address: IN INTEGER RANGE 0 to depth-1;
read_address: IN INTEGER RANGE 0 to depth-1;
we: IN STD_LOGIC;
q: OUT STD_LOGIC_VECTOR (size-1 DOWNTO 0)
);
end component;
begin
SMALL_FIFO: if depth<threshold generate
shift_reg: process (clk) -- shift register
begin
if (clk'event and clk='1' ) then
if (enr='1' and enw='0') then -- read
for i in 0 to ad_Max-1 loop
tmp(i+1) <= tmp(i);
end loop;
end if;
if (enw='1' and enr='1') then -- read & write
if (address = ad_Max) then -- Tester cette condition .... !!
tmp(address)<=datain; -- J'ai envelevé le -1 ici
else
for i in 0 to ad_Max-1 loop
tmp(i+1) <= tmp(i);
end loop;
tmp(address+1)<=datain; -- j'ai rajouté +1
end if;
end if;
if (enw='1' and enr='0') then -- write
tmp(address)<=datain;
end if;
end if;
end process shift_reg;
counter : process(clk, rst) -- write address computation
begin
if ( rst='0' ) then
address <= ad_Max;
elsif (clk='1' and clk'event) then
if (enr = '1' and enw='0' and address < ad_Max) then -- read
-- Read a new data in FIFO when is not empty
-- Read a new data in FIFO and Write simultaneously => No increment
-- that's why wr='0'
address <= address + 1;
end if;
if (enw = '1' and enr='0' and address > ad_Min) then -- write
-- Write a new data in FIFO when is not full
-- Read a new data in FIFO and Write simultaneously => No increment
-- that's why rd='0'
address <= address - 1;
end if;
if (enw = '1' and enr='1' and address= ad_Max) then -- read & write
address <= address;
end if;
end if;
end process counter;
flags : process(address,enw,enr) -- empty/full flag generation
begin
if ( address > ad_Max-1 ) then
-- if ( enr='1' and address > (ad_Max-2) ) then
empty<= '1';
else
empty <='0';
end if;
if ( address < ad_Min+1 ) then
-- if (enw = '1' and address < (ad_Min+2) ) then
full<= '1';
else
full <='0';
end if;
end process flags;
dataout <= tmp(depth-1);
end generate;
BIG_FIFO: if depth>=threshold generate
MEM :single_clock_ram generic map (depth,size) port map (clk,inputR,writeaddr, readaddr, enw, outputR );
process(clk)
begin
if ( clk'event and clk='1' ) then
enw_c<=enw;
enr_c<=enr;
cnt_c<=cnt;
end if;
end process ;
MUX: process(datain, outputD,outputR,enw_c,enr_c,cnt_c)
-- Bypass when the FIFO is empty and we write and read simulaneoulsy
begin
if (cnt_c=0 and enr_c='1' and enw_c='1') then
inputD<= datain;
inputR<= (others => 'X');
dataout<= outputD;
else
inputR<= datain;
inputD<= (others => 'X');
dataout<= outputR;
end if;
end process MUX;
flags: process(cnt)
begin
if ( cnt = 0 ) then empty <= '1'; else empty <='0'; end if;
if ( cnt = depth ) then full<= '1'; else full <='0';end if;
end process flags;
process(clk,rst)
begin
if ( rst='0' ) then
readaddr <= 0;
writeaddr <= 0;
cnt <= 0;
elsif ( clk'event and clk='1' ) then
outputD<= inputD;
if ( enr = '1' ) then -- Read
if ( readaddr = depth-1 ) then
readaddr <= 0; -- circular buffer
else
readaddr <= readaddr + 1;
end if;
end if;
if ( enw = '1' and cnt < depth ) then -- Write
if ( writeaddr = depth-1 ) then
writeaddr <= 0; -- circular buffer
else
writeaddr <= writeaddr + 1;
end if;
end if;
if ( enw = '1' and enr = '0' and cnt < depth ) then
cnt <= cnt + 1;
elsif ( enw = '0' and enr = '1' and cnt > 0) then
cnt <= cnt - 1;
end if;
end if;
end process;
end generate;
end archi;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
n5iTI8N6270jk7ucLs/M4AHOkhsD2r15277vF0EVH6cBiOqepi1q2yT1SMXvdJU4zzBlaQGi7W+x
u7bCkLJ5Xw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FhYVk8051Hc30J8Dirz3Pyox9SO3qz4j//CP6I7X+W8iAUOnkEgnWMsmsXsFuaj5BeCm5iziuqYS
P/399nOAZsk73YE0IENVjN4hP/tVPZcPBB98VkJf0RteL5WwXGGi+qKFtP4/srWScH2NiFjTlwk0
bhGE8O0GVY5tHHMsywE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
paSMYPE5vSwnpPXSEB04SPadaiKcM0uXJA1oTmjlMNMzH13JAK+XAEcXsDkD3HOQjwOybn674SmE
bvU01yE467/nkjdvcJkLpia8SreIOMevgElh/xYIdCnxGpaKskoi7sTfbgtc75tfhoxyHU55/e1O
FF0BsEpTeev6QIkKiMK2/svJAjxR42f0jhKk/M4WANJ5iLixFqegzNXU2PluCpF5IBxCliQhJbsM
R2H4a9+hdSZZwoXuW9aN65m/sFvmqblLPGX6EHPuuNuUpdc4MRzH5W6nfja11Fipm5lWQtmOP6zz
60jyQEBx3lQiZIZarHm+Qn2ksmLFMVFYFDqejA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YAu8GPUwK/1stwaaYmMbVxwpGrFOM4pkUGhUSZbucp28uCiyOHTg02dxTifCsYigV+djN4dlfCEo
Etjs/+4axNYqB4gST590SPHDyoZuupxwWckMgK305rSRp08hihM/uKgdZBpY9Y5d1dYKq3hzczj1
WBtI0fEEslIsiKEQ2Dg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BtXOZzJPy0ujAPqyNMk1dJ51C9/eQY38B+hS8LYcVQ0uqGX+KTmVg6xIplKzlOG2ZblOzjix7lpY
p5aFzQcJW6rThPxjzTGqqFuCDXMZVMSi027p/Ax1yh9wYuTNy5fFrAmClXDhaY2dIuT92f5XkV0L
WoqyybaL0SJRSDMrttCrGd8t93jw9GNilHH3B9/2Ip2OJeXZRMRYqc4fCo3G5KfNJP5FDv3nfvcE
LwXn+GYiPGBCdE8BAQSlR0ZywLIvevP6WEx23/XoPS+6Z/JejgTZ4BJN0aIuoJenQWRP3ljAAkT+
TMeOHEJS6lahk/snY5RKbjLv0RqmC74Ce+pU6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 95664)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
n5iTI8N6270jk7ucLs/M4AHOkhsD2r15277vF0EVH6cBiOqepi1q2yT1SMXvdJU4zzBlaQGi7W+x
u7bCkLJ5Xw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FhYVk8051Hc30J8Dirz3Pyox9SO3qz4j//CP6I7X+W8iAUOnkEgnWMsmsXsFuaj5BeCm5iziuqYS
P/399nOAZsk73YE0IENVjN4hP/tVPZcPBB98VkJf0RteL5WwXGGi+qKFtP4/srWScH2NiFjTlwk0
bhGE8O0GVY5tHHMsywE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
paSMYPE5vSwnpPXSEB04SPadaiKcM0uXJA1oTmjlMNMzH13JAK+XAEcXsDkD3HOQjwOybn674SmE
bvU01yE467/nkjdvcJkLpia8SreIOMevgElh/xYIdCnxGpaKskoi7sTfbgtc75tfhoxyHU55/e1O
FF0BsEpTeev6QIkKiMK2/svJAjxR42f0jhKk/M4WANJ5iLixFqegzNXU2PluCpF5IBxCliQhJbsM
R2H4a9+hdSZZwoXuW9aN65m/sFvmqblLPGX6EHPuuNuUpdc4MRzH5W6nfja11Fipm5lWQtmOP6zz
60jyQEBx3lQiZIZarHm+Qn2ksmLFMVFYFDqejA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YAu8GPUwK/1stwaaYmMbVxwpGrFOM4pkUGhUSZbucp28uCiyOHTg02dxTifCsYigV+djN4dlfCEo
Etjs/+4axNYqB4gST590SPHDyoZuupxwWckMgK305rSRp08hihM/uKgdZBpY9Y5d1dYKq3hzczj1
WBtI0fEEslIsiKEQ2Dg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BtXOZzJPy0ujAPqyNMk1dJ51C9/eQY38B+hS8LYcVQ0uqGX+KTmVg6xIplKzlOG2ZblOzjix7lpY
p5aFzQcJW6rThPxjzTGqqFuCDXMZVMSi027p/Ax1yh9wYuTNy5fFrAmClXDhaY2dIuT92f5XkV0L
WoqyybaL0SJRSDMrttCrGd8t93jw9GNilHH3B9/2Ip2OJeXZRMRYqc4fCo3G5KfNJP5FDv3nfvcE
LwXn+GYiPGBCdE8BAQSlR0ZywLIvevP6WEx23/XoPS+6Z/JejgTZ4BJN0aIuoJenQWRP3ljAAkT+
TMeOHEJS6lahk/snY5RKbjLv0RqmC74Ce+pU6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 95664)
`protect data_block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`protect end_protected
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer: John Dobson
--
-- Create Date: 10/08/2013 08:05:27 PM
-- Design Name:
-- Module Name: Nexys3_Display - Behavioral
-- Project Name:
-- Target Devices: Nexys3 Spartan-6, Alterra DE0-Nano
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Nexys4_Display is
Port (
Hex_IN : in STD_LOGIC_VECTOR (15 downto 0);
iCLK : in STD_LOGIC;
An_OUT : out STD_LOGIC_VECTOR (7 downto 0);
SevSeg_OUT : out STD_LOGIC_VECTOR (7 downto 0));
end Nexys4_Display;
architecture Behavioral of Nexys4_Display is
signal DIV : unsigned(15 DOWNTO 0) :=X"0000";
--Signals for StateMachine:
type stateType is (A, B, C, D);
Signal Q : std_logic_vector(3 downto 0);
Signal Y : std_logic_vector(1 downto 0);
signal CS, NS : stateType;
--Signals for Splitter:
signal X : std_logic_vector (3 downto 0);
signal clk_en: std_logic;
signal An_OFF : std_logic_vector(3 downto 0);
BEGIN
StateMachine:
--code pulled from Ring_Counter.vhd
process(iCLK)
begin
if rising_edge(iCLK) then
if DIV >= X"31" then
DIV <= X"0000";
clk_en <= '1';
else
DIV <= DIV +1;
clk_en <= '0';
end if;
end if;
end process;
Process(iCLK, clk_en)
Begin
if rising_edge(iCLK) and clk_en = '1' then
CS <= NS;
end if;
end process;
process (CS)
begin
Y <= "00";
case CS is
when A =>
Y <= "00";
Q <= "1110";
NS <= B;
when B =>
Y <= "01";
Q <= "1101";
NS <= C;
when C =>
Y <= "10";
Q <= "1011";
NS <= D;
when D =>
Y <= "11";
Q <= "0111";
NS <= A;
end case;
end process;
An_OFF <= "1111";
An_OUT <= An_OFF & Q;
HexSplitter:
Process(Hex_IN, Y)
begin
case Y is
when "00" =>
X <= Hex_IN(3 downto 0);
when "01" =>
X <= Hex_IN(7 downto 4);
when "10" =>
X <= Hex_IN(11 downto 8);
when "11" =>
X <= Hex_IN(15 downto 12);
when others => X <= "1111";
end case;
end process;
HexToSevenSeg:
Process(X)
begin
case X is
when "0000" => SevSeg_OUT <= "11000000"; -- 0
when "0001" => SevSeg_OUT <= "11111001"; -- 1
when "0010" => SevSeg_OUT <= "10100100"; -- 2
when "0011" => SevSeg_OUT <= "10110000"; -- 3
when "0100" => SevSeg_OUT <= "10011001"; -- 4
when "0101" => SevSeg_OUT <= "10010010"; -- 5
when "0110" => SevSeg_OUT <= "10000010"; -- 6
when "0111" => SevSeg_OUT <= "11111000"; -- 7
when "1000" => SevSeg_OUT <= "10000000"; -- 8
when "1001" => SevSeg_OUT <= "10011000"; -- 9
when "1010" => SevSeg_OUT <= "10001000"; -- A
when "1011" => SevSeg_OUT <= "10000011"; -- b
when "1100" => SevSeg_OUT <= "11000110"; -- C
when "1101" => SevSeg_OUT <= "10100001"; -- d
when "1110" => SevSeg_OUT <= "10000110"; -- E
when "1111" => SevSeg_OUT <= "10001110"; -- F
when others => SevSeg_OUT <= "11111111";
end case;
end process;
end Behavioral;
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity FIFO is
generic (
DATA_WIDTH: integer := 32
);
port ( reset: in std_logic;
clk: in std_logic;
DRTS: in std_logic;
read_en_N : in std_logic;
read_en_E : in std_logic;
read_en_W : in std_logic;
read_en_S : in std_logic;
read_en_L : in std_logic;
CTS: out std_logic;
empty_out: out std_logic;
read_pointer_out, write_pointer_out: out std_logic_vector(3 downto 0);
write_en_out :out std_logic;
-- fault injector signals
shift: in std_logic;
fault_clk: in std_logic;
data_in_serial: in std_logic;
data_out_serial: out std_logic;
-- Checker outputs
err_write_en_write_pointer,
err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty,
err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full,
err_read_pointer_write_pointer_full,
err_read_pointer_increment,
err_read_pointer_not_increment,
--err_CTS_in,
err_write_en,
err_not_CTS_in,
--err_not_write_en,
err_read_en_mismatch : out std_logic
);
end FIFO;
architecture behavior of FIFO is
signal read_pointer, read_pointer_in, write_pointer, write_pointer_in: std_logic_vector(3 downto 0);
signal full, empty: std_logic;
signal read_en, write_en: std_logic;
signal CTS_in, CTS_out: std_logic;
signal DRTS_faulty, read_en_N_faulty, read_en_E_faulty, read_en_W_faulty, read_en_S_faulty, read_en_L_faulty: std_logic;
signal CTS_out_faulty, CTS_in_faulty: std_logic;
signal read_pointer_faulty, read_pointer_in_faulty, write_pointer_faulty, write_pointer_in_faulty: std_logic_vector (3 downto 0);
signal empty_faulty, full_faulty, read_en_faulty, write_en_faulty: std_logic;
component FIFO_control_part_checkers is
port ( DRTS: in std_logic;
CTS_out: in std_logic;
CTS_in: in std_logic;
read_en_N : in std_logic;
read_en_E : in std_logic;
read_en_W : in std_logic;
read_en_S : in std_logic;
read_en_L : in std_logic;
read_pointer: in std_logic_vector(3 downto 0);
read_pointer_in: in std_logic_vector(3 downto 0);
write_pointer: in std_logic_vector(3 downto 0);
write_pointer_in: in std_logic_vector(3 downto 0);
empty_out: in std_logic;
full_out: in std_logic;
read_en_out: in std_logic;
write_en_out: in std_logic;
-- Checker outputs
err_write_en_write_pointer,
err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty,
err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full,
err_read_pointer_write_pointer_full,
err_read_pointer_increment,
err_read_pointer_not_increment,
--err_CTS_in,
err_write_en,
err_not_CTS_in,
--err_not_write_en,
err_read_en_mismatch : out std_logic
);
end component;
component fault_injector is
generic(DATA_WIDTH : integer := 32;
ADDRESS_WIDTH : integer := 5);
port(
data_in: in std_logic_vector (DATA_WIDTH-1 downto 0);
address: in std_logic_vector(ADDRESS_WIDTH-1 downto 0);
sta_0: in std_logic;
sta_1: in std_logic;
data_out: out std_logic_vector (DATA_WIDTH-1 downto 0)
);
end component;
component shift_register_serial_in is
generic (
REG_WIDTH: integer := 35
);
port (
clk, reset : in std_logic;
shift: in std_logic;
data_in_serial: in std_logic;
data_out_parallel: out std_logic_vector(REG_WIDTH-1 downto 0);
data_out_serial: out std_logic
);
end component;
signal FI_add_sta: std_logic_vector(34 downto 0); -- 28 bits for inputs and internal signals
-- 5 bits for fault injection location address (ceil of log2(36) = 6)
-- 2 bits for type of fault (SA0 or SA1)
signal non_faulty_signals: std_logic_vector (27 downto 0);
signal faulty_signals: std_logic_vector(27 downto 0); -- 28 bits for inputs and internal signals (with one fault injected in one of them)
begin
non_faulty_signals <= DRTS & read_en_N & read_en_E & read_en_W & read_en_S & read_en_L &
CTS_out & CTS_in & read_pointer & read_pointer_in & write_pointer & write_pointer_in &
empty & full & read_en & write_en;
FI: fault_injector generic map(DATA_WIDTH => 28, ADDRESS_WIDTH => 5)
port map (data_in=> non_faulty_signals , address=> FI_add_sta(6 downto 2), sta_0=> FI_add_sta(1), sta_1=> FI_add_sta(0), data_out=> faulty_signals
);
-- Extracting faulty values for input, internal and output signals
DRTS_faulty <= faulty_signals(27);
read_en_N_faulty <= faulty_signals(26);
read_en_E_faulty <= faulty_signals(25);
read_en_W_faulty <= faulty_signals(24);
read_en_S_faulty <= faulty_signals(23);
read_en_L_faulty <= faulty_signals(22);
CTS_out_faulty <= faulty_signals(21);
CTS_in_faulty <= faulty_signals(20);
read_pointer_faulty <= faulty_signals(19 downto 16);
read_pointer_in_faulty <= faulty_signals(15 downto 12);
write_pointer_faulty <= faulty_signals(11 downto 8);
write_pointer_in_faulty <= faulty_signals(7 downto 4);
empty_faulty <= faulty_signals(3);
full_faulty <= faulty_signals(2);
read_en_faulty <= faulty_signals(1);
write_en_faulty <= faulty_signals(0);
SR: shift_register_serial_in generic map(REG_WIDTH => 35)
port map( clk=> fault_clk, reset=>reset, shift=> shift,data_in_serial=> data_in_serial,
data_out_parallel=> FI_add_sta, data_out_serial=> data_out_serial
);
-- FIFO Control Part checkers instantiation
FIFOCONTROLPARTCHECKERS: FIFO_control_part_checkers port map (
DRTS => DRTS,
CTS_out => CTS_out, CTS_in => CTS_in,
read_en_N => read_en_N, read_en_E => read_en_E, read_en_W => read_en_W, read_en_S => read_en_S, read_en_L => read_en_L,
read_pointer => read_pointer, read_pointer_in => read_pointer_in,
write_pointer => write_pointer, write_pointer_in => write_pointer_in,
empty_out => empty, full_out => full,
read_en_out => read_en, write_en_out => write_en,
err_write_en_write_pointer => err_write_en_write_pointer,
err_not_write_en_write_pointer => err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty => err_read_pointer_write_pointer_not_empty,
err_read_pointer_write_pointer_empty => err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full => err_read_pointer_write_pointer_not_full,
err_read_pointer_write_pointer_full => err_read_pointer_write_pointer_full,
err_read_pointer_increment => err_read_pointer_increment,
err_read_pointer_not_increment => err_read_pointer_not_increment,
err_write_en => err_write_en,
err_not_CTS_in => err_not_CTS_in,
err_read_en_mismatch => err_read_en_mismatch
);
process (clk, reset)begin
if reset = '0' then
read_pointer <= "0001";
write_pointer <= "0001";
CTS_out<='0';
elsif clk'event and clk = '1' then
write_pointer <= write_pointer_in_faulty;
read_pointer <= read_pointer_in_faulty;
CTS_out<=CTS_in_faulty;
end if;
end process;
-- anything below here is pure combinational
-- combinatorial part
write_pointer_out <= write_pointer;
read_pointer_out <= read_pointer;
write_en_out <= write_en;
read_en <= (read_en_N_faulty or read_en_E_faulty or read_en_W_faulty or read_en_S_faulty or read_en_L_faulty) and not empty_faulty;
empty_out <= empty;
CTS <= CTS_out;
process(write_en_faulty, write_pointer_faulty)begin
if write_en_faulty = '1'then
write_pointer_in <= write_pointer_faulty(2 downto 0)&write_pointer_faulty(3);
else
write_pointer_in <= write_pointer_faulty;
end if;
end process;
process(read_en_faulty, empty_faulty, read_pointer_faulty) begin
if (read_en_faulty = '1' and empty_faulty = '0') then
read_pointer_in <= read_pointer_faulty(2 downto 0)&read_pointer_faulty(3);
else
read_pointer_in <= read_pointer_faulty;
end if;
end process;
process(full_faulty, DRTS_faulty, CTS_out_faulty) begin
if CTS_out_faulty = '0' and DRTS_faulty = '1' and full_faulty ='0' then
CTS_in <= '1';
write_en <= '1';
else
CTS_in <= '0';
write_en <= '0';
end if;
end process;
process(write_pointer_faulty, read_pointer_faulty) begin
if read_pointer_faulty = write_pointer_faulty then
empty <= '1';
else
empty <= '0';
end if;
-- if write_pointer = read_pointer>>1 then
if write_pointer_faulty = read_pointer_faulty(0)&read_pointer_faulty(3 downto 1) then
full <= '1';
else
full <= '0';
end if;
end process;
end;
|
-- -------------------------------------------------------------
--
-- File Name: hdlsrc\Instruction_ROM.vhd
-- Created: 2014-03-05 16:19:14
--
-- Generated by MATLAB 7.12 and Simulink HDL Coder 2.1
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: Instruction_ROM
-- Source Path: hdlcodercpu_eml/CPU_Subsystem_8_bit/Instruction ROM
-- Hierarchy Level: 1
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
USE work.CPU_Subsystem_8_bit_pkg.ALL;
ENTITY Instruction_ROM IS
PORT( clk : IN std_logic;
reset : IN std_logic;
enb : IN std_logic;
addr : IN std_logic_vector(7 DOWNTO 0); -- uint8
read : IN std_logic; -- ufix1
instr_out : OUT std_logic_vector(11 DOWNTO 0) -- ufix12
);
END Instruction_ROM;
ARCHITECTURE rtl OF Instruction_ROM IS
-- Signals
SIGNAL addr_unsigned : unsigned(7 DOWNTO 0); -- uint8
SIGNAL instr_out_tmp : unsigned(11 DOWNTO 0); -- ufix12
SIGNAL data : vector_of_unsigned12(0 TO 255); -- ufix12 [256]
SIGNAL data_next : vector_of_unsigned12(0 TO 255); -- ufix12 [256]
BEGIN
addr_unsigned <= unsigned(addr);
Instruction_ROM_1_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
data <= (OTHERS => to_unsigned(0, 12));
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
data <= data_next;
END IF;
END IF;
END PROCESS Instruction_ROM_1_process;
Instruction_ROM_1_output : PROCESS (addr_unsigned, read, data)
VARIABLE data_temp : vector_of_unsigned12(0 TO 255);
VARIABLE add_temp : unsigned(8 DOWNTO 0);
VARIABLE sub_cast : unsigned(7 DOWNTO 0);
VARIABLE sub_cast_0 : signed(31 DOWNTO 0);
BEGIN
data_temp := data;
--MATLAB Function 'CPU_Subsystem_8_bit/Instruction ROM': '<S7>:1'
--% Instruction Memory
-- read == 1 => read from IM
-- HDL specific fimath
-- ASSEMBLED CODE from sort1.in
--'<S7>:1:21'
data_temp(0) := to_unsigned(2314, 12);
-- LI 10
--'<S7>:1:22'
data_temp(1) := to_unsigned(2560, 12);
-- STA 0
--'<S7>:1:23'
data_temp(2) := to_unsigned(2316, 12);
-- LI 12
--'<S7>:1:24'
data_temp(3) := to_unsigned(2561, 12);
-- STA 1
--'<S7>:1:25'
data_temp(4) := to_unsigned(2322, 12);
-- LI 18
--'<S7>:1:26'
data_temp(5) := to_unsigned(2572, 12);
-- STA 12
--'<S7>:1:27'
data_temp(6) := to_unsigned(2310, 12);
-- LI 6
--'<S7>:1:28'
data_temp(7) := to_unsigned(2573, 12);
-- STA 13
--'<S7>:1:29'
data_temp(8) := to_unsigned(2323, 12);
-- LI 19
--'<S7>:1:30'
data_temp(9) := to_unsigned(2574, 12);
-- STA 14
--'<S7>:1:31'
data_temp(10) := to_unsigned(2555, 12);
-- LI 251
--'<S7>:1:32'
data_temp(11) := to_unsigned(2575, 12);
-- STA 15
--'<S7>:1:33'
data_temp(12) := to_unsigned(2319, 12);
-- LI 15
--'<S7>:1:34'
data_temp(13) := to_unsigned(2576, 12);
-- STA 16
--'<S7>:1:35'
data_temp(14) := to_unsigned(2558, 12);
-- LI 254
--'<S7>:1:36'
data_temp(15) := to_unsigned(2577, 12);
-- STA 17
--'<S7>:1:37'
data_temp(16) := to_unsigned(2306, 12);
-- LI 2
--'<S7>:1:38'
data_temp(17) := to_unsigned(2578, 12);
-- STA 18
--'<S7>:1:39'
data_temp(18) := to_unsigned(2315, 12);
-- LI 11
--'<S7>:1:40'
data_temp(19) := to_unsigned(2579, 12);
-- STA 19
--'<S7>:1:41'
data_temp(20) := to_unsigned(2324, 12);
-- LI 20
--'<S7>:1:42'
data_temp(21) := to_unsigned(2580, 12);
-- STA 20
--'<S7>:1:43'
data_temp(22) := to_unsigned(2314, 12);
-- LI 10
--'<S7>:1:44'
data_temp(23) := to_unsigned(2581, 12);
-- STA 21
--'<S7>:1:45'
data_temp(24) := to_unsigned(2305, 12);
-- LI 1
--'<S7>:1:46'
data_temp(25) := to_unsigned(2562, 12);
-- STA 2
--'<S7>:1:47'
data_temp(26) := to_unsigned(2305, 12);
-- LI 1
--'<S7>:1:48'
data_temp(27) := to_unsigned(2563, 12);
-- STA 3
--'<S7>:1:49'
data_temp(28) := to_unsigned(2305, 12);
-- LI 1
--'<S7>:1:50'
data_temp(29) := to_unsigned(2564, 12);
-- STA 4
--'<S7>:1:51'
data_temp(30) := to_unsigned(2, 12);
-- LDA 2
--'<S7>:1:52'
data_temp(31) := to_unsigned(3704, 12);
-- CLC
--'<S7>:1:53'
data_temp(32) := to_unsigned(1540, 12);
-- SUB 4
--'<S7>:1:54'
data_temp(33) := to_unsigned(3704, 12);
-- CLC
--'<S7>:1:55'
data_temp(34) := to_unsigned(1025, 12);
-- ADD 1
--'<S7>:1:56'
data_temp(35) := to_unsigned(2565, 12);
-- STA 5
--'<S7>:1:57'
data_temp(36) := to_unsigned(261, 12);
-- LDAI 5
--'<S7>:1:58'
data_temp(37) := to_unsigned(2566, 12);
-- STA 6
--'<S7>:1:59'
data_temp(38) := to_unsigned(2, 12);
-- LDA 2
--'<S7>:1:60'
data_temp(39) := to_unsigned(3704, 12);
-- CLC
--'<S7>:1:61'
data_temp(40) := to_unsigned(1025, 12);
-- ADD 1
--'<S7>:1:62'
data_temp(41) := to_unsigned(2567, 12);
-- STA 7
--'<S7>:1:63'
data_temp(42) := to_unsigned(263, 12);
-- LDAI 7
--'<S7>:1:64'
data_temp(43) := to_unsigned(3704, 12);
-- CLC
--'<S7>:1:65'
data_temp(44) := to_unsigned(1542, 12);
-- SUB 6
--'<S7>:1:66'
data_temp(45) := to_unsigned(3330, 12);
-- BRA_N 2
--'<S7>:1:67'
data_temp(46) := to_unsigned(2055, 12);
-- JMP 7
--'<S7>:1:68'
data_temp(47) := to_unsigned(2304, 12);
-- LI 0
--'<S7>:1:69'
data_temp(48) := to_unsigned(2563, 12);
-- STA 3
--'<S7>:1:70'
data_temp(49) := to_unsigned(263, 12);
-- LDAI 7
--'<S7>:1:71'
data_temp(50) := to_unsigned(2821, 12);
-- STAI 5
--'<S7>:1:72'
data_temp(51) := to_unsigned(6, 12);
-- LDA 6
--'<S7>:1:73'
data_temp(52) := to_unsigned(2823, 12);
-- STAI 7
--'<S7>:1:74'
data_temp(53) := to_unsigned(2305, 12);
-- LI 1
--'<S7>:1:75'
data_temp(54) := to_unsigned(3704, 12);
-- CLC
--'<S7>:1:76'
data_temp(55) := to_unsigned(1026, 12);
-- ADD 2
--'<S7>:1:77'
data_temp(56) := to_unsigned(2562, 12);
-- STA 2
--'<S7>:1:78'
data_temp(57) := to_unsigned(0, 12);
-- LDA 0
--'<S7>:1:79'
data_temp(58) := to_unsigned(3704, 12);
-- CLC
--'<S7>:1:80'
data_temp(59) := to_unsigned(1538, 12);
-- SUB 2
--'<S7>:1:81'
data_temp(60) := to_unsigned(3842, 12);
-- BRA_Z 2
--'<S7>:1:82'
data_temp(61) := to_unsigned(2271, 12);
-- JMP -33
--'<S7>:1:83'
data_temp(62) := to_unsigned(2305, 12);
-- LI 1
--'<S7>:1:84'
data_temp(63) := to_unsigned(2564, 12);
-- STA 4
--'<S7>:1:85'
data_temp(64) := to_unsigned(3, 12);
-- LDA 3
--'<S7>:1:86'
data_temp(65) := to_unsigned(3704, 12);
-- CLC
--'<S7>:1:87'
data_temp(66) := to_unsigned(1540, 12);
-- SUB 4
--'<S7>:1:88'
data_temp(67) := to_unsigned(3842, 12);
-- BRA_Z 2
--'<S7>:1:89'
data_temp(68) := to_unsigned(2260, 12);
-- JMP -44
--'<S7>:1:90'
data_temp(69) := to_unsigned(2304, 12);
-- LI 0
--'<S7>:1:91'
data_temp(70) := to_unsigned(2562, 12);
-- STA 2
--'<S7>:1:92'
data_temp(71) := to_unsigned(257, 12);
-- LDAI 1
--'<S7>:1:93'
data_temp(72) := to_unsigned(2815, 12);
-- STA 255
--'<S7>:1:94'
data_temp(73) := to_unsigned(3696, 12);
-- PRINT
--'<S7>:1:95'
data_temp(74) := to_unsigned(2305, 12);
-- LI 1
--'<S7>:1:96'
data_temp(75) := to_unsigned(3704, 12);
-- CLC
--'<S7>:1:97'
data_temp(76) := to_unsigned(1025, 12);
-- ADD 1
--'<S7>:1:98'
data_temp(77) := to_unsigned(2561, 12);
-- STA 1
--'<S7>:1:99'
data_temp(78) := to_unsigned(2305, 12);
-- LI 1
--'<S7>:1:100'
data_temp(79) := to_unsigned(3704, 12);
-- CLC
--'<S7>:1:101'
data_temp(80) := to_unsigned(1026, 12);
-- ADD 2
--'<S7>:1:102'
data_temp(81) := to_unsigned(2562, 12);
-- STA 2
--'<S7>:1:103'
data_temp(82) := to_unsigned(0, 12);
-- LDA 0
--'<S7>:1:104'
data_temp(83) := to_unsigned(3704, 12);
-- CLC
--'<S7>:1:105'
data_temp(84) := to_unsigned(1538, 12);
-- SUB 2
--'<S7>:1:106'
data_temp(85) := to_unsigned(3842, 12);
-- BRA_Z 2
--'<S7>:1:107'
data_temp(86) := to_unsigned(2289, 12);
-- JMP -15
--'<S7>:1:108'
data_temp(87) := to_unsigned(3648, 12);
-- HLT
-- Loading from memory
IF read = '1' THEN
--'<S7>:1:113'
add_temp := resize(addr_unsigned, 9) + 1;
IF add_temp(8) /= '0' THEN
sub_cast := "11111111";
ELSE
sub_cast := add_temp(7 DOWNTO 0);
END IF;
sub_cast_0 := signed(resize(sub_cast, 32));
instr_out_tmp <= data_temp(to_integer(sub_cast_0 - 1));
ELSE
--'<S7>:1:115'
instr_out_tmp <= to_unsigned(0, 12);
END IF;
data_next <= data_temp;
END PROCESS Instruction_ROM_1_output;
instr_out <= std_logic_vector(instr_out_tmp);
END rtl;
|
package vcomponents is
end;
|
------------------------------------------------------------------------------
-- Title : Wishbone FMC150 ADC interface
------------------------------------------------------------------------------
-- Author : Lucas Maziero Russo
-- Company : CNPEM LNLS-DIG
-- Platform : FPGA-generic
-------------------------------------------------------------------------------
-- Description: Wishbone interface with FMC150 ADC board from 4DSP.
-------------------------------------------------------------------------------
-- Copyright (c) 2012 CNPEM
-- Licensed under GNU Lesser General Public License (LGPL) v3.0
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2012-10-17 1.0 lucas.russo Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
-- Main Wishbone Definitions
use work.wishbone_pkg.all;
-- Custom Wishbone Modules
use work.dbe_wishbone_pkg.all;
-- Wishbone Stream Interface
use work.wb_stream_pkg.all;
-- Register Bank
use work.fmc150_wbgen2_pkg.all;
-- Reset Synch
use work.dbe_common_pkg.all;
entity wb_fmc150 is
generic
(
g_interface_mode : t_wishbone_interface_mode := CLASSIC;
g_address_granularity : t_wishbone_address_granularity := WORD;
g_packet_size : natural := 32;
g_sim : integer := 0
);
port
(
rst_n_i : in std_logic;
clk_sys_i : in std_logic;
--clk_100Mhz_i : in std_logic;
clk_200Mhz_i : in std_logic;
-----------------------------
-- Wishbone signals
-----------------------------
wb_adr_i : in std_logic_vector(c_wishbone_address_width-1 downto 0) := (others => '0');
wb_dat_i : in std_logic_vector(c_wishbone_data_width-1 downto 0) := (others => '0');
wb_dat_o : out std_logic_vector(c_wishbone_data_width-1 downto 0);
wb_sel_i : in std_logic_vector(c_wishbone_data_width/8-1 downto 0) := (others => '0');
wb_we_i : in std_logic := '0';
wb_cyc_i : in std_logic := '0';
wb_stb_i : in std_logic := '0';
wb_ack_o : out std_logic;
wb_err_o : out std_logic;
wb_rty_o : out std_logic;
wb_stall_o : out std_logic;
-----------------------------
-- Simulation Only ports
-----------------------------
sim_adc_clk_i : in std_logic;
sim_adc_clk2x_i : in std_logic;
sim_adc_cha_data_i : in std_logic_vector(13 downto 0);
sim_adc_chb_data_i : in std_logic_vector(13 downto 0);
sim_adc_data_valid : in std_logic;
-----------------------------
-- External ports
-----------------------------
--Clock/Data connection to ADC on FMC150 (ADS62P49)
adc_clk_ab_p_i : in std_logic;
adc_clk_ab_n_i : in std_logic;
adc_cha_p_i : in std_logic_vector(6 downto 0);
adc_cha_n_i : in std_logic_vector(6 downto 0);
adc_chb_p_i : in std_logic_vector(6 downto 0);
adc_chb_n_i : in std_logic_vector(6 downto 0);
--Clock/Data connection to DAC on FMC150 (DAC3283)
dac_dclk_p_o : out std_logic;
dac_dclk_n_o : out std_logic;
dac_data_p_o : out std_logic_vector(7 downto 0);
dac_data_n_o : out std_logic_vector(7 downto 0);
dac_frame_p_o : out std_logic;
dac_frame_n_o : out std_logic;
txenable_o : out std_logic;
--Clock/Trigger connection to FMC150
--clk_to_fpga_p_i : in std_logic;
--clk_to_fpga_n_i : in std_logic;
--ext_trigger_p_i : in std_logic;
--ext_trigger_n_i : in std_logic;
-- Control signals from/to FMC150
--Serial Peripheral Interface (SPI)
spi_sclk_o : out std_logic; -- Shared SPI clock line
spi_sdata_o : out std_logic; -- Shared SPI data line
-- ADC specific signals
adc_n_en_o : out std_logic; -- SPI chip select
adc_sdo_i : in std_logic; -- SPI data out
adc_reset_o : out std_logic; -- SPI reset
-- CDCE specific signals
cdce_n_en_o : out std_logic; -- SPI chip select
cdce_sdo_i : in std_logic; -- SPI data out
cdce_n_reset_o : out std_logic;
cdce_n_pd_o : out std_logic;
cdce_ref_en_o : out std_logic;
cdce_pll_status_i : in std_logic;
-- DAC specific signals
dac_n_en_o : out std_logic; -- SPI chip select
dac_sdo_i : in std_logic; -- SPI data out
-- Monitoring specific signals
mon_n_en_o : out std_logic; -- SPI chip select
mon_sdo_i : in std_logic; -- SPI data out
mon_n_reset_o : out std_logic;
mon_n_int_i : in std_logic;
--FMC Present status
prsnt_m2c_l_i : in std_logic;
-- ADC output signals
adc_dout_o : out std_logic_vector(31 downto 0);
clk_adc_o : out std_logic;
-- Wishbone Streaming Interface Source
wbs_adr_o : out std_logic_vector(c_wbs_address_width-1 downto 0);
wbs_dat_o : out std_logic_vector(c_wbs_data_width-1 downto 0);
wbs_cyc_o : out std_logic;
wbs_stb_o : out std_logic;
wbs_we_o : out std_logic;
wbs_sel_o : out std_logic_vector((c_wbs_data_width/8)-1 downto 0);
wbs_ack_i : in std_logic;
wbs_stall_i : in std_logic;
wbs_err_i : in std_logic;
wbs_rty_i : in std_logic
);
end wb_fmc150;
architecture rtl of wb_fmc150 is
-- Constants
constant c_counter_size : natural := f_packet_num_bits(g_packet_size);
constant c_num_channels : natural := 2;
constant c_num_adc_bits : natural := 16;
constant c_num_adc_data_msb : natural := c_num_channels*c_num_adc_bits-1;
-----------------------------------------------------------------------------------------------
-- IP / user logic interface signals
-----------------------------------------------------------------------------------------------
-- wb_fmc150 reg structure
signal regs_in : t_fmc150_out_registers;
signal regs_out : t_fmc150_in_registers;
-- Stream nterface structure
signal wbs_stream_out : t_wbs_source_out;
signal wbs_stream_in : t_wbs_source_in;
-- FMC 150 testbench signals
--signal cdce_pll_status : std_logic;
signal s_mmcm_adc_locked : std_logic;
signal s_adc_dout : std_logic_vector(c_num_channels*c_num_adc_bits-1 downto 0);
signal s_clk_adc : std_logic;
signal rst_n_adc : std_logic;
signal s_fmc150_rst : std_logic;
-- Streaming control signals
signal s_wbs_packet_counter : unsigned(c_counter_size-1 downto 0);
signal s_addr : std_logic_vector(c_wbs_address_width-1 downto 0);
signal s_data : std_logic_vector(c_wbs_data_width-1 downto 0);
signal s_dvalid : std_logic;
signal s_sof : std_logic;
signal s_eof : std_logic;
signal s_error : std_logic;
signal s_bytesel : std_logic_vector((c_wbs_data_width/8)-1 downto 0);
signal s_dreq : std_logic;
-- Wishbone adapter structures
signal wb_out : t_wishbone_slave_out;
signal wb_in : t_wishbone_slave_in;
signal resized_addr : std_logic_vector(c_wishbone_address_width-1 downto 0);
-- Components
-- Bank Register / Wishbone Interface
component wb_fmc150_port
port (
rst_n_i : in std_logic;
clk_sys_i : in std_logic;
wb_adr_i : in std_logic_vector(2 downto 0);
wb_dat_i : in std_logic_vector(31 downto 0);
wb_dat_o : out std_logic_vector(31 downto 0);
wb_cyc_i : in std_logic;
wb_sel_i : in std_logic_vector(3 downto 0);
wb_stb_i : in std_logic;
wb_we_i : in std_logic;
wb_ack_o : out std_logic;
wb_stall_o : out std_logic;
--clk_100Mhz_i : in std_logic;
--clk_wb_i : in std_logic;
regs_i : in t_fmc150_in_registers;
regs_o : out t_fmc150_out_registers
);
end component;
-- Top FMC150 component
component fmc150_testbench
generic(
g_sim : integer := 0
);
port
(
rst : in std_logic;
clk_100Mhz : in std_logic;
clk_200Mhz : in std_logic;
adc_clk_ab_p : in std_logic;
adc_clk_ab_n : in std_logic;
-- Start Simulation Only!
sim_adc_clk_i : in std_logic;
sim_adc_clk2x_i : in std_logic;
-- End of Simulation Only!
adc_cha_p : in std_logic_vector(6 downto 0);
adc_cha_n : in std_logic_vector(6 downto 0);
adc_chb_p : in std_logic_vector(6 downto 0);
adc_chb_n : in std_logic_vector(6 downto 0);
-- Start Simulation Only!
sim_adc_cha_data_i : in std_logic_vector(13 downto 0);
sim_adc_chb_data_i : in std_logic_vector(13 downto 0);
-- End of Simulation Only!
dac_dclk_p : out std_logic;
dac_dclk_n : out std_logic;
dac_data_p : out std_logic_vector(7 downto 0);
dac_data_n : out std_logic_vector(7 downto 0);
dac_frame_p : out std_logic;
dac_frame_n : out std_logic;
txenable : out std_logic;
--clk_to_fpga_p : in std_logic;
--clk_to_fpga_n : in std_logic;
--ext_trigger_p : in std_logic;
--ext_trigger_n : in std_logic;
spi_sclk : out std_logic;
spi_sdata : out std_logic;
rd_n_wr : in std_logic;
addr : in std_logic_vector(15 downto 0);
idata : in std_logic_vector(31 downto 0);
odata : out std_logic_vector(31 downto 0);
busy : out std_logic;
cdce72010_valid : in std_logic;
ads62p49_valid : in std_logic;
dac3283_valid : in std_logic;
amc7823_valid : in std_logic;
external_clock : in std_logic;
adc_n_en : out std_logic;
adc_sdo : in std_logic;
adc_reset : out std_logic;
cdce_n_en : out std_logic;
cdce_sdo : in std_logic;
cdce_n_reset : out std_logic;
cdce_n_pd : out std_logic;
ref_en : out std_logic;
pll_status : in std_logic;
dac_n_en : out std_logic;
dac_sdo : in std_logic;
mon_n_en : out std_logic;
mon_sdo : in std_logic;
mon_n_reset : out std_logic;
mon_n_int : in std_logic;
prsnt_m2c_l : in std_logic;
adc_delay_update_i : in std_logic;
adc_str_cntvaluein_i : in std_logic_vector(4 downto 0);
adc_cha_cntvaluein_i : in std_logic_vector(4 downto 0);
adc_chb_cntvaluein_i : in std_logic_vector(4 downto 0);
adc_str_cntvalueout_o : out std_logic_vector(4 downto 0);
adc_dout_o : out std_logic_vector(31 downto 0);
clk_adc_o : out std_logic;
mmcm_adc_locked_o : out std_logic
);
end component;
begin
-----------------------------------------------------------------------------------------------
-- BUS / IP interface
-----------------------------------------------------------------------------------------------
cmp_fmc150_testbench: fmc150_testbench
generic map(
g_sim => g_sim
)
port map
(
rst => s_fmc150_rst,
--clk_100Mhz => clk_100Mhz_i,
clk_100Mhz => clk_sys_i,
clk_200Mhz => clk_200Mhz_i,
adc_clk_ab_p => adc_clk_ab_p_i,
adc_clk_ab_n => adc_clk_ab_n_i,
-- Start Simulation Only!
sim_adc_clk_i => sim_adc_clk_i,
sim_adc_clk2x_i => sim_adc_clk2x_i,
-- End of Simulation Only!
adc_cha_p => adc_cha_p_i,
adc_cha_n => adc_cha_n_i,
adc_chb_p => adc_chb_p_i,
adc_chb_n => adc_chb_n_i,
-- Start Simulation Only!
sim_adc_cha_data_i => sim_adc_cha_data_i,
sim_adc_chb_data_i => sim_adc_chb_data_i,
-- End of Simulation Only!
dac_dclk_p => dac_dclk_p_o,
dac_dclk_n => dac_dclk_n_o,
dac_data_p => dac_data_p_o,
dac_data_n => dac_data_n_o,
dac_frame_p => dac_frame_p_o,
dac_frame_n => dac_frame_n_o,
txenable => txenable_o,
--clk_to_fpga_p => clk_to_fpga_p_i,
--clk_to_fpga_n => clk_to_fpga_n_i,
--ext_trigger_p => ext_trigger_p_i,
--ext_trigger_n => ext_trigger_n_i,
spi_sclk => spi_sclk_o,
spi_sdata => spi_sdata_o,
adc_n_en => adc_n_en_o,
adc_sdo => adc_sdo_i,
adc_reset => adc_reset_o,
cdce_n_en => cdce_n_en_o,
cdce_sdo => cdce_sdo_i,
cdce_n_reset => cdce_n_reset_o,
cdce_n_pd => cdce_n_pd_o,
ref_en => cdce_ref_en_o,
dac_n_en => dac_n_en_o,
dac_sdo => dac_sdo_i,
mon_n_en => mon_n_en_o,
mon_sdo => mon_sdo_i,
mon_n_reset => mon_n_reset_o,
mon_n_int => mon_n_int_i,
pll_status => cdce_pll_status_i, --cdce_pll_status,--regs_out.flgs_out_pll_status_i,
mmcm_adc_locked_o => s_mmcm_adc_locked,--regs_out.flgs_out_adc_clk_locked_i,
odata => regs_out.data_out_i,--s_odata,
busy => regs_out.flgs_out_spi_busy_i,--s_busy,
prsnt_m2c_l => prsnt_m2c_l_i,--regs_out.flgs_out_fmc_prst_i,--prsnt_m2c_l,
rd_n_wr => regs_in.flgs_in_spi_rw_o, --s_registers(FLAGS_IN_0)(FLAGS_IN_0_SPI_RW),
addr => regs_in.addr_o, --s_registers(ADDR)(15 downto 0),
idata => regs_in.data_in_o, --s_registers(DATAIN),
cdce72010_valid => regs_in.cs_cdce72010_o,--s_registers(CHIPSELECT)(CHIPSELECT_CDCE72010),
ads62p49_valid => regs_in.cs_ads62p49_o, --s_registers(CHIPSELECT)(CHIPSELECT_ADS62P49),
dac3283_valid => regs_in.cs_dac3283_o, --s_registers(CHIPSELECT)(CHIPSELECT_DAC3283),
amc7823_valid => regs_in.cs_amc7823_o, --s_registers(CHIPSELECT)(CHIPSELECT_AMC7823),
external_clock => regs_in.flgs_in_ext_clk_o, --s_registers(FLAGS_IN_0)(FLAGS_IN_0_EXTERNAL_CLOCK),
adc_delay_update_i => regs_in.flgs_pulse_o,--s_adc_delay_update,
adc_str_cntvaluein_i => regs_in.adc_dly_str_o,--s_registers(ADC_DELAY)(4 downto 0),
adc_cha_cntvaluein_i => regs_in.adc_dly_cha_o,--s_registers(ADC_DELAY)(12 downto 8),
adc_chb_cntvaluein_i => regs_in.adc_dly_chb_o,--s_registers(ADC_DELAY)(20 downto 16),
adc_str_cntvalueout_o => open,
adc_dout_o => s_adc_dout,
clk_adc_o => s_clk_adc
);
-- Export external signals to bus register bank
regs_out.flgs_out_pll_status_i <= cdce_pll_status_i;
regs_out.flgs_out_adc_clk_locked_i <= s_mmcm_adc_locked;
regs_out.flgs_out_fmc_prst_i <= prsnt_m2c_l_i;
-- Connect to output ports
adc_dout_o <= s_adc_dout;
clk_adc_o <= s_clk_adc;
-- Generate reset for fmc150_testbench module
s_fmc150_rst <= not rst_n_i;
--regs_out.flgs_out_pll_status_i <= cdce_pll_status;
regs_out.flgs_out_adc_clk_locked_i <= s_mmcm_adc_locked;
-- Pipelined <--> Classic cycles / Word <--> Byte address granularity
-- conversion
cmp_adapter : wb_slave_adapter
generic map (
g_master_use_struct => true,
g_master_mode => PIPELINED,
g_master_granularity => WORD,
g_slave_use_struct => false,
g_slave_mode => g_interface_mode,
g_slave_granularity => g_address_granularity
)
port map (
clk_sys_i => clk_sys_i,
rst_n_i => rst_n_i,
master_i => wb_out,
master_o => wb_in,
sl_adr_i => resized_addr,--wb_adr_i,
sl_dat_i => wb_dat_i,
sl_sel_i => wb_sel_i,
sl_cyc_i => wb_cyc_i,
sl_stb_i => wb_stb_i,
sl_we_i => wb_we_i,
sl_dat_o => wb_dat_o,
sl_ack_o => wb_ack_o,
sl_stall_o => wb_stall_o
);
-- Decode only the LSB bits. In this case, at most, 5 LSB must be decoded
-- (if byte addresses) or 3 LSB (if word addressed). We have to consider
-- the biggest value in order not to mismatch register addresses.
-- See wb_fmc150_port.vhd for register bank addresses.
resized_addr(4 downto 0) <= wb_adr_i(4 downto 0);
resized_addr(c_wishbone_address_width-1 downto 5)
<= (others => '0');
-- Register Bank / Wishbone Interface
cmp_wb_fmc150_port : wb_fmc150_port
port map (
rst_n_i => rst_n_i,
clk_sys_i => clk_sys_i,
wb_adr_i => wb_in.adr(2 downto 0),
wb_dat_i => wb_in.dat,
wb_dat_o => wb_out.dat,
wb_cyc_i => wb_in.cyc,
wb_sel_i => wb_in.sel,
wb_stb_i => wb_in.stb,
wb_we_i => wb_in.we,
wb_ack_o => wb_out.ack,
wb_stall_o => wb_out.stall,
--clk_100Mhz_i => clk_100Mhz_i,
--clk_wb_i => clk_sys_i,
regs_i => regs_out,
regs_o => regs_in
);
-- Reset synchronization with ADC clock domain
cmp_reset_adc_synch : reset_synch
port map(
clk_i => s_clk_adc,
arst_n_i => rst_n_i,
rst_n_o => rst_n_adc
);
-- This stream source is in ADC clock domain
cmp_wb_source_if : xwb_stream_source
port map(
clk_i => s_clk_adc,
--rst_n_i => rst_n_i,
rst_n_i => rst_n_adc,
-- Wishbone Fabric Interface I/O
src_i => wbs_stream_in,
src_o => wbs_stream_out,
-- Decoded & buffered logic
addr_i => s_addr,
data_i => s_data,
dvalid_i => s_dvalid,
sof_i => s_sof,
eof_i => s_eof,
error_i => s_error,
-- For now, just pick the LSB bit of s_bytesel
bytesel_i => s_bytesel,
dreq_o => s_dreq
);
-- Write always to addr 0
s_addr <= (others => '0');
-- Simulation / Syntesis Only consructs. Is there a better way to do it?
s_data(c_num_adc_data_msb downto 0) <= s_adc_dout(c_num_adc_data_msb downto 0);
s_data(c_wbs_data_width downto c_num_adc_data_msb+1) <= (others => '0');
gen_stream_valid : if (g_sim = 0) generate
s_dvalid <= cdce_pll_status_i and s_mmcm_adc_locked;
end generate;
gen_stream_valid_sim : if (g_sim = 1) generate
s_dvalid <= sim_adc_data_valid;
end generate;
-- generate SOF and EOF signals
p_gen_sof_eof : process(s_clk_adc, rst_n_adc)
begin
if rst_n_adc = '0' then
--s_sof <= '0';
--s_eof <= '0';
s_wbs_packet_counter <= (others => '0');
elsif rising_edge(s_clk_adc) then
-- Increment counter if data is valid
if s_dvalid = '1' then
s_wbs_packet_counter <= s_wbs_packet_counter + 1;
end if;
end if;
end process;
-- Generate SOF and EOF signals based on counter
s_sof <= '1' when s_wbs_packet_counter = to_unsigned(0, c_counter_size) else '0';
s_eof <= '1' when s_wbs_packet_counter = g_packet_size-1 else '0';
s_error <= '0';
s_bytesel <= (others => '1');
wbs_adr_o <= wbs_stream_out.adr;
wbs_dat_o <= wbs_stream_out.dat;
wbs_cyc_o <= wbs_stream_out.cyc;
wbs_stb_o <= wbs_stream_out.stb;
wbs_we_o <= wbs_stream_out.we;
wbs_sel_o <= wbs_stream_out.sel;
wb_err_o <= '0';
wb_rty_o <= '0';
wbs_stream_in.ack <= wbs_ack_i;
wbs_stream_in.stall <= wbs_stall_i;
wbs_stream_in.err <= wbs_err_i;
wbs_stream_in.rty <= wbs_rty_i;
end rtl;
|
entity bounds8 is
end entity;
architecture test of bounds8 is
signal a : bit_vector(3 downto 0);
signal b : bit_vector(7 downto 0);
begin
b <= X"10";
process is
variable n : integer;
begin
n := 7;
wait for 1 ns;
a <= b(n downto 0);
wait;
end process;
end architecture;
|
entity bounds8 is
end entity;
architecture test of bounds8 is
signal a : bit_vector(3 downto 0);
signal b : bit_vector(7 downto 0);
begin
b <= X"10";
process is
variable n : integer;
begin
n := 7;
wait for 1 ns;
a <= b(n downto 0);
wait;
end process;
end architecture;
|
entity bounds8 is
end entity;
architecture test of bounds8 is
signal a : bit_vector(3 downto 0);
signal b : bit_vector(7 downto 0);
begin
b <= X"10";
process is
variable n : integer;
begin
n := 7;
wait for 1 ns;
a <= b(n downto 0);
wait;
end process;
end architecture;
|
entity bounds8 is
end entity;
architecture test of bounds8 is
signal a : bit_vector(3 downto 0);
signal b : bit_vector(7 downto 0);
begin
b <= X"10";
process is
variable n : integer;
begin
n := 7;
wait for 1 ns;
a <= b(n downto 0);
wait;
end process;
end architecture;
|
entity bounds8 is
end entity;
architecture test of bounds8 is
signal a : bit_vector(3 downto 0);
signal b : bit_vector(7 downto 0);
begin
b <= X"10";
process is
variable n : integer;
begin
n := 7;
wait for 1 ns;
a <= b(n downto 0);
wait;
end process;
end architecture;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
Library ieee;
use ieee.std_logic_1164.all;
entity ANN_dexp_64ns_64ns_64_18_full_dsp is
generic (
ID : integer := 9;
NUM_STAGE : integer := 18;
din0_WIDTH : integer := 64;
din1_WIDTH : integer := 64;
dout_WIDTH : integer := 64
);
port (
clk : in std_logic;
reset : in std_logic;
ce : in std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0)
);
end entity;
architecture arch of ANN_dexp_64ns_64ns_64_18_full_dsp is
--------------------- Component ---------------------
component ANN_ap_dexp_16_full_dsp_64 is
port (
aclk : in std_logic;
aclken : in std_logic;
s_axis_a_tvalid : in std_logic;
s_axis_a_tdata : in std_logic_vector(63 downto 0);
m_axis_result_tvalid : out std_logic;
m_axis_result_tdata : out std_logic_vector(63 downto 0)
);
end component;
--------------------- Local signal ------------------
signal aclk : std_logic;
signal aclken : std_logic;
signal a_tvalid : std_logic;
signal a_tdata : std_logic_vector(63 downto 0);
signal r_tvalid : std_logic;
signal r_tdata : std_logic_vector(63 downto 0);
signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0);
begin
--------------------- Instantiation -----------------
ANN_ap_dexp_16_full_dsp_64_u : component ANN_ap_dexp_16_full_dsp_64
port map (
aclk => aclk,
aclken => aclken,
s_axis_a_tvalid => a_tvalid,
s_axis_a_tdata => a_tdata,
m_axis_result_tvalid => r_tvalid,
m_axis_result_tdata => r_tdata
);
--------------------- Assignment --------------------
aclk <= clk;
aclken <= ce;
a_tvalid <= '1';
a_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1_buf1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1_buf1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1_buf1;
dout <= r_tdata;
--------------------- Input buffer ------------------
process (clk) begin
if clk'event and clk = '1' then
if ce = '1' then
din1_buf1 <= din1;
end if;
end if;
end process;
end architecture;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
Library ieee;
use ieee.std_logic_1164.all;
entity ANN_dexp_64ns_64ns_64_18_full_dsp is
generic (
ID : integer := 9;
NUM_STAGE : integer := 18;
din0_WIDTH : integer := 64;
din1_WIDTH : integer := 64;
dout_WIDTH : integer := 64
);
port (
clk : in std_logic;
reset : in std_logic;
ce : in std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0)
);
end entity;
architecture arch of ANN_dexp_64ns_64ns_64_18_full_dsp is
--------------------- Component ---------------------
component ANN_ap_dexp_16_full_dsp_64 is
port (
aclk : in std_logic;
aclken : in std_logic;
s_axis_a_tvalid : in std_logic;
s_axis_a_tdata : in std_logic_vector(63 downto 0);
m_axis_result_tvalid : out std_logic;
m_axis_result_tdata : out std_logic_vector(63 downto 0)
);
end component;
--------------------- Local signal ------------------
signal aclk : std_logic;
signal aclken : std_logic;
signal a_tvalid : std_logic;
signal a_tdata : std_logic_vector(63 downto 0);
signal r_tvalid : std_logic;
signal r_tdata : std_logic_vector(63 downto 0);
signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0);
begin
--------------------- Instantiation -----------------
ANN_ap_dexp_16_full_dsp_64_u : component ANN_ap_dexp_16_full_dsp_64
port map (
aclk => aclk,
aclken => aclken,
s_axis_a_tvalid => a_tvalid,
s_axis_a_tdata => a_tdata,
m_axis_result_tvalid => r_tvalid,
m_axis_result_tdata => r_tdata
);
--------------------- Assignment --------------------
aclk <= clk;
aclken <= ce;
a_tvalid <= '1';
a_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1_buf1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1_buf1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1_buf1;
dout <= r_tdata;
--------------------- Input buffer ------------------
process (clk) begin
if clk'event and clk = '1' then
if ce = '1' then
din1_buf1 <= din1;
end if;
end if;
end process;
end architecture;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
Library ieee;
use ieee.std_logic_1164.all;
entity ANN_dexp_64ns_64ns_64_18_full_dsp is
generic (
ID : integer := 9;
NUM_STAGE : integer := 18;
din0_WIDTH : integer := 64;
din1_WIDTH : integer := 64;
dout_WIDTH : integer := 64
);
port (
clk : in std_logic;
reset : in std_logic;
ce : in std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0)
);
end entity;
architecture arch of ANN_dexp_64ns_64ns_64_18_full_dsp is
--------------------- Component ---------------------
component ANN_ap_dexp_16_full_dsp_64 is
port (
aclk : in std_logic;
aclken : in std_logic;
s_axis_a_tvalid : in std_logic;
s_axis_a_tdata : in std_logic_vector(63 downto 0);
m_axis_result_tvalid : out std_logic;
m_axis_result_tdata : out std_logic_vector(63 downto 0)
);
end component;
--------------------- Local signal ------------------
signal aclk : std_logic;
signal aclken : std_logic;
signal a_tvalid : std_logic;
signal a_tdata : std_logic_vector(63 downto 0);
signal r_tvalid : std_logic;
signal r_tdata : std_logic_vector(63 downto 0);
signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0);
begin
--------------------- Instantiation -----------------
ANN_ap_dexp_16_full_dsp_64_u : component ANN_ap_dexp_16_full_dsp_64
port map (
aclk => aclk,
aclken => aclken,
s_axis_a_tvalid => a_tvalid,
s_axis_a_tdata => a_tdata,
m_axis_result_tvalid => r_tvalid,
m_axis_result_tdata => r_tdata
);
--------------------- Assignment --------------------
aclk <= clk;
aclken <= ce;
a_tvalid <= '1';
a_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1_buf1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1_buf1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1_buf1;
dout <= r_tdata;
--------------------- Input buffer ------------------
process (clk) begin
if clk'event and clk = '1' then
if ce = '1' then
din1_buf1 <= din1;
end if;
end if;
end process;
end architecture;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
Library ieee;
use ieee.std_logic_1164.all;
entity ANN_dexp_64ns_64ns_64_18_full_dsp is
generic (
ID : integer := 9;
NUM_STAGE : integer := 18;
din0_WIDTH : integer := 64;
din1_WIDTH : integer := 64;
dout_WIDTH : integer := 64
);
port (
clk : in std_logic;
reset : in std_logic;
ce : in std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0)
);
end entity;
architecture arch of ANN_dexp_64ns_64ns_64_18_full_dsp is
--------------------- Component ---------------------
component ANN_ap_dexp_16_full_dsp_64 is
port (
aclk : in std_logic;
aclken : in std_logic;
s_axis_a_tvalid : in std_logic;
s_axis_a_tdata : in std_logic_vector(63 downto 0);
m_axis_result_tvalid : out std_logic;
m_axis_result_tdata : out std_logic_vector(63 downto 0)
);
end component;
--------------------- Local signal ------------------
signal aclk : std_logic;
signal aclken : std_logic;
signal a_tvalid : std_logic;
signal a_tdata : std_logic_vector(63 downto 0);
signal r_tvalid : std_logic;
signal r_tdata : std_logic_vector(63 downto 0);
signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0);
begin
--------------------- Instantiation -----------------
ANN_ap_dexp_16_full_dsp_64_u : component ANN_ap_dexp_16_full_dsp_64
port map (
aclk => aclk,
aclken => aclken,
s_axis_a_tvalid => a_tvalid,
s_axis_a_tdata => a_tdata,
m_axis_result_tvalid => r_tvalid,
m_axis_result_tdata => r_tdata
);
--------------------- Assignment --------------------
aclk <= clk;
aclken <= ce;
a_tvalid <= '1';
a_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1_buf1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1_buf1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1_buf1;
dout <= r_tdata;
--------------------- Input buffer ------------------
process (clk) begin
if clk'event and clk = '1' then
if ce = '1' then
din1_buf1 <= din1;
end if;
end if;
end process;
end architecture;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
Library ieee;
use ieee.std_logic_1164.all;
entity ANN_dexp_64ns_64ns_64_18_full_dsp is
generic (
ID : integer := 9;
NUM_STAGE : integer := 18;
din0_WIDTH : integer := 64;
din1_WIDTH : integer := 64;
dout_WIDTH : integer := 64
);
port (
clk : in std_logic;
reset : in std_logic;
ce : in std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0)
);
end entity;
architecture arch of ANN_dexp_64ns_64ns_64_18_full_dsp is
--------------------- Component ---------------------
component ANN_ap_dexp_16_full_dsp_64 is
port (
aclk : in std_logic;
aclken : in std_logic;
s_axis_a_tvalid : in std_logic;
s_axis_a_tdata : in std_logic_vector(63 downto 0);
m_axis_result_tvalid : out std_logic;
m_axis_result_tdata : out std_logic_vector(63 downto 0)
);
end component;
--------------------- Local signal ------------------
signal aclk : std_logic;
signal aclken : std_logic;
signal a_tvalid : std_logic;
signal a_tdata : std_logic_vector(63 downto 0);
signal r_tvalid : std_logic;
signal r_tdata : std_logic_vector(63 downto 0);
signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0);
begin
--------------------- Instantiation -----------------
ANN_ap_dexp_16_full_dsp_64_u : component ANN_ap_dexp_16_full_dsp_64
port map (
aclk => aclk,
aclken => aclken,
s_axis_a_tvalid => a_tvalid,
s_axis_a_tdata => a_tdata,
m_axis_result_tvalid => r_tvalid,
m_axis_result_tdata => r_tdata
);
--------------------- Assignment --------------------
aclk <= clk;
aclken <= ce;
a_tvalid <= '1';
a_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1_buf1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1_buf1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1_buf1;
dout <= r_tdata;
--------------------- Input buffer ------------------
process (clk) begin
if clk'event and clk = '1' then
if ce = '1' then
din1_buf1 <= din1;
end if;
end if;
end process;
end architecture;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
Library ieee;
use ieee.std_logic_1164.all;
entity ANN_dexp_64ns_64ns_64_18_full_dsp is
generic (
ID : integer := 9;
NUM_STAGE : integer := 18;
din0_WIDTH : integer := 64;
din1_WIDTH : integer := 64;
dout_WIDTH : integer := 64
);
port (
clk : in std_logic;
reset : in std_logic;
ce : in std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0)
);
end entity;
architecture arch of ANN_dexp_64ns_64ns_64_18_full_dsp is
--------------------- Component ---------------------
component ANN_ap_dexp_16_full_dsp_64 is
port (
aclk : in std_logic;
aclken : in std_logic;
s_axis_a_tvalid : in std_logic;
s_axis_a_tdata : in std_logic_vector(63 downto 0);
m_axis_result_tvalid : out std_logic;
m_axis_result_tdata : out std_logic_vector(63 downto 0)
);
end component;
--------------------- Local signal ------------------
signal aclk : std_logic;
signal aclken : std_logic;
signal a_tvalid : std_logic;
signal a_tdata : std_logic_vector(63 downto 0);
signal r_tvalid : std_logic;
signal r_tdata : std_logic_vector(63 downto 0);
signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0);
begin
--------------------- Instantiation -----------------
ANN_ap_dexp_16_full_dsp_64_u : component ANN_ap_dexp_16_full_dsp_64
port map (
aclk => aclk,
aclken => aclken,
s_axis_a_tvalid => a_tvalid,
s_axis_a_tdata => a_tdata,
m_axis_result_tvalid => r_tvalid,
m_axis_result_tdata => r_tdata
);
--------------------- Assignment --------------------
aclk <= clk;
aclken <= ce;
a_tvalid <= '1';
a_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1_buf1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1_buf1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1_buf1;
dout <= r_tdata;
--------------------- Input buffer ------------------
process (clk) begin
if clk'event and clk = '1' then
if ce = '1' then
din1_buf1 <= din1;
end if;
end if;
end process;
end architecture;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Thu May 25 15:29:57 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim -rename_top system_util_vector_logic_0_0 -prefix
-- system_util_vector_logic_0_0_ system_util_vector_logic_0_0_sim_netlist.vhdl
-- Design : system_util_vector_logic_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_util_vector_logic_0_0 is
port (
Op1 : in STD_LOGIC_VECTOR ( 0 to 0 );
Op2 : in STD_LOGIC_VECTOR ( 0 to 0 );
Res : out STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_util_vector_logic_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_util_vector_logic_0_0 : entity is "system_util_vector_logic_0_0,util_vector_logic,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_util_vector_logic_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_util_vector_logic_0_0 : entity is "util_vector_logic,Vivado 2016.4";
end system_util_vector_logic_0_0;
architecture STRUCTURE of system_util_vector_logic_0_0 is
begin
\Res[0]_INST_0\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => Op1(0),
I1 => Op2(0),
O => Res(0)
);
end STRUCTURE;
|
-- -------------------------------------------------------------
--
-- File Name: hdlsrc/fft_16_bit/RADIX22FFT_SDNF2_2.vhd
-- Created: 2017-03-27 23:13:58
--
-- Generated by MATLAB 9.1 and HDL Coder 3.9
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: RADIX22FFT_SDNF2_2
-- Source Path: fft_16_bit/FFT HDL Optimized/RADIX22FFT_SDNF2_2
-- Hierarchy Level: 2
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
ENTITY RADIX22FFT_SDNF2_2 IS
PORT( clk : IN std_logic;
reset : IN std_logic;
enb : IN std_logic;
rotate_1 : IN std_logic; -- ufix1
dout_1_re : IN std_logic_vector(17 DOWNTO 0); -- sfix18
dout_1_im : IN std_logic_vector(17 DOWNTO 0); -- sfix18
dout_9_re : IN std_logic_vector(17 DOWNTO 0); -- sfix18
dout_9_im : IN std_logic_vector(17 DOWNTO 0); -- sfix18
dout_1_vld : IN std_logic;
softReset : IN std_logic;
dout_1_re_1 : OUT std_logic_vector(18 DOWNTO 0); -- sfix19
dout_1_im_1 : OUT std_logic_vector(18 DOWNTO 0); -- sfix19
dout_2_re : OUT std_logic_vector(18 DOWNTO 0); -- sfix19
dout_2_im : OUT std_logic_vector(18 DOWNTO 0); -- sfix19
dout_2_vld : OUT std_logic
);
END RADIX22FFT_SDNF2_2;
ARCHITECTURE rtl OF RADIX22FFT_SDNF2_2 IS
-- Signals
SIGNAL dout_1_re_signed : signed(17 DOWNTO 0); -- sfix18
SIGNAL din1_re : signed(18 DOWNTO 0); -- sfix19
SIGNAL dout_1_im_signed : signed(17 DOWNTO 0); -- sfix18
SIGNAL din1_im : signed(18 DOWNTO 0); -- sfix19
SIGNAL dout_9_re_signed : signed(17 DOWNTO 0); -- sfix18
SIGNAL din2_re : signed(18 DOWNTO 0); -- sfix19
SIGNAL dout_9_im_signed : signed(17 DOWNTO 0); -- sfix18
SIGNAL din2_im : signed(18 DOWNTO 0); -- sfix19
SIGNAL Radix22ButterflyG2_NF_din_vld_dly : std_logic;
SIGNAL Radix22ButterflyG2_NF_btf1_re_reg : signed(19 DOWNTO 0); -- sfix20
SIGNAL Radix22ButterflyG2_NF_btf1_im_reg : signed(19 DOWNTO 0); -- sfix20
SIGNAL Radix22ButterflyG2_NF_btf2_re_reg : signed(19 DOWNTO 0); -- sfix20
SIGNAL Radix22ButterflyG2_NF_btf2_im_reg : signed(19 DOWNTO 0); -- sfix20
SIGNAL Radix22ButterflyG2_NF_din_vld_dly_next : std_logic;
SIGNAL Radix22ButterflyG2_NF_btf1_re_reg_next : signed(19 DOWNTO 0); -- sfix20
SIGNAL Radix22ButterflyG2_NF_btf1_im_reg_next : signed(19 DOWNTO 0); -- sfix20
SIGNAL Radix22ButterflyG2_NF_btf2_re_reg_next : signed(19 DOWNTO 0); -- sfix20
SIGNAL Radix22ButterflyG2_NF_btf2_im_reg_next : signed(19 DOWNTO 0); -- sfix20
SIGNAL dout_1_re_tmp : signed(18 DOWNTO 0); -- sfix19
SIGNAL dout_1_im_tmp : signed(18 DOWNTO 0); -- sfix19
SIGNAL dout_2_re_tmp : signed(18 DOWNTO 0); -- sfix19
SIGNAL dout_2_im_tmp : signed(18 DOWNTO 0); -- sfix19
BEGIN
dout_1_re_signed <= signed(dout_1_re);
din1_re <= resize(dout_1_re_signed, 19);
dout_1_im_signed <= signed(dout_1_im);
din1_im <= resize(dout_1_im_signed, 19);
dout_9_re_signed <= signed(dout_9_re);
din2_re <= resize(dout_9_re_signed, 19);
dout_9_im_signed <= signed(dout_9_im);
din2_im <= resize(dout_9_im_signed, 19);
-- Radix22ButterflyG2_NF
Radix22ButterflyG2_NF_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
Radix22ButterflyG2_NF_din_vld_dly <= '0';
Radix22ButterflyG2_NF_btf1_re_reg <= to_signed(16#00000#, 20);
Radix22ButterflyG2_NF_btf1_im_reg <= to_signed(16#00000#, 20);
Radix22ButterflyG2_NF_btf2_re_reg <= to_signed(16#00000#, 20);
Radix22ButterflyG2_NF_btf2_im_reg <= to_signed(16#00000#, 20);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
Radix22ButterflyG2_NF_din_vld_dly <= Radix22ButterflyG2_NF_din_vld_dly_next;
Radix22ButterflyG2_NF_btf1_re_reg <= Radix22ButterflyG2_NF_btf1_re_reg_next;
Radix22ButterflyG2_NF_btf1_im_reg <= Radix22ButterflyG2_NF_btf1_im_reg_next;
Radix22ButterflyG2_NF_btf2_re_reg <= Radix22ButterflyG2_NF_btf2_re_reg_next;
Radix22ButterflyG2_NF_btf2_im_reg <= Radix22ButterflyG2_NF_btf2_im_reg_next;
END IF;
END IF;
END PROCESS Radix22ButterflyG2_NF_process;
Radix22ButterflyG2_NF_output : PROCESS (Radix22ButterflyG2_NF_din_vld_dly, Radix22ButterflyG2_NF_btf1_re_reg,
Radix22ButterflyG2_NF_btf1_im_reg, Radix22ButterflyG2_NF_btf2_re_reg,
Radix22ButterflyG2_NF_btf2_im_reg, din1_re, din1_im, din2_re, din2_im,
dout_1_vld, rotate_1)
BEGIN
Radix22ButterflyG2_NF_btf1_re_reg_next <= Radix22ButterflyG2_NF_btf1_re_reg;
Radix22ButterflyG2_NF_btf1_im_reg_next <= Radix22ButterflyG2_NF_btf1_im_reg;
Radix22ButterflyG2_NF_btf2_re_reg_next <= Radix22ButterflyG2_NF_btf2_re_reg;
Radix22ButterflyG2_NF_btf2_im_reg_next <= Radix22ButterflyG2_NF_btf2_im_reg;
Radix22ButterflyG2_NF_din_vld_dly_next <= dout_1_vld;
IF rotate_1 /= '0' THEN
IF dout_1_vld = '1' THEN
Radix22ButterflyG2_NF_btf1_re_reg_next <= resize(din1_re, 20) + resize(din2_im, 20);
Radix22ButterflyG2_NF_btf2_re_reg_next <= resize(din1_re, 20) - resize(din2_im, 20);
Radix22ButterflyG2_NF_btf2_im_reg_next <= resize(din1_im, 20) + resize(din2_re, 20);
Radix22ButterflyG2_NF_btf1_im_reg_next <= resize(din1_im, 20) - resize(din2_re, 20);
END IF;
ELSIF dout_1_vld = '1' THEN
Radix22ButterflyG2_NF_btf1_re_reg_next <= resize(din1_re, 20) + resize(din2_re, 20);
Radix22ButterflyG2_NF_btf2_re_reg_next <= resize(din1_re, 20) - resize(din2_re, 20);
Radix22ButterflyG2_NF_btf1_im_reg_next <= resize(din1_im, 20) + resize(din2_im, 20);
Radix22ButterflyG2_NF_btf2_im_reg_next <= resize(din1_im, 20) - resize(din2_im, 20);
END IF;
dout_1_re_tmp <= Radix22ButterflyG2_NF_btf1_re_reg(18 DOWNTO 0);
dout_1_im_tmp <= Radix22ButterflyG2_NF_btf1_im_reg(18 DOWNTO 0);
dout_2_re_tmp <= Radix22ButterflyG2_NF_btf2_re_reg(18 DOWNTO 0);
dout_2_im_tmp <= Radix22ButterflyG2_NF_btf2_im_reg(18 DOWNTO 0);
dout_2_vld <= Radix22ButterflyG2_NF_din_vld_dly;
END PROCESS Radix22ButterflyG2_NF_output;
dout_2_re <= std_logic_vector(dout_2_re_tmp);
dout_2_im <= std_logic_vector(dout_2_im_tmp);
dout_1_re_1 <= std_logic_vector(dout_1_re_tmp);
dout_1_im_1 <= std_logic_vector(dout_1_im_tmp);
END rtl;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
j28/CilfqjKHwgi6i7Rpz/m2jdmmcALMhxJD1d66NRIHzrtlOtKmb4akLm2LTyc0EYbhhwS0R7TJ
LcGEINPXUw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XHKk32FCrT65tn9bF2V3lVjHPDg1bTChY1VYTD0jsXzvHhRbjWIbHOZswe06ijVXGUuwD8gJIhgG
55B4WIPPdW9F3sZjQX/hJvrQjBSge8FjQqsarUjh1KU1iR228OTMOF2CIahbNLewwM2UgUDPaQGu
MrAW7tfkyd7nzozY74U=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FIo70alwhdSlqOKkw4AiAI0rQ5QiIAzG7RdMxF207Iir+D3zT2eDdVP6//BYxk71xpwShA2LMDFl
+/6BpJ2Iao96MUh8EXiQEs1NUpOL9eHJyquYNQTZYcJV+kz1CuyxB4wi5bHl8zbggxp0xLhrzEwl
S4kFDx3l7tdZaHZsXHo2Oa0BsOdDNlJhZkgVMvNeuUGYWc96nRo+7gCNwONV/aLt0nhbc8vKuEHH
LYzf1TpAtGkVi7YtMNMuOzJSXauFUU4vEEp6yw9KxsfA2/5lfI462wMmYTd0yp5FWbSyPSvDAefO
Z457RZ8QrW3WM3RPXER0agMqVBE6+ytDoccXvQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
vPUBrt8DHvVsOzFihsi8BHpYCnF+Nd4ymetaFHOSXD1sxIPOFWw71ijJxPc4gmurbh10yw9aJ4wl
880N0aT40wmrKQ7V8ld9KPrAQzPJh21a/stdqegGhq+FH/PgLdTXocaKQMDpyDKDML8Q4M1nZUOL
YHFPgokGSf21YGsoNCY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qlIt7y8ac1eCcZN5tTzRs4K7izUl3g1wSPt3t0V1ToK6aH2VQBsURZdCX7o/l1BNUmcFEEd3yIV1
vUyyiaPo3qWCmqSSDVxj+FaVGMAnLngJDUgm4AN9Zyrq9lT34eanH0g6dxjZXY0CYVxMbvBAMQ78
x2y+27MioDf2SckxD+sFnHReYwQVLTXQnbXs0NVU86nl0BZTpRVGkZkEO6M47hdEcqMW0oVwtxOP
eMkHhj+Lmw6fLLfTRX94w5y8rcFy3xlu/v2TNBxAnwq2M6rLDkACgAaUNfi9mYNCxt2j3ScTsMwJ
R+MY/6OMu1ti+ZEpPRhbxeaBFBXXfJ5fNEn2pQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13056)
`protect data_block
z+6vpvRGDA/Fhsiy1ra1ndSaeM5utr8LeVVrWkyhYzU5XwjsvDOW8iBVyOQcBj5Xsm/TUiOf4/+/
OIvBMkpsOUw6Zhet+VOl+FbCs/4dl4vJu8IOmgslCFpn9HJa/J1jYz1YwlA0u0cdkBA68BYfw/8S
rNkCkUMmyAcqQLmEiBWtd4UwONWIpW79T4HGQSwVPIPDENfuW08mC4X9HyzbIpq2oGMAGclP2efW
ikCrZ0o+35QIsvpFoXzg4iU9mRz4wOD9zX7FrRV2p+jE5E6ZHu+EW32d2sat0yhTXgokdXfP0pdp
nVoRYPaOl1CE3oghJeY4LOFN2HwcUTz4A13n1iMPmw/ri2hSknqabMxzFK4htFss41Fo6jXgowK/
qQnfFa3ArkkWqSBGQrYIcD7jWd4ssIclpchBe8cC+LFIN3zkEg4N5/WLyxDDNeiW0/GrPEtwz4Ha
onD994TIXHaP39EMm9tCcHoQThY9Wsxr0dJ8oVCO6mC+Aw6mKbgczz8tj+A4gjIPvId1kWLqw0HY
u7tbpSuuf6vWOyKhne9K9iz91mC2r4OpYwKOEpalsMGt0jCsSFhbXUl+Q1EhMEBbw28gFEHS78/K
wV5jnPH5QzyptuCnRe40DYczYuT/76KbVPOPaDnQ4FpdOL3f6MniXVk2iNVa5IFeBhM9cyQV95p6
Nkm7S3+cY5ZewE1KuZdU8zN9JCncUDo3KI9PLhTmZpRhgUm1U7bi1Jh8bABZjQOYCYerd3oMwJmC
C5lqFRtiYUeWjblGU84I3kGw2E2NVciUbOr/RVPC77OItuvSn/xjoYGu4ju9teDI4gWCcumf2TTp
M/bLcYnGlyr0qB7boeNP5SrE2SZEFNWYMDces58nr0GnNIINAxnnktGWU1KGi80aWg4KIbHDysVl
EOQzpL+44BLN0QZmGB+CP5O6ArOweuzatSj0MYGVGxtyvuZN7X11GJHPkTqU9FzMO8dDCAm3+r5E
4Rbzbpeq9++tx6WQiMIC3VyTtXeYuesi6yHEEZfesHrTYvB+i4DzP9b/Mkeiw77KdaZvY6OxR4ZT
THF/4ZlANPySRb6xmSh9tFK/R74DlAmIQMRy1IbrNw8nDZZsoha3Zj7neZets3nPQP7RJ5KFoJfM
5uauEzS6T59KuesTqfmYMDqVTAAeSOEqCSgvUESl5MhJgVH2SMaknbzYm+7QKTQviQRDZG+qjlMe
sPv20AzTDQ6RiUy839H3b0tVojTKXto5sKHkDi/UjVwKtMKLCjX4SR5F4LcYX1f2A+0+RYJ2Z7kX
qA8JqO1effKIZ9ivGaXgOOyr/Of9UPkgte2B1Tg9UVVLC1PO0daCAa7jI8Ya2ICxUALqG5ma6p9w
xL5Bc0bnNMweAeDiqXxo1oRq+x4xnqmdwzsFQ9x9ZaA/2jxhmwXxuMnpDR1wRs+fKDJ1IQYJkvs9
E+0CYyZeeIWc+h3ikyUAzPVDAmocOvIHCRIDQGsHMeyEiRdlsVh26XV250UTkXUj7fHvndBzK82e
egOwOseD2B6q4ZfKf4J6RdjK6bAZPZTrKNNk+GiNu8lv3zNYh5siEdUvlJZWz6LZUWhK9grytpOU
y2V0I9X2Hb5Yix1woxygJNSFaS65qqoNWlHj+a+CAUAkTmNE3gJ99JwUgH0H3NDmT3QxdqpmNrvP
Cy7tFq3fEhkUDx+FC/I9oRZUJLyhVml+anzBouqxI060dh1cRKV8+vaF9Bo4+tZegmbIyI2CELx5
PinWG7FpPDRKlG+DHj+guixi5ueBB/NR/yN6Dqi/vtwuTtTTQi+KXOzEXvOsCAj3erbeOTbTwRHm
TCrTHTJuph63BgJnM6enRCZXG25QsDIc/jMiYE3ZHAhBSzpD5HrVX0pEEPzzvE9vUW0G3Y9rslNt
SeNdZRl4sDGDComKhX2zb7ouQLLBNBf5JhC3+nAR/DdTe4VCJ/4kNWKEBXDKin5W34IZZrrXlo5O
2ZOl+Gqg5Xbgo87UEHf1icgxjMgLhdb4ijOYfHp826cMOzmgTA5syQVjHeYkEQ2kUhFLWR39PTrb
3zW8886NFY+wQahNru6ehGfvLoD8mCA2QaYueHA/nQnAdzlAWecTxST8Wce+buvTLTGus5Giof5k
cRBuyOph4rkhxsmJ6s4mkLYhWlG1HVhs0KM2ZVRxQR5vPmP/FQEEo1QwoGc4d6pU8uZFsgLOMRCn
w/WY3NRaFN44NiFLq1gqoXtGZaWrDGe3NxzVDQTfG5710FN4twTf1e0Z364SO0sMrr/C3plHtC7h
Zz0QVjKBHQbI9F6BIz/v1dBUXfCDTTkwfXF8rbrkbbJWEJspP6d2zCtrGcvkyoMxTRVB+KYwmSnB
LbqOmRsEXQQaX3CkoUi6Xpu2d+zFMhisC/9StWzT8nhoY80CFpZGTPuRNez4kKma4tM+mgHAIE0z
4ZL85Dw2rjaiJscYTe+TzoXh3/Y2xlsFiEzVd4H0WQCYL3OEJLCtwpBzGkmG2WzI50B2UzPlhOmT
SAP2Fb1neSM/hmALOBZpQrBv/g3BYvWjUCsUnyuAAl4CFuXX/8UOBkxIafe4VEEnuj8GrLTb39oi
fqNKLErdF/ewPcYmUOuPm2l0yNoJ7wpcL8DTXZBldA0IQXjPet7my4CQ/pJmZuDRauJZhUX8rYIY
Q27aQvxgl2nlNGQInXmWloA69oK10ap3tAfXbq2jvL8uqC1yAdInZ4ZR7WL+E4D3UDrEv7FPyJWG
+yG7wC9KGZKfZTgWRwsoRaS0I9VWp5JnThg6D8C5nfzFCZWC1dS33ARxK2t07gCLXPJ2MLKw7Zwy
SkiUkEw9WziMnUVzoXE5VUWf1sCy8CDkzjOHEhUahzswEip/h/0JZl1g+Rj3FCil9m6szpOf49vg
IEoZiAhO8szH5l7otEYPTsnZY/9YKDuDqlMPkqNmbnEoWC7FPUhz762i0BsuPaxVSMCSoFF62dlu
vxprMnLis3ZRPzZKgp6824KZlSwoxmOlLAegCw9CcniHLw4sIkucNT2GbPxC2nPep7Mwohpi/yg8
Qy3QI9710CmRfX5UnZTsJ8Qg7Z3rZag/qtTmm31yAmyQCXuHw2WkCJLrUrm6pVGS2fkvVfmApgTI
okBooBjVM9VgxiefjVuyDR0TJYlcCyqmafs5EpFtExOiuQqGilvADzED8HAvc1G8NHB197sunj+a
p1kBB8TmUlFoaYmhT601YahGi93ThYLph6797vtI7Lsp1M0uZiHAPCiweE22VpR8G2VNxzB0l6BI
uxGxW/154+IM5jY34G08wLs3YDIGtWxjipAZNYHqSkuZJyy1B4xgnwr70WyuyYyMdKMou7yHAPA/
NY0M6dUxUZal2atGa2rUkV8e3nrt7Ymif6fg8q19QGuc3InKWZNq/h3QnxYIytZoO0BNPPI5hO4m
XMHDl65ek/uAC8NM9b9j3l1r9VhZ8g1Pxqow4mL0m7fLeeMSwdEDDcyRVDbqj5Raf7jLAgNdiLD2
wPhEu/jR1nP9fp/w0DdShjgxU81qFan3dxTiHYFdKG+AFpamf+5lNfTsI6EptsofgLpspgd6XBe3
IvnF0YmxDktxXNNRCmZn3LKsl6wJoCV8CZCPb4UOrrALoUnfwnGZjqC7KpvvZ8ETIjW8kGtG1XEU
EjCz7ywZZ1qZRDdyxd99AzaCdrVZJYCBaG8ohvWrh1/dxWyuXOTebNqut82yFyK6bqoyySPeQzH+
B/F9l29SVBeNOt1/kD8xqiH+3I3oceeDu9+m5PSjl4lGINHNSh913G9OBE5LmiqfoRNa/BkthciB
UJb09DSX4PtGWKaSxABIqxQoLwhLqOX0plqHWcmzzoBKKZfinF9jVcGqxSKmeOn6jg0Fr78ZEUuv
amp8en1oJh3C5/0HnFEMdGy3FBJRaatJeu6mbPn3HlSkm2XFsHSaJZDJXuhe494LAc00oiG9Y3CK
aBMj8uH/dn0eKZfPrIEL0HWfpe1zpQNz8PUOzOsJhM8zhxOlxOMbbtcptdbwMLpQ7INZj3aKi16Q
y+oBqwW2UbIFu/XKzqlxx1OX1+W+m9vLRBd9OwYNq/DU3qOJsDnpAELO/xauI7X9qDGoYlHQTEXp
mcR37N8JxvrI7Sxl7plDvkRgwiuOZKuu76qkU8rI4bTLwBRCtrMkeaPjhhbZJxQrvShSiGSMbHwN
+vbKzqXf60NHS+iB3Aob0Tw/jy1NA1CACh9YGVWs6/vIeKj7cy5bAkAxgpgahqd20H8/eDRxeXqE
3fTeOK754Zz6HkOO9RCyy00I41KsovOxTAKIdwsCgtaDKxSAxf7oN/m+YvbnOwnF3tKelf9qRdTA
K1YENAXMjrymjPnoGQ5ynQLb175EO01ioy/tJwyFyT3cbg07Fj5UVWIba/a209iIxdlmIdi7DHUb
bCZq2w2xuAXMI44g7Bx22h6O8TZxIRqwtFnF1zV7dB8kw1Yt22NcVkVzo4d+Yh4FwHrZtIoZRiXf
/8CJLtlsEzv9apahUP8Ztiw4895dz2aLRRE+q8d2HwX9Jq8mz3Wciw5LdPtithzZhlTVShU06hr1
wWc6lMKiyE+YvqJwNvvc5YqWYfoogQDlTXDS8Bc+6pmBcGqMJsqJihHo9ZbpqaTqPtC1a74yvT23
uV/jvg+s1djlCaaMZqaLi1mZIywSDhHTCykq4EkDB/zP2DMxGJhG2XT4cZt30S5CKjAtAG3AYhKk
3/Cwx2D5wPbiPmHFQQgz7EPPeuXXzAoljqnyT1MI8IIITNYi0b0Hgge04aLm/yLriovw5EuHWV0Y
tux8PKm4tPM5MG4XB6Cy3A5UyspfgYBaecOhh/3LjImwuQ4M9+V1reHsHCRiuY7pGGM2DS5Ej6So
7yaPqj2ZqR9qmnzXFgkcGMEYqmBCoxHOY9eBjsoNDCFIpu9yKZiOTstW3K6QP1wMRnMaudg/vFg3
M0o8EXXCw6AXIeo/BeQmShQazhOZ81Jz4VBZK7Zu9woOeyw9BlRfWU/sYTN+ROH+bdOmOWO0sII2
KdM7aLZlU3AHTxZWG1/Bob4noDehVZ8WXPv3yQJvflMDH2w3inl+Wkb8d5I3gPiQN6HHZluvZgrG
rS1xojY8v8sQeLNFxqz7mLvl/cNAfq0m9JiBETAqOV8EQGXV3ZUqnilYVNrRgnZ3qK7fFWwjrrBo
SDzslmTkToHDGy4TAClM8GavEZa/+4wT0rK8ai+O+MI1QBe3JFbc2/+Vd4yxdPUdLdZW7SSnFyj0
Hl/vNTczNXJrOSmRZHgV5bwKb0VvrwDwshB/CVxob4PtwYCOhzV+Y7G4+ScTRPa4e19LZBNFuczG
Yy5oJSULNKgebsRr8fin+qJWpnzywAEFd6FiTK9Nxkug2Ry0bYAAPnonGcz6QeZf85j97wL/qyDs
TWqv0e6M+fgMctWwm0SO59Esz8PMeVN9hosW42FHqLjVt3A+Cpts+e0KBPeQ4f+IN7xXD2AnvRON
yqF48KoXV0FFJBeK4sbTmODQcOskp1sKZJdQ4McE+Tq5oidJm1lPsFXv2EJiHMg78BTAB7QlMd6F
opOQ4wjv836z2oIDRD6iW2IhYIBluAJPKWIhV+036akksVc8MFA3DqVE1/j/N/7cZUVcrgXHdret
N2SvT7GIMQdGZlqxmbkpBVb9Xi4Jiz1Dxl8wNqpObl6SWl5usWP79cEuL7jzMW6UWuIeaRvwlEUH
rOOVXnVaOILe1KRbIFX+4BacJU2t5tVy1Bip2S8i81CuQU1RHDxDdJv04cn9TwnkottAjxJCxc7i
m2Qk7WzQNrC1NPpwEsJFP3QKvmZD4fd9chbKcyTajtkzPkyO0r6nKCr6u0h7e1uFTMQtvjTmodMm
/phN7dB/PgoerZ0NuaDKJFQ6fKsAMR77edJL41Y++fgeVyGq5g6X9fTzRMorOQy7qQL2IP9KEnQO
w7Ru8SpxmxXAaI5G1Bs+GfRcdJjl78EPXqrRdlhfMwtycA+bYA17OzaHFmV1LXroQBqLDYQUETV3
Sn851CzGx9OSoujt4oY3xA3yJtrdLlFUNjKKv2YT8Ev+iCdc6mUgnwVtmOXCJlxdvPfuBVfC0oYF
1eD4gMkp7rZcPU0I128eQp0pF1J6AwGJJZWr24oy9p2eeNKDE6GQAAgwuOSQnKY9eM3/9hW+CZRQ
+KZLnO/xYQ9QkjWiaEriy0Y3v3rbCW3wQuUdZPsC2yIWgMFqzBHmP3yNQlcY/LmwH51loWRI2Lag
sI/4kZj6YeBpZk08wwZnxqq3/pjmXpdLnvb5ZH1IOVu4csoHhrj53KCmP5Y6aG9BF9zZn+LaX7tl
dDvW43GG6C+NssjAwMI60jq1HbqAJ+o0BcHl1ei+JC9IDyRZJi10MM6qA0NuS+V6H6r1ZvG5Qmwo
hYnQ44eLrw08yWpdwzBi0pXIysA0pnA0YAc7WixEkpCbotPGtyXmMWmEyDeqWzAuQxHBMGHPfKgM
SvEBQylA8u1uz7tktH1G/9j+sV7BLyeTpzU4ySaN05VnvkBl5gztt1oIB+WZJoN+SUVOFWvmwNR8
s3hBXAYvM2eRs8nGQmO4RWqIpJMMx+ySeADouD9LrBXM8tOTabY/6S0vn7d7xZTa9vlYqdHMKtX5
qeGY9NRCgySMaScpwaPFJzL3iA/x698zp1k22Asx11JNTlVbK+sI9ctMQt0842lkwQxcIx77+Tw6
ADmDaWSqbaniHE2iOtrdK2SeIVGmUDMmruJFdFEayPvPAnoI69z74AHIiXNWXqbpYmX+dOZfBVHw
mZiF5UFJUQXLYTM4ilfCDlkG9xHMkIgcETWOpMaUepzjTzXrKH0DEl8o/F7Y5ZzT7V/5R1DdOmKx
BgKnyNVkflfmqEYxAqOq9mlNo5I7JJfT9Hp4KLySiRUU+BNSmtjhfcR7VbnfPJo+pQdZl5kvW5Xj
HwxdQtJiJFy1aRsb/chws3R7XHhHh0bamfVB4PL2cWhUfzZ2HOA0TXZOBCnKJcztHPyfea5XKAfI
EpDEOkCXLNLyH83Kg2AAg8h8CEdVJ0LGP5mS+fwOfZw76ZHcmCWHnM3kd2ujuwqjeV4gbTeih4D2
BmETluHaOJHk6ddyZADvxXU0YZlT7E09R+rsr00tGf2195AyODt6zmtwddbp7uNQvbYFgmvLip+W
Ey5/vaZw0TjxCy+Ik6LioasnTwZ774X9uxpowJAGAl7ByeXVUZMpNg9ycyMLkVWfQMqcNe2c9Uy0
nS2Hma8xdc6byOnkyM3wltbCa0WqYy0nV3mGTkk7RkFk4EgRYN257tjOSGu1jt4o3F3CptM5E2SY
DPM4CGhuKTcdGRmCslAQPEoxipmvVBjNb3E53LVfo9j8yLxqRT8ChCsOvrKJqLh85NKAyJPlFskk
W+xEXNAbNZIItPHGFbJiLirZrlG6ogv4Rl4DoYXZmFosmKKYLN/nKanlr65t/FBw3ac8taOQuh2b
Ktl+c4RNMDs1qabjU+F+WCW+S8Yi3P/UATXGqYNMMyVFLGVPJ1n2CPZdK0S+2nwZ/hx6aHV97bte
m+A2ncMkeN8W9+gLn7DRHm/GEpY54EQ6sBS378knQoOzUqNniSnhx1zbeCXKiIxBDiFliwoMcRox
bxUuOu16B190Nd3hURb/Izno6hhCxSjS7T2IX0l756VpSOZrn8sMBXRCIhv5n25CBXgcYLI0zZ+t
m1VK6gnEAKUk9UL/ivAFBUMfBvNSegyWfQjo8vasSRkbYIgFDfeYH9iyTqY9YNUGyu9gp0Oj2DER
rv/rmgxebFEQf1RLRe0f/7Rh6UuaJhHXutkTdC7MvQ7fIEP+su/0fYTY0rufbiZ8wa0V949ItWe+
p06UgFBH2LnB5FdMxeldzJP5isSzi+Gi13n71eHl+XcWPfAZwIbAIZ2ICz91BUQOEIkQSgVSEhz9
ENJvhm772ibX1tFyRwq73IIcrFslxfQ4XWWYPH+x5+6O2hw0uumCc0tfwAlUBW0hAtnk/9LYRoi8
jlhT6VMyVIst668nlT3vQEJfpSOdkP0ji06w6DxT4rN2rlio4NndYLei+cl+fh5zXQ2Y4c4jFpz2
wa6n9JbzJ3akEgrRsIUxoDNjwpRJ6Y0sC+7NRPVMNNgCHKLDhno0Yq0dkJxw45xo6S1fykAvh99A
xvNEsqKTvE5R8FdlaliqX/fgt/7iWnEm4adwwnXmY3Mf2PmJkwJJex+v5wHcwo/xjP62STC5GsTO
gt7nN5DWokWL3ZRPefqBttH31MpkclDf+TwMZNv03mR7dkYwBQ+RVt8l3Vpso7raswoUTh6Y07xq
eIY905rHQ64rU3v2bmaMui5B9dV1LPkWknqN061JqFmwCzJM8SCYlbzk3fIW6xBI26xWGQkQ5Ea2
CLB3tugm5gxWZBFuHv6DRh74J6MAMMVpZ1iAK3biFJjI7n2QuId1/tExv8es7dI/ZFXYy+OCXI0v
HGuywCHmI1xpWCMhxUHYAehyEdXFSDoD8XeCgyhnF1ef60mSOK8zQZdlC4eiQBxtjf2wn/3GCDYR
FzZRcFY6mkn/OvA6ilmlEBVZ3ckykQTtdWiePuPJnITtUdND5sbRbRvdtKfgLPCBfbppWo0864SF
TIvuPuLqGSVIvYMfL3Fh3rjVPDF2v4uFjPavDuivwBABYfMejnps0aiGcvKoloCa+0pSHDkmfeM+
oJWlIfnKQbR3UR3z2Ay/7pMfdrG9u9bcHyE9ucfMdyCd+fmpOr5qduDxLLIgEQ2VDrEyFTdB12Z/
81h6VbCGXC5rkk7V6RxLNSXtrSqPXnQDpNrpAY8BrEEMGCNsTKP916jGMyz14iABZn29xYkj0hSu
PhrI2seORkGWXjzWoiATj6IcTk4b7+wUjATs/aP2J0pal87d5ROhL6KB4Pu6fx79s72COYL6rHLJ
XfCrQ/PBRzlNtnWY2SsN+F9Ow3V6nk8Xa+ht9F1WNnveXn/h9DcgHZbmyXB67FFA8BMseJfMhiI8
SGO6QB/6Ocwuga228Skz4Guwzmag6Pa/6N5CE+eZQXf/61LVKwH13/YP3phwLA7Zcp/6OEUHjj0X
MhUndcU224G0pZkAScYHY7izzZHxzGztQRzUJP50xSF+e2e4DpVQCGTmdXhPK3kdY4H04yWineoB
uDsED1l6Df+9oZbw3rq9i8SQKocK9dllffp0n1Gx3g3UHTiTpqEnXqsTszPmabyX4nqjCel2FP/n
BXmmO50PdItfvXwM1D+prSp64hOByJv7ALMCL4OOO5bIMmltlUJOuoqHosOo/yUlD8mW+RUnL2Yx
Y0VB8osK2V73FpnUAOY04a8c4wcuqm0KfLo9TDd16GL4WMz7puzajcwjva3aRvAFU1zQdJkqIPNT
LgCUxNduPd5QHbyl1vBEDhZQQcOWZ6ntzEl8tkfRU7e2DOL49p+Hv4mF5HNnz+cx1MIbeKbSrd31
mgG4OdJP8nHIRki1nr6Vgz8+DoS7qc1PJWAht9mtWQ+wE6uwUNETg9EmAnVsjeaWEOJGFVUk7ymB
EdlHUZYL13oGJTt/lDukwakcPl/V5rNi2rOGzz3Lo5r1xZ4UWkkFTniT1oLo068Di92Mt8Of4/Jv
Q93AmcHAy1xMhW5E56nkfO0D8kV3luAvQuDuGy8Oxs80X/7O3a7YM5POl6HZk6Yq83GJWLHMpKfR
3/t4X8+Bk99CbcMVCrEwPpl4Ew5FcSxyGLUqrT9a2XxhENHfJhwjWNwM8yWlev/jEHH9lqU4dFzt
tnt0Km5UsphsJcQsCETy+lXfTknZuxw9UaEVqq9O/8y4EVsNJvkk/UpNODaf5tMx80u0rrYLz2Db
ZlEHbBLRZnFD5qXhKwDKOyJ174kk3pcWn+Qrc3xjIlTarmeq5x4x5hR5eCnFtL113pzjVHJD/Pne
kG/E+xLXnXlh/zYq/TEjQO8A72Ex2Wiv/LsniYenWCwfH/IF3NJ15icubv5sRfrLiHQi04t+kcuV
tD4/sinv4Hl5pcomtz9ohI21mjvfuKVIXuiPgXaiBsi3bVdzMofbR0WyZ+LSJK8vSkNvrBpoHtzk
ZHk+oXz7y8yLhXiYTL+bGentPAwt47fbQMrF38FmtqEMOX63LVA/3BunqJItKX0kj+/Wh5Js/LwO
VM0SZzeNwEyLUxuNmYkWIVj+yB5w0i3qvU8UI7YT3+Ih/IyoKnCu3gZcvJTSJV5/ZVaTZ6MDICK7
5w1K/n1BNpnIpbZze6aPBYcG3d5wtCzCh50PpAXhiAOqUHNhSTsHB7u1CoKFJi5C0Aq3cJDpI67c
wnCwN/3T1UG661d5oimkc+UAYQzYKaMXT5ddIhH47FPeheU9e4rh1weeLwKPLazmRuWkMPOTjy+n
+OBTlvjmxZFoXf2hq4eb90x9k1p5Gw3JPZgjHhLgycxmrtuYYb5OMBLg4kMkNkCsl8LMMWPUE9/v
Yw2AqqnxK2bzJfxudaHoaboMIhG4b94yX4T7bZ7W3gj+K7Ovum+9z96wjfY9jp59hO8TAHp/+b/Z
HCLS6PhjOVjPFPi5/NntGUlOJdQP+aSmrv+I+9G7gZcwdpuu7uqTHXyfmnk66Yn0g2u2KoXTQ6TH
BNnPSPQCusYmjKIAMJRWEVOSS+O0tuMIcUyZU9fWar2NZmGt/obtXVCpiPZxmvXrfAaQ+T8WJjdL
KODD7ox9kXmTZI5mdRK+x8G+af7SaAtfuR8aJgX82/B+Fm/uU7C1wKaWOwc8GNGuJW6NYkj/lKam
FF3/U9y2+8g09Y3BNa6foJxfuE+HG2K+u9Lxze5/Q/IcPSx4GtIDKJd0MYxAvZMyAo8cO8Jr7cXG
RA1hmugckBvz+f4Bs4cZOJDhD2u0PE+MLuS9ILDFBzVv2vEmkHC+7pq3guJDD6mhCF9ceGJgUyzt
HVYN3dGiQSm4eVR/dtqOQgCjW4LspdXkJHGqZZfRlm7HzJArJlNvyD13CRabQzUagqWEYV+93Nhb
vSElSpOb6jH+6pfybasXdycKgZyFwOzXcA66PFjqHxgHOU+iNPGcxhTBCMZH++woAwxZIVhJxx16
HPEZdW93yP1UMZgSOULsB+wTWTBUGUpYru9A1WaKWGj6mi5/E6QHwPhAvnI7WOFAN5DajvgiM8qY
TS43GL5huE4l7gD/JTeO9Zaxlw7ty49PWMZiX6jhucOZH4wHb1JbPIu8mJ1/JQmdOMmKFUM/EtM/
MDthB0lLFETHiKvAnQ9guEdUztQD3adxunlKDWBeh86rPt4A+atYKPA4ceTR83e36Ch5rw7QQ3++
Jfc6M7oJ5JCGXfF53nNs+Mg7XMi6zBmSt39PsJF5EhIaz9q64LoTrVdDXWXAQzI71bAzCvBW0S9a
kMrwn/LN5TclG8ETxOMoJhIhkxD9+9AU5NxKU2tQtH102+5dO6FIyV/8Lj1Elig1fxtNvZUnRBjY
Llnsm8U7mN6OBXGTt5UTMFvvmvedeCeVOHwvDiOi2Ebtb/2b5es6xzqpnPvu/N3DxE89TgSnQUm4
JJbeKfjximcciNBBUwtESo+XM3M6z8dZKLC1IvQRwNUfRMOtmXsqQcwPKW7oz57QaHdQgN56lsjJ
R5/vsXZoPYhfCgp2yFj0s9837PVrm/g0NbRJQy7LtwZX5bKlvoTRANinERSe6/Ip83yIRQFf3wpw
e3s9gyXrJxIghWwu8+Wj9wjhUmbtBwJ3aeE6J4OAyct22Pfjn1OffwJGtymMjQPMF85tn6crYelo
7/03TsoevlYLDJPxYmqDXyQbG2jjVxjpMdgGHiRnCEhytPdVHHESY//joDF4Gj8pvxJuM4jRqHoU
TdRkEV7GCWm347os9G7AdgdEkp28KaanGk/xrobLAJ3QCFStubXvF2k+PuUQDBk2esb/dKhsAPh1
mVokpi3mP2B3A3hC3LDCyxdSQEelZsAT3WRjQFo50SmpXXF+iHyirkaWTr9NHy5ITbYIvx4uoIaM
93ZN412/wJ6adoZGUe5SRgtLUWcSQAuGoA9ZNal6o3Vz4IMBRo9N8PhnOtzq5iXJtOSdPxj8/OEQ
XBhWTjqCqayApczA1cnApBIYUqt6sLX0snkcbK7pVgi2fujAt8waYu0Rga9jy1RgC96J1J3nefkn
cbcITGAM9yI1HLvZNW3/cIeq+We2tR+91TZKqOCzsniwfh2s3l5reiIDrC8tlsqLj1RqxsGatpHB
jGGshdfTnW6SH4l0D2Hihwpp+zR6sjDlMeenLG8UTf5eM6N6PMm/qffg8nJq8sVTsFPymJpzhQFf
ERWdCC37OWg/u84hVc8IxBPBhR4p70ytr9NIelZrT94sngm5ysd2BFT29M3RqW9Hy18aOTozGkYT
ySNb3ZNft9FFk3ttV95tZwrF3X6V9/oziAzM1dfSyNsLsdiK0TPbEyovo14LjGcqr2lxRNzFEryh
krdkPWYtgZFrWxAdZFRMLDzvubsoqvamWE52Dw0Pro3DIxLvm7hZQOK7PhRbHhuJHpFfhGcAb3cQ
zo/qwwTMB4cGZAr7mK7heZsrIDmRY8Gof+dUKwrAEEJZqaRynzwxgfL9jnrd+AwcLnl13CyZsN/V
r8FIh8m4MCeca1O6crd8wM3PbeyfPjEKkXarHu8valwq5Xe3DoqD/YtTQkFHJYh+sKXbwRPq2ANa
v4e9Jx/l8KZFrjio0U2HMWUQWdSg6RqOJrTvb3trEeL3iPXwJmEjyxJ4is3F/Cz/nzq0eslwxWIi
9wLumPwrj2F2xJTyfEtxtQDijPKyjvdWZ8mEl0Y5DkUmjMdxpmzpvkFoe3kq5no15Ds/42M3lrJk
//KAC8aS22LMIyGRJ0ZnoqR+UGvztSFSJN00EuMWH/HWydnMvK9KQItpfeQHBGNhZtx0k93BwiLW
MBXnxTEGOJEi44M/PdPi2eSSSeJzza3uEc3NcwB0kqoRaD0+rS4NGZsGWEPb945NB9/c8/j1/opn
HZ2keRn5MiQvWtoVqoN7zpWgeFM8OvwxyyfcMkVjtIg9sfvdmWYlo0IVRSuxSeao5GmMpuu5DSpY
R1osSlxXGM4ZDO/4da14IbdgEG32bDLaAvaaqGIBtuZ8nsw0BPvqPng0G+KxW6u068f6W0N2DbEE
0e7QOLD5y8J8ePOazS4yPQk733y3LEwAXcI2keKaIY1jWr+PaiK0NfFGRE983TwHESOUX0tzAKBF
RrFvqgkMJFufGy7FsFAuy/3PM94cjMNcuxIgw2BLH+vRZ2VodRlOjhuEXcD6XAJrkjcbGE6OWOWo
UCDOwOOBlO5rRauxcWvL2gfhtu1xAQ4StQILMoktcarq0eBBhGeC3HibEBWJgrIGqRBwcoA+MLpW
2VbcUdUiUHNDrXdXUXBLMaJLThIY+qoykGxUmkVz1jA0l9+ErcOh4DyU4EOT5QSfWmkAbfU2UDK7
0IQujnx1oDcOPcx78/VepQ79qk1b8ClNaMhvS5fwe5hYTh3/RVAflSf/NcfmS+wHck47qEeuzQlY
KMPyh1+TghMicGUA9PlNKxiOXeECB7G4CCSDwCcKMEOg6Fdt9iTeL+nGi3nCNja68/rCiU7LDW6b
Iil7Dpdtt0Q++rtdeGJI7d/o5i3BdiuCELMkWyiZH5gYhuG5+7H7uiHMttjyXOthh+OpZmNmuYl0
LCm7qcsiY8SOINIfKAQFWHlN3bxs6ayDhnZx1dpzH2XRXmO8YODSCjhtswi7Hb3f0siOJmAl9XF7
LfRNbCqOsZNiAr3nA5g6H4ySNAVUim4jBBHiidmlcXPAV+CcUSycJaSFnUFkyvuPz/UnkREcJ0Ep
uePci9FqOclAVusUyjrlid3vcyGP5QlbuVjUZMb0GdrHiP8UWlmxuxTYVU2RdjZtL7+I3vKPgaPV
uGeQ6Cotc2F/+I7IKNM1WLcCUa0WqtenCNWH9klUY4v2BuH+HFFUQb6J1kuD8KBF35dGYFWJmc/P
CwbZpyVlN+Pqw07Wze/LUEtAFSVwI5R1AB1FnqmfMOStBKkbs2oyfgj19wWdV3QimxbW3pTJ2v8c
BfNA7ePOGfbUUKcwcOZXvwsec5eAoxtEJq5BjLa6tGsSwky/Kz1T2wPCMvUuc39zLaB0PSkllcJP
bK7CdV9UcdqSysRwu2dSjniLlh0XaXkP+XHfzs/vzb2K7gQcAcNErXydpP0Zo8c2VsKs2HSYo5jN
j++gHeIoycza/LRx3z/bYmavUwCMm/cG6yMLh48jjLJBh6gGKPPLrSU7FrNu4DyNp0UEPLoaoRJz
XYMU2gZF+8kOnGVV03T/EkyVVvn8q2RbTDWgSpmdtAnQCuFdfI6lg5RKm1mitsGgOLURBpUBDsx4
PRKpATiEaHqTgRN1ebS7mcyeINgZfi+SxGWfzYJDW+uWbXSJftX7c35lHi5HL8OgxMLui7MvOATR
Uws095N5kPc6B30PanePTlIDv2n+P8URTbljpVE8bn1bQiVaJJyehvnoIYhRjNa82alzBYAR04TO
dXFe/YbgvomkQrokjdAgJqdVf2yucgcAURrLUcGfuu97co9nF9/c3Jy2aTPUg9sKumv6tYygAQ3/
dnfwyJqVM3LHmfuXQ+H7+RUjw9tPkWXCdFBPQCFfQd8g+0VkJc5GeOtUAz8fYpgFiYlhPbbwXg88
C9dn3ssZfSv5NHQMgRp7ttWEYqi3tqCu4Q6zy2mLRTPdeXDfcsDsYC+nNIMF1VoqPA/cUKpoB9i+
PEc+HLZT62MvF+qCJYL05g110oPVmAcVpHRn1LnSFTnNSf8Y7hfK5oWnYUj96wyEbS65rWrY51pv
Aa5y7nBVQP+1OCA4a757XOT9c+f96vMkNNTZQQQYi4ETtp/WmwTCiXx+jOSIq1XK4YMXZolqy9Ym
0KMZspwmsoySGgzj7LFIuS9ZUlBbv06Uj15dUN4UaL8lrrP0H1I6azUCFH/TDAcM4BSuELaDd9nK
edl6nbTnpzVmbeEu5+urrgj9yHcU5QYtDWKRSUe70yqfYgVXNb8tyc7htB4rHDqZBcMSXoo+oF4z
d02MqkW6DIwsEp2LLsMztAb2UcOGcHyCkp//wqrH81NeHOqUraWBcqsYYDX6fsuWSdAmk2zOpn8m
+g6tm2fM2PJ8Nl8eXkhZyKAzAmmIiZWTG8LkwvJA0vIo8RyN6DN0Dl2fMTHLuwjTuzVClplJf5P4
1341UgKJIVFdgnezczMQs9QuS2vAOSfe3S+cqWwuuuu2Xo5p1aQVL0NmmFPk4E69SCIvwu9zgOKG
z/xieI6IutrlQrO18hygpAmDDpdLMeaUC2agUfUvSrH5M2lRKHtFOOSv/6ng+jrGO2yfdwvzHCe8
ksXl/E8es9mDMrkeHPrmqHh8H117h2yTJVlbkycciITDUmzkszfaIrpoUeG0gGBJansIYGaKpVUn
9pgCrJTd6vsZSXmkAifpHozSK91j2OtRmYp/d8psCq/lQTTklT13DFVSN9/mEhSO6XwOQlTHk+8A
ndz7Qko4G10TBOXId9Yy5Asu1sLEHyNvhbNUNfEniV2LBt2sBupnptsK+lajMa5Nqf4HvdHkPFK6
WE6N8yzNZjg4tmv2gK+I5EggxKcSZxqUBohh/vPN0Sc008IzurlZH2+2eOXJ/Ilsx9/+e9wiocQK
BwI/QL8sZZWmQBCHYlqFhunNsiVNShLpYr7OrxzYvAogEIYBL7x2MwE9i7nzMosZrZhqm9jG2EHs
kfxjQlBYdfFnfbsRXpu4B71QK9r0xH1+9oquqM5QNZ78XQKKwnrL6PoTxk2z1Z9a2StkV/Fcd8xB
WXWX8L426el/xPDV0dtLfpxmm7vEVdu+1O8hcA3KLg2Ewb1mjtzyOea0xtL+bpzFC1vSbd35Bd30
jI0yDyZvcBKuCADUErH5Nec3v4w1xUVCdko6Ij5IUdeGUiPgeZ99euDHk4CVVdDfjG/Z8MbOqjBq
8+XLkcdglbeu/EWvRQopoTdcWrPK+6LC1r/p9RSYbm8EmZysTMTrJ7RC8CMjwS9IOM9qUSJ6jpcD
E/pq+X7fTVYCJqilM+CeZCj76hj7F7IoOvwi0F1xWFrFD3Jtu9Ohc/h7NiNDE9Gr8YsleWFBByL/
qtZ5bZPGrbyeRH3j/av4nvS9raBnEuKHIJTdTYH7Wq15LJpNhnikVGcrqL9sTQ5dDV3vkqH6AW3K
Yy+rmNX/bXPtsTQR+Y9Pbipau0B5fDM6gfR7fJoRVE5WLWcCtYd9HyuEXWi3J8ClEWtLuR51Je1N
EGMCrFe5Awh0Rm+nZaKxm/0bofthZE6AdvgGTfB+oXCf1ElhP9LaaELF4TW+uagLenxdf8l9fP0n
qhrJWTKcyNk3oprT7EGOv6dHOGFQxY7HscGqiKpZmNqqRr+ntlLEMoVgj4Oqlz9K00F/S3RIxu17
uoCXabeLQD3mRG9smxgLE4+jkNU3Gv2QZxV7S32GLf337K5xGdpWrwJCMpy7RA9GJh5dJqte7fm7
3AVR/8H+uHVz7Zdj4MHokHCXg6rFcSM/CKLfZVdgQIuT+Kmpeje7/A99BJOqX5TXWDRljJPOCimn
ZHDXiyXLAsHu8RPGL3yJLU1MwF/D0R966fGGuxhYWT2NHAcBBzwLZtUENFPMlk9e+Kw0em4T0gQb
S/K0S9I9959HXN5NoBqJzi93ADafH48OW3vDmpnhTM5EjzUfNc3Tt8zItcNQLSV7apMjxjOG1fV0
ZA7TMLtF9QzqU4DmeP/ESN/l9N/P+GquHAzXlSueoVa8yRFy1auEuMtrO9tIf6eN76oXLHhBzxzr
dSH5AUqWunxqNmWVLHOlL+F1fVdhNUv0J70u1maZlcaqFEwdNJCULi3DV4L+JS0djSTIDPSDilO5
1MsyxVzm9uXcoB0hC6HsOOA5AG7blEEwjmdhcaTOEaa/f5p9E2nNWRMP2mu4TfdsGx7WBgH4L6+V
NiQOHEZ804hPceoWRBCBREXusyRd4HVPT7glQGwrLTPAF4bRzK3ncFXSkBs2JLrlGInZCrwFTk5F
YbEEtHJlOnGUpThS5HId8ksrkBslDHmb6c3x4s2GsH2etaSsDTBKOb0HXUIHrcO/IIEjbm364ozt
eCkhUylThsi55rMzbmcXEmx6zA4R5dgZwLaaIwKt+9s5D2txShxv3ZLkl8R5Zssy2QdQJhP7TMAx
vI/ST6LZ9/+ahC04ScOx5FbNGE9x3MpSXVTs9DmyMjEqqdbMh0sF+t3Sx+4lrGWXJAu57HnIn/Dq
etrPHISwn2GEcBMalPdRw/R92WtBI3ClQlc3Ppqivk0bgSK5B8IRpoohe6SANkBQBEbCc+m+Gwfy
8P/vOsdl0dQuFTyVpTElF27uYShTyph2Og71bcVrd7A1KEgxsJyR34VwsG4PFg+1IFNEPuAJFNbd
KepatSjyjzCoHzjLDDSayNFSGgexI6ibKcovcv1Q/XQceRzqepRszEZMmkwGV9SiiTSc6qFasPmL
BFvF
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
j28/CilfqjKHwgi6i7Rpz/m2jdmmcALMhxJD1d66NRIHzrtlOtKmb4akLm2LTyc0EYbhhwS0R7TJ
LcGEINPXUw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XHKk32FCrT65tn9bF2V3lVjHPDg1bTChY1VYTD0jsXzvHhRbjWIbHOZswe06ijVXGUuwD8gJIhgG
55B4WIPPdW9F3sZjQX/hJvrQjBSge8FjQqsarUjh1KU1iR228OTMOF2CIahbNLewwM2UgUDPaQGu
MrAW7tfkyd7nzozY74U=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FIo70alwhdSlqOKkw4AiAI0rQ5QiIAzG7RdMxF207Iir+D3zT2eDdVP6//BYxk71xpwShA2LMDFl
+/6BpJ2Iao96MUh8EXiQEs1NUpOL9eHJyquYNQTZYcJV+kz1CuyxB4wi5bHl8zbggxp0xLhrzEwl
S4kFDx3l7tdZaHZsXHo2Oa0BsOdDNlJhZkgVMvNeuUGYWc96nRo+7gCNwONV/aLt0nhbc8vKuEHH
LYzf1TpAtGkVi7YtMNMuOzJSXauFUU4vEEp6yw9KxsfA2/5lfI462wMmYTd0yp5FWbSyPSvDAefO
Z457RZ8QrW3WM3RPXER0agMqVBE6+ytDoccXvQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
vPUBrt8DHvVsOzFihsi8BHpYCnF+Nd4ymetaFHOSXD1sxIPOFWw71ijJxPc4gmurbh10yw9aJ4wl
880N0aT40wmrKQ7V8ld9KPrAQzPJh21a/stdqegGhq+FH/PgLdTXocaKQMDpyDKDML8Q4M1nZUOL
YHFPgokGSf21YGsoNCY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qlIt7y8ac1eCcZN5tTzRs4K7izUl3g1wSPt3t0V1ToK6aH2VQBsURZdCX7o/l1BNUmcFEEd3yIV1
vUyyiaPo3qWCmqSSDVxj+FaVGMAnLngJDUgm4AN9Zyrq9lT34eanH0g6dxjZXY0CYVxMbvBAMQ78
x2y+27MioDf2SckxD+sFnHReYwQVLTXQnbXs0NVU86nl0BZTpRVGkZkEO6M47hdEcqMW0oVwtxOP
eMkHhj+Lmw6fLLfTRX94w5y8rcFy3xlu/v2TNBxAnwq2M6rLDkACgAaUNfi9mYNCxt2j3ScTsMwJ
R+MY/6OMu1ti+ZEpPRhbxeaBFBXXfJ5fNEn2pQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13056)
`protect data_block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`protect end_protected
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003, Gaisler Research
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: clkmux
-- File: clkmux.vhd
-- Author: Edvin Catovic - Gaisler Research
-- Description: Glitch-free clock multiplexer
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.gencomp.all;
use work.allclkgen.all;
entity clkmux is
generic(tech : integer := 0;
rsel : integer range 0 to 1 := 0); -- registered sel
port(
i0, i1 : in std_ulogic;
sel : in std_ulogic;
o : out std_ulogic;
rst : in std_ulogic := '1'
);
end entity;
architecture rtl of clkmux is
signal seli, sel0, sel1, cg0, cg1 : std_ulogic;
begin
rs : if rsel = 1 generate
rsproc : process(i0)
begin
if rising_edge(i0) then seli <= sel; end if;
end process;
end generate;
cs : if rsel = 0 generate seli <= sel; end generate;
xil : if (tech = virtex2) or (tech = spartan3) or (tech = spartan3e)
or (tech = virtex4) or (tech = virtex5) generate
buf : clkmux_unisim port map(sel => seli, I0 => i0, I1 => i1, O => o);
end generate;
gen : if has_clkmux(tech) = 0 generate
p0 : process(i0, rst)
begin
if rst = '0' then
sel0 <= '1';
elsif falling_edge(i0) then
sel0 <= (not seli) and (not sel1);
end if;
end process;
p1 : process(i1, rst)
begin
if rst = '0' then
sel1 <= '0';
elsif falling_edge(i1) then
sel1 <= seli and (not sel0);
end if;
end process;
cg0 <= i0 and sel0;
cg1 <= i1 and sel1;
o <= cg0 or cg1;
end generate;
end architecture;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003, Gaisler Research
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: clkmux
-- File: clkmux.vhd
-- Author: Edvin Catovic - Gaisler Research
-- Description: Glitch-free clock multiplexer
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.gencomp.all;
use work.allclkgen.all;
entity clkmux is
generic(tech : integer := 0;
rsel : integer range 0 to 1 := 0); -- registered sel
port(
i0, i1 : in std_ulogic;
sel : in std_ulogic;
o : out std_ulogic;
rst : in std_ulogic := '1'
);
end entity;
architecture rtl of clkmux is
signal seli, sel0, sel1, cg0, cg1 : std_ulogic;
begin
rs : if rsel = 1 generate
rsproc : process(i0)
begin
if rising_edge(i0) then seli <= sel; end if;
end process;
end generate;
cs : if rsel = 0 generate seli <= sel; end generate;
xil : if (tech = virtex2) or (tech = spartan3) or (tech = spartan3e)
or (tech = virtex4) or (tech = virtex5) generate
buf : clkmux_unisim port map(sel => seli, I0 => i0, I1 => i1, O => o);
end generate;
gen : if has_clkmux(tech) = 0 generate
p0 : process(i0, rst)
begin
if rst = '0' then
sel0 <= '1';
elsif falling_edge(i0) then
sel0 <= (not seli) and (not sel1);
end if;
end process;
p1 : process(i1, rst)
begin
if rst = '0' then
sel1 <= '0';
elsif falling_edge(i1) then
sel1 <= seli and (not sel0);
end if;
end process;
cg0 <= i0 and sel0;
cg1 <= i1 and sel1;
o <= cg0 or cg1;
end generate;
end architecture;
|
architecture test of test2 is
constant foo : bar := "hel
lo";
begin end;
|
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2013 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file rgbfifo.vhd when simulating
-- the core, rgbfifo. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY rgbfifo IS
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC
);
END rgbfifo;
ARCHITECTURE rgbfifo_a OF rgbfifo IS
-- synthesis translate_off
COMPONENT wrapped_rgbfifo
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_rgbfifo USE ENTITY XilinxCoreLib.fifo_generator_v9_2(behavioral)
GENERIC MAP (
c_add_ngc_constraint => 0,
c_application_type_axis => 0,
c_application_type_rach => 0,
c_application_type_rdch => 0,
c_application_type_wach => 0,
c_application_type_wdch => 0,
c_application_type_wrch => 0,
c_axi_addr_width => 32,
c_axi_aruser_width => 1,
c_axi_awuser_width => 1,
c_axi_buser_width => 1,
c_axi_data_width => 64,
c_axi_id_width => 4,
c_axi_ruser_width => 1,
c_axi_type => 0,
c_axi_wuser_width => 1,
c_axis_tdata_width => 64,
c_axis_tdest_width => 4,
c_axis_tid_width => 8,
c_axis_tkeep_width => 4,
c_axis_tstrb_width => 4,
c_axis_tuser_width => 4,
c_axis_type => 0,
c_common_clock => 1,
c_count_type => 0,
c_data_count_width => 12,
c_default_value => "BlankString",
c_din_width => 8,
c_din_width_axis => 1,
c_din_width_rach => 32,
c_din_width_rdch => 64,
c_din_width_wach => 32,
c_din_width_wdch => 64,
c_din_width_wrch => 2,
c_dout_rst_val => "0",
c_dout_width => 8,
c_enable_rlocs => 0,
c_enable_rst_sync => 1,
c_error_injection_type => 0,
c_error_injection_type_axis => 0,
c_error_injection_type_rach => 0,
c_error_injection_type_rdch => 0,
c_error_injection_type_wach => 0,
c_error_injection_type_wdch => 0,
c_error_injection_type_wrch => 0,
c_family => "spartan6",
c_full_flags_rst_val => 0,
c_has_almost_empty => 1,
c_has_almost_full => 1,
c_has_axi_aruser => 0,
c_has_axi_awuser => 0,
c_has_axi_buser => 0,
c_has_axi_rd_channel => 0,
c_has_axi_ruser => 0,
c_has_axi_wr_channel => 0,
c_has_axi_wuser => 0,
c_has_axis_tdata => 0,
c_has_axis_tdest => 0,
c_has_axis_tid => 0,
c_has_axis_tkeep => 0,
c_has_axis_tlast => 0,
c_has_axis_tready => 1,
c_has_axis_tstrb => 0,
c_has_axis_tuser => 0,
c_has_backup => 0,
c_has_data_count => 0,
c_has_data_counts_axis => 0,
c_has_data_counts_rach => 0,
c_has_data_counts_rdch => 0,
c_has_data_counts_wach => 0,
c_has_data_counts_wdch => 0,
c_has_data_counts_wrch => 0,
c_has_int_clk => 0,
c_has_master_ce => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_has_prog_flags_axis => 0,
c_has_prog_flags_rach => 0,
c_has_prog_flags_rdch => 0,
c_has_prog_flags_wach => 0,
c_has_prog_flags_wdch => 0,
c_has_prog_flags_wrch => 0,
c_has_rd_data_count => 0,
c_has_rd_rst => 0,
c_has_rst => 1,
c_has_slave_ce => 0,
c_has_srst => 0,
c_has_underflow => 0,
c_has_valid => 1,
c_has_wr_ack => 0,
c_has_wr_data_count => 0,
c_has_wr_rst => 0,
c_implementation_type => 0,
c_implementation_type_axis => 1,
c_implementation_type_rach => 1,
c_implementation_type_rdch => 1,
c_implementation_type_wach => 1,
c_implementation_type_wdch => 1,
c_implementation_type_wrch => 1,
c_init_wr_pntr_val => 0,
c_interface_type => 0,
c_memory_type => 1,
c_mif_file_name => "BlankString",
c_msgon_val => 1,
c_optimization_mode => 0,
c_overflow_low => 0,
c_preload_latency => 0,
c_preload_regs => 1,
c_prim_fifo_type => "2kx9",
c_prog_empty_thresh_assert_val => 4,
c_prog_empty_thresh_assert_val_axis => 1022,
c_prog_empty_thresh_assert_val_rach => 1022,
c_prog_empty_thresh_assert_val_rdch => 1022,
c_prog_empty_thresh_assert_val_wach => 1022,
c_prog_empty_thresh_assert_val_wdch => 1022,
c_prog_empty_thresh_assert_val_wrch => 1022,
c_prog_empty_thresh_negate_val => 5,
c_prog_empty_type => 0,
c_prog_empty_type_axis => 0,
c_prog_empty_type_rach => 0,
c_prog_empty_type_rdch => 0,
c_prog_empty_type_wach => 0,
c_prog_empty_type_wdch => 0,
c_prog_empty_type_wrch => 0,
c_prog_full_thresh_assert_val => 2047,
c_prog_full_thresh_assert_val_axis => 1023,
c_prog_full_thresh_assert_val_rach => 1023,
c_prog_full_thresh_assert_val_rdch => 1023,
c_prog_full_thresh_assert_val_wach => 1023,
c_prog_full_thresh_assert_val_wdch => 1023,
c_prog_full_thresh_assert_val_wrch => 1023,
c_prog_full_thresh_negate_val => 2046,
c_prog_full_type => 0,
c_prog_full_type_axis => 0,
c_prog_full_type_rach => 0,
c_prog_full_type_rdch => 0,
c_prog_full_type_wach => 0,
c_prog_full_type_wdch => 0,
c_prog_full_type_wrch => 0,
c_rach_type => 0,
c_rd_data_count_width => 12,
c_rd_depth => 2048,
c_rd_freq => 1,
c_rd_pntr_width => 11,
c_rdch_type => 0,
c_reg_slice_mode_axis => 0,
c_reg_slice_mode_rach => 0,
c_reg_slice_mode_rdch => 0,
c_reg_slice_mode_wach => 0,
c_reg_slice_mode_wdch => 0,
c_reg_slice_mode_wrch => 0,
c_synchronizer_stage => 2,
c_underflow_low => 0,
c_use_common_overflow => 0,
c_use_common_underflow => 0,
c_use_default_settings => 0,
c_use_dout_rst => 1,
c_use_ecc => 0,
c_use_ecc_axis => 0,
c_use_ecc_rach => 0,
c_use_ecc_rdch => 0,
c_use_ecc_wach => 0,
c_use_ecc_wdch => 0,
c_use_ecc_wrch => 0,
c_use_embedded_reg => 0,
c_use_fifo16_flags => 0,
c_use_fwft_data_count => 1,
c_valid_low => 0,
c_wach_type => 0,
c_wdch_type => 0,
c_wr_ack_low => 0,
c_wr_data_count_width => 12,
c_wr_depth => 2048,
c_wr_depth_axis => 1024,
c_wr_depth_rach => 16,
c_wr_depth_rdch => 1024,
c_wr_depth_wach => 16,
c_wr_depth_wdch => 1024,
c_wr_depth_wrch => 16,
c_wr_freq => 1,
c_wr_pntr_width => 11,
c_wr_pntr_width_axis => 10,
c_wr_pntr_width_rach => 4,
c_wr_pntr_width_rdch => 10,
c_wr_pntr_width_wach => 4,
c_wr_pntr_width_wdch => 10,
c_wr_pntr_width_wrch => 4,
c_wr_response_latency => 1,
c_wrch_type => 0
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_rgbfifo
PORT MAP (
clk => clk,
rst => rst,
din => din,
wr_en => wr_en,
rd_en => rd_en,
dout => dout,
full => full,
almost_full => almost_full,
empty => empty,
almost_empty => almost_empty,
valid => valid
);
-- synthesis translate_on
END rgbfifo_a;
|
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2013 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file rgbfifo.vhd when simulating
-- the core, rgbfifo. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY rgbfifo IS
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC
);
END rgbfifo;
ARCHITECTURE rgbfifo_a OF rgbfifo IS
-- synthesis translate_off
COMPONENT wrapped_rgbfifo
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_rgbfifo USE ENTITY XilinxCoreLib.fifo_generator_v9_2(behavioral)
GENERIC MAP (
c_add_ngc_constraint => 0,
c_application_type_axis => 0,
c_application_type_rach => 0,
c_application_type_rdch => 0,
c_application_type_wach => 0,
c_application_type_wdch => 0,
c_application_type_wrch => 0,
c_axi_addr_width => 32,
c_axi_aruser_width => 1,
c_axi_awuser_width => 1,
c_axi_buser_width => 1,
c_axi_data_width => 64,
c_axi_id_width => 4,
c_axi_ruser_width => 1,
c_axi_type => 0,
c_axi_wuser_width => 1,
c_axis_tdata_width => 64,
c_axis_tdest_width => 4,
c_axis_tid_width => 8,
c_axis_tkeep_width => 4,
c_axis_tstrb_width => 4,
c_axis_tuser_width => 4,
c_axis_type => 0,
c_common_clock => 1,
c_count_type => 0,
c_data_count_width => 12,
c_default_value => "BlankString",
c_din_width => 8,
c_din_width_axis => 1,
c_din_width_rach => 32,
c_din_width_rdch => 64,
c_din_width_wach => 32,
c_din_width_wdch => 64,
c_din_width_wrch => 2,
c_dout_rst_val => "0",
c_dout_width => 8,
c_enable_rlocs => 0,
c_enable_rst_sync => 1,
c_error_injection_type => 0,
c_error_injection_type_axis => 0,
c_error_injection_type_rach => 0,
c_error_injection_type_rdch => 0,
c_error_injection_type_wach => 0,
c_error_injection_type_wdch => 0,
c_error_injection_type_wrch => 0,
c_family => "spartan6",
c_full_flags_rst_val => 0,
c_has_almost_empty => 1,
c_has_almost_full => 1,
c_has_axi_aruser => 0,
c_has_axi_awuser => 0,
c_has_axi_buser => 0,
c_has_axi_rd_channel => 0,
c_has_axi_ruser => 0,
c_has_axi_wr_channel => 0,
c_has_axi_wuser => 0,
c_has_axis_tdata => 0,
c_has_axis_tdest => 0,
c_has_axis_tid => 0,
c_has_axis_tkeep => 0,
c_has_axis_tlast => 0,
c_has_axis_tready => 1,
c_has_axis_tstrb => 0,
c_has_axis_tuser => 0,
c_has_backup => 0,
c_has_data_count => 0,
c_has_data_counts_axis => 0,
c_has_data_counts_rach => 0,
c_has_data_counts_rdch => 0,
c_has_data_counts_wach => 0,
c_has_data_counts_wdch => 0,
c_has_data_counts_wrch => 0,
c_has_int_clk => 0,
c_has_master_ce => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_has_prog_flags_axis => 0,
c_has_prog_flags_rach => 0,
c_has_prog_flags_rdch => 0,
c_has_prog_flags_wach => 0,
c_has_prog_flags_wdch => 0,
c_has_prog_flags_wrch => 0,
c_has_rd_data_count => 0,
c_has_rd_rst => 0,
c_has_rst => 1,
c_has_slave_ce => 0,
c_has_srst => 0,
c_has_underflow => 0,
c_has_valid => 1,
c_has_wr_ack => 0,
c_has_wr_data_count => 0,
c_has_wr_rst => 0,
c_implementation_type => 0,
c_implementation_type_axis => 1,
c_implementation_type_rach => 1,
c_implementation_type_rdch => 1,
c_implementation_type_wach => 1,
c_implementation_type_wdch => 1,
c_implementation_type_wrch => 1,
c_init_wr_pntr_val => 0,
c_interface_type => 0,
c_memory_type => 1,
c_mif_file_name => "BlankString",
c_msgon_val => 1,
c_optimization_mode => 0,
c_overflow_low => 0,
c_preload_latency => 0,
c_preload_regs => 1,
c_prim_fifo_type => "2kx9",
c_prog_empty_thresh_assert_val => 4,
c_prog_empty_thresh_assert_val_axis => 1022,
c_prog_empty_thresh_assert_val_rach => 1022,
c_prog_empty_thresh_assert_val_rdch => 1022,
c_prog_empty_thresh_assert_val_wach => 1022,
c_prog_empty_thresh_assert_val_wdch => 1022,
c_prog_empty_thresh_assert_val_wrch => 1022,
c_prog_empty_thresh_negate_val => 5,
c_prog_empty_type => 0,
c_prog_empty_type_axis => 0,
c_prog_empty_type_rach => 0,
c_prog_empty_type_rdch => 0,
c_prog_empty_type_wach => 0,
c_prog_empty_type_wdch => 0,
c_prog_empty_type_wrch => 0,
c_prog_full_thresh_assert_val => 2047,
c_prog_full_thresh_assert_val_axis => 1023,
c_prog_full_thresh_assert_val_rach => 1023,
c_prog_full_thresh_assert_val_rdch => 1023,
c_prog_full_thresh_assert_val_wach => 1023,
c_prog_full_thresh_assert_val_wdch => 1023,
c_prog_full_thresh_assert_val_wrch => 1023,
c_prog_full_thresh_negate_val => 2046,
c_prog_full_type => 0,
c_prog_full_type_axis => 0,
c_prog_full_type_rach => 0,
c_prog_full_type_rdch => 0,
c_prog_full_type_wach => 0,
c_prog_full_type_wdch => 0,
c_prog_full_type_wrch => 0,
c_rach_type => 0,
c_rd_data_count_width => 12,
c_rd_depth => 2048,
c_rd_freq => 1,
c_rd_pntr_width => 11,
c_rdch_type => 0,
c_reg_slice_mode_axis => 0,
c_reg_slice_mode_rach => 0,
c_reg_slice_mode_rdch => 0,
c_reg_slice_mode_wach => 0,
c_reg_slice_mode_wdch => 0,
c_reg_slice_mode_wrch => 0,
c_synchronizer_stage => 2,
c_underflow_low => 0,
c_use_common_overflow => 0,
c_use_common_underflow => 0,
c_use_default_settings => 0,
c_use_dout_rst => 1,
c_use_ecc => 0,
c_use_ecc_axis => 0,
c_use_ecc_rach => 0,
c_use_ecc_rdch => 0,
c_use_ecc_wach => 0,
c_use_ecc_wdch => 0,
c_use_ecc_wrch => 0,
c_use_embedded_reg => 0,
c_use_fifo16_flags => 0,
c_use_fwft_data_count => 1,
c_valid_low => 0,
c_wach_type => 0,
c_wdch_type => 0,
c_wr_ack_low => 0,
c_wr_data_count_width => 12,
c_wr_depth => 2048,
c_wr_depth_axis => 1024,
c_wr_depth_rach => 16,
c_wr_depth_rdch => 1024,
c_wr_depth_wach => 16,
c_wr_depth_wdch => 1024,
c_wr_depth_wrch => 16,
c_wr_freq => 1,
c_wr_pntr_width => 11,
c_wr_pntr_width_axis => 10,
c_wr_pntr_width_rach => 4,
c_wr_pntr_width_rdch => 10,
c_wr_pntr_width_wach => 4,
c_wr_pntr_width_wdch => 10,
c_wr_pntr_width_wrch => 4,
c_wr_response_latency => 1,
c_wrch_type => 0
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_rgbfifo
PORT MAP (
clk => clk,
rst => rst,
din => din,
wr_en => wr_en,
rd_en => rd_en,
dout => dout,
full => full,
almost_full => almost_full,
empty => empty,
almost_empty => almost_empty,
valid => valid
);
-- synthesis translate_on
END rgbfifo_a;
|
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2013 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file rgbfifo.vhd when simulating
-- the core, rgbfifo. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY rgbfifo IS
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC
);
END rgbfifo;
ARCHITECTURE rgbfifo_a OF rgbfifo IS
-- synthesis translate_off
COMPONENT wrapped_rgbfifo
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_rgbfifo USE ENTITY XilinxCoreLib.fifo_generator_v9_2(behavioral)
GENERIC MAP (
c_add_ngc_constraint => 0,
c_application_type_axis => 0,
c_application_type_rach => 0,
c_application_type_rdch => 0,
c_application_type_wach => 0,
c_application_type_wdch => 0,
c_application_type_wrch => 0,
c_axi_addr_width => 32,
c_axi_aruser_width => 1,
c_axi_awuser_width => 1,
c_axi_buser_width => 1,
c_axi_data_width => 64,
c_axi_id_width => 4,
c_axi_ruser_width => 1,
c_axi_type => 0,
c_axi_wuser_width => 1,
c_axis_tdata_width => 64,
c_axis_tdest_width => 4,
c_axis_tid_width => 8,
c_axis_tkeep_width => 4,
c_axis_tstrb_width => 4,
c_axis_tuser_width => 4,
c_axis_type => 0,
c_common_clock => 1,
c_count_type => 0,
c_data_count_width => 12,
c_default_value => "BlankString",
c_din_width => 8,
c_din_width_axis => 1,
c_din_width_rach => 32,
c_din_width_rdch => 64,
c_din_width_wach => 32,
c_din_width_wdch => 64,
c_din_width_wrch => 2,
c_dout_rst_val => "0",
c_dout_width => 8,
c_enable_rlocs => 0,
c_enable_rst_sync => 1,
c_error_injection_type => 0,
c_error_injection_type_axis => 0,
c_error_injection_type_rach => 0,
c_error_injection_type_rdch => 0,
c_error_injection_type_wach => 0,
c_error_injection_type_wdch => 0,
c_error_injection_type_wrch => 0,
c_family => "spartan6",
c_full_flags_rst_val => 0,
c_has_almost_empty => 1,
c_has_almost_full => 1,
c_has_axi_aruser => 0,
c_has_axi_awuser => 0,
c_has_axi_buser => 0,
c_has_axi_rd_channel => 0,
c_has_axi_ruser => 0,
c_has_axi_wr_channel => 0,
c_has_axi_wuser => 0,
c_has_axis_tdata => 0,
c_has_axis_tdest => 0,
c_has_axis_tid => 0,
c_has_axis_tkeep => 0,
c_has_axis_tlast => 0,
c_has_axis_tready => 1,
c_has_axis_tstrb => 0,
c_has_axis_tuser => 0,
c_has_backup => 0,
c_has_data_count => 0,
c_has_data_counts_axis => 0,
c_has_data_counts_rach => 0,
c_has_data_counts_rdch => 0,
c_has_data_counts_wach => 0,
c_has_data_counts_wdch => 0,
c_has_data_counts_wrch => 0,
c_has_int_clk => 0,
c_has_master_ce => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_has_prog_flags_axis => 0,
c_has_prog_flags_rach => 0,
c_has_prog_flags_rdch => 0,
c_has_prog_flags_wach => 0,
c_has_prog_flags_wdch => 0,
c_has_prog_flags_wrch => 0,
c_has_rd_data_count => 0,
c_has_rd_rst => 0,
c_has_rst => 1,
c_has_slave_ce => 0,
c_has_srst => 0,
c_has_underflow => 0,
c_has_valid => 1,
c_has_wr_ack => 0,
c_has_wr_data_count => 0,
c_has_wr_rst => 0,
c_implementation_type => 0,
c_implementation_type_axis => 1,
c_implementation_type_rach => 1,
c_implementation_type_rdch => 1,
c_implementation_type_wach => 1,
c_implementation_type_wdch => 1,
c_implementation_type_wrch => 1,
c_init_wr_pntr_val => 0,
c_interface_type => 0,
c_memory_type => 1,
c_mif_file_name => "BlankString",
c_msgon_val => 1,
c_optimization_mode => 0,
c_overflow_low => 0,
c_preload_latency => 0,
c_preload_regs => 1,
c_prim_fifo_type => "2kx9",
c_prog_empty_thresh_assert_val => 4,
c_prog_empty_thresh_assert_val_axis => 1022,
c_prog_empty_thresh_assert_val_rach => 1022,
c_prog_empty_thresh_assert_val_rdch => 1022,
c_prog_empty_thresh_assert_val_wach => 1022,
c_prog_empty_thresh_assert_val_wdch => 1022,
c_prog_empty_thresh_assert_val_wrch => 1022,
c_prog_empty_thresh_negate_val => 5,
c_prog_empty_type => 0,
c_prog_empty_type_axis => 0,
c_prog_empty_type_rach => 0,
c_prog_empty_type_rdch => 0,
c_prog_empty_type_wach => 0,
c_prog_empty_type_wdch => 0,
c_prog_empty_type_wrch => 0,
c_prog_full_thresh_assert_val => 2047,
c_prog_full_thresh_assert_val_axis => 1023,
c_prog_full_thresh_assert_val_rach => 1023,
c_prog_full_thresh_assert_val_rdch => 1023,
c_prog_full_thresh_assert_val_wach => 1023,
c_prog_full_thresh_assert_val_wdch => 1023,
c_prog_full_thresh_assert_val_wrch => 1023,
c_prog_full_thresh_negate_val => 2046,
c_prog_full_type => 0,
c_prog_full_type_axis => 0,
c_prog_full_type_rach => 0,
c_prog_full_type_rdch => 0,
c_prog_full_type_wach => 0,
c_prog_full_type_wdch => 0,
c_prog_full_type_wrch => 0,
c_rach_type => 0,
c_rd_data_count_width => 12,
c_rd_depth => 2048,
c_rd_freq => 1,
c_rd_pntr_width => 11,
c_rdch_type => 0,
c_reg_slice_mode_axis => 0,
c_reg_slice_mode_rach => 0,
c_reg_slice_mode_rdch => 0,
c_reg_slice_mode_wach => 0,
c_reg_slice_mode_wdch => 0,
c_reg_slice_mode_wrch => 0,
c_synchronizer_stage => 2,
c_underflow_low => 0,
c_use_common_overflow => 0,
c_use_common_underflow => 0,
c_use_default_settings => 0,
c_use_dout_rst => 1,
c_use_ecc => 0,
c_use_ecc_axis => 0,
c_use_ecc_rach => 0,
c_use_ecc_rdch => 0,
c_use_ecc_wach => 0,
c_use_ecc_wdch => 0,
c_use_ecc_wrch => 0,
c_use_embedded_reg => 0,
c_use_fifo16_flags => 0,
c_use_fwft_data_count => 1,
c_valid_low => 0,
c_wach_type => 0,
c_wdch_type => 0,
c_wr_ack_low => 0,
c_wr_data_count_width => 12,
c_wr_depth => 2048,
c_wr_depth_axis => 1024,
c_wr_depth_rach => 16,
c_wr_depth_rdch => 1024,
c_wr_depth_wach => 16,
c_wr_depth_wdch => 1024,
c_wr_depth_wrch => 16,
c_wr_freq => 1,
c_wr_pntr_width => 11,
c_wr_pntr_width_axis => 10,
c_wr_pntr_width_rach => 4,
c_wr_pntr_width_rdch => 10,
c_wr_pntr_width_wach => 4,
c_wr_pntr_width_wdch => 10,
c_wr_pntr_width_wrch => 4,
c_wr_response_latency => 1,
c_wrch_type => 0
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_rgbfifo
PORT MAP (
clk => clk,
rst => rst,
din => din,
wr_en => wr_en,
rd_en => rd_en,
dout => dout,
full => full,
almost_full => almost_full,
empty => empty,
almost_empty => almost_empty,
valid => valid
);
-- synthesis translate_on
END rgbfifo_a;
|
------------------------------------------------------------------------
-- Author: Aleksandr Gudilko
-- Email: [email protected]
--
-- File:Input_filter_4channel.vhd
--
-- Description:
--
-- General-purpose input filter for FPGA signals (Majority filter)
-- Eliminates line "ringing" and create stable output for high speed logic.
------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity input_filter_4ch is
port(
reset :in std_logic; -- unfiltered input signal
INPUT_CLK :in std_logic; -- input clock signal
INPUT_SIGNAL_1 :in std_logic; -- unfiltered input signal
INPUT_SIGNAL_2 :in std_logic; -- unfiltered input signal
INPUT_SIGNAL_3 :in std_logic; -- unfiltered input signal
INPUT_SIGNAL_4 :in std_logic; -- unfiltered input signal
FILTERED_SIGNAL_1 :out std_logic; -- output filtered signal
FILTERED_SIGNAL_2 :out std_logic; -- output filtered signal
FILTERED_SIGNAL_3 :out std_logic; -- output filtered signal
FILTERED_SIGNAL_4 :out std_logic -- output filtered signal
);
end input_filter_4ch;
architecture arch of input_filter_4ch is
signal in1 :std_logic_vector (2 downto 0);
signal in2 :std_logic_vector (2 downto 0);
signal in3 :std_logic_vector (2 downto 0);
signal in4 :std_logic_vector (2 downto 0);
begin
FILTERED_SIGNAL_1 <= (in1(0) and in1(1)) or (in1(1) and in1(2)) or (in1(2) and in1(0));
FILTERED_SIGNAL_2 <= (in2(0) and in2(1)) or (in2(1) and in2(2)) or (in2(2) and in2(0));
FILTERED_SIGNAL_3 <= (in3(0) and in3(1)) or (in3(1) and in3(2)) or (in3(2) and in3(0));
FILTERED_SIGNAL_4 <= (in4(0) and in4(1)) or (in4(1) and in4(2)) or (in4(2) and in4(0));
proc1:
process(INPUT_CLK, reset)
begin
if reset = '0' then
in1 <= "000";
elsif rising_edge(input_clk) then
in1(2) <= in1(1);
in1(1) <= in1(0);
in1(0) <= input_signal_1;
end if;
end process proc1;
proc2:
process(INPUT_CLK, reset)
begin
if reset = '0' then
in2 <= "000";
elsif rising_edge(input_clk) then
in2(2) <= in2(1);
in2(1) <= in2(0);
in2(0) <= input_signal_2;
end if;
end process proc2;
proc3:
process(INPUT_CLK, reset)
begin
if reset = '0' then
in3 <= "000";
elsif rising_edge(input_clk) then
in3(2) <= in3(1);
in3(1) <= in3(0);
in3(0) <= input_signal_3;
end if;
end process proc3;
proc4:
process(INPUT_CLK, reset)
begin
if reset = '0' then
in4 <= "000";
elsif rising_edge(input_clk) then
in4(2) <= in4(1);
in4(1) <= in4(0);
in4(0) <= input_signal_4;
end if;
end process proc4;
end arch; |
-- -*- vhdl -*-
-------------------------------------------------------------------------------
-- Copyright (c) 2012, The CARPE Project, All rights reserved. --
-- See the AUTHORS file for individual contributors. --
-- --
-- Copyright and related rights are licensed under the Solderpad --
-- Hardware License, Version 0.51 (the "License"); you may not use this --
-- file except in compliance with the License. You may obtain a copy of --
-- the License at http://solderpad.org/licenses/SHL-0.51. --
-- --
-- Unless required by applicable law or agreed to in writing, software, --
-- hardware and materials distributed under this License is distributed --
-- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, --
-- either express or implied. See the License for the specific language --
-- governing permissions and limitations under the License. --
-------------------------------------------------------------------------------
architecture rtl of madd is
begin
madd : entity work.madd_inferred(rtl)
generic map (
src1_bits => src1_bits,
src2_bits => src2_bits
)
port map (
unsgnd => unsgnd,
sub => sub,
acc => acc,
src1 => src1,
src2 => src2,
result => result,
overflow => overflow
);
end;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level core wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: blk_mem_gen_v7_3_exdes.vhd
--
-- Description:
-- This is the actual BMG core wrapper.
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY blk_mem_gen_v7_3_exdes IS
PORT (
--Inputs - Port A
ENA : IN STD_LOGIC; --opt port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Inputs - Port B
ENB : IN STD_LOGIC; --opt port
ADDRB : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END blk_mem_gen_v7_3_exdes;
ARCHITECTURE xilinx OF blk_mem_gen_v7_3_exdes IS
COMPONENT BUFG IS
PORT (
I : IN STD_ULOGIC;
O : OUT STD_ULOGIC
);
END COMPONENT;
COMPONENT blk_mem_gen_v7_3 IS
PORT (
--Port A
ENA : IN STD_LOGIC; --opt port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Port B
ENB : IN STD_LOGIC; --opt port
ADDRB : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
SIGNAL CLKA_buf : STD_LOGIC;
SIGNAL CLKB_buf : STD_LOGIC;
SIGNAL S_ACLK_buf : STD_LOGIC;
BEGIN
bufg_A : BUFG
PORT MAP (
I => CLKA,
O => CLKA_buf
);
bufg_B : BUFG
PORT MAP (
I => CLKB,
O => CLKB_buf
);
bmg0 : blk_mem_gen_v7_3
PORT MAP (
--Port A
ENA => ENA,
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
CLKA => CLKA_buf,
--Port B
ENB => ENB,
ADDRB => ADDRB,
DOUTB => DOUTB,
CLKB => CLKB_buf
);
END xilinx;
|
component soc_system is
port (
cart_io_ad_in : in std_logic_vector(15 downto 0) := (others => 'X'); -- ad_in
cart_io_ad_out : out std_logic_vector(15 downto 0); -- ad_out
cart_io_ad_outen : out std_logic; -- ad_outen
cart_io_ale_h : out std_logic; -- ale_h
cart_io_ale_l : out std_logic; -- ale_l
cart_io_read_n : out std_logic; -- read_n
cart_io_write_n : out std_logic; -- write_n
cart_led_out_led_read : out std_logic; -- led_read
cart_led_out_led_write : out std_logic; -- led_write
clk_clk : in std_logic := 'X'; -- clk
hps_io_hps_io_emac1_inst_TX_CLK : out std_logic; -- hps_io_emac1_inst_TX_CLK
hps_io_hps_io_emac1_inst_TXD0 : out std_logic; -- hps_io_emac1_inst_TXD0
hps_io_hps_io_emac1_inst_TXD1 : out std_logic; -- hps_io_emac1_inst_TXD1
hps_io_hps_io_emac1_inst_TXD2 : out std_logic; -- hps_io_emac1_inst_TXD2
hps_io_hps_io_emac1_inst_TXD3 : out std_logic; -- hps_io_emac1_inst_TXD3
hps_io_hps_io_emac1_inst_RXD0 : in std_logic := 'X'; -- hps_io_emac1_inst_RXD0
hps_io_hps_io_emac1_inst_MDIO : inout std_logic := 'X'; -- hps_io_emac1_inst_MDIO
hps_io_hps_io_emac1_inst_MDC : out std_logic; -- hps_io_emac1_inst_MDC
hps_io_hps_io_emac1_inst_RX_CTL : in std_logic := 'X'; -- hps_io_emac1_inst_RX_CTL
hps_io_hps_io_emac1_inst_TX_CTL : out std_logic; -- hps_io_emac1_inst_TX_CTL
hps_io_hps_io_emac1_inst_RX_CLK : in std_logic := 'X'; -- hps_io_emac1_inst_RX_CLK
hps_io_hps_io_emac1_inst_RXD1 : in std_logic := 'X'; -- hps_io_emac1_inst_RXD1
hps_io_hps_io_emac1_inst_RXD2 : in std_logic := 'X'; -- hps_io_emac1_inst_RXD2
hps_io_hps_io_emac1_inst_RXD3 : in std_logic := 'X'; -- hps_io_emac1_inst_RXD3
hps_io_hps_io_sdio_inst_CMD : inout std_logic := 'X'; -- hps_io_sdio_inst_CMD
hps_io_hps_io_sdio_inst_D0 : inout std_logic := 'X'; -- hps_io_sdio_inst_D0
hps_io_hps_io_sdio_inst_D1 : inout std_logic := 'X'; -- hps_io_sdio_inst_D1
hps_io_hps_io_sdio_inst_CLK : out std_logic; -- hps_io_sdio_inst_CLK
hps_io_hps_io_sdio_inst_D2 : inout std_logic := 'X'; -- hps_io_sdio_inst_D2
hps_io_hps_io_sdio_inst_D3 : inout std_logic := 'X'; -- hps_io_sdio_inst_D3
hps_io_hps_io_usb1_inst_D0 : inout std_logic := 'X'; -- hps_io_usb1_inst_D0
hps_io_hps_io_usb1_inst_D1 : inout std_logic := 'X'; -- hps_io_usb1_inst_D1
hps_io_hps_io_usb1_inst_D2 : inout std_logic := 'X'; -- hps_io_usb1_inst_D2
hps_io_hps_io_usb1_inst_D3 : inout std_logic := 'X'; -- hps_io_usb1_inst_D3
hps_io_hps_io_usb1_inst_D4 : inout std_logic := 'X'; -- hps_io_usb1_inst_D4
hps_io_hps_io_usb1_inst_D5 : inout std_logic := 'X'; -- hps_io_usb1_inst_D5
hps_io_hps_io_usb1_inst_D6 : inout std_logic := 'X'; -- hps_io_usb1_inst_D6
hps_io_hps_io_usb1_inst_D7 : inout std_logic := 'X'; -- hps_io_usb1_inst_D7
hps_io_hps_io_usb1_inst_CLK : in std_logic := 'X'; -- hps_io_usb1_inst_CLK
hps_io_hps_io_usb1_inst_STP : out std_logic; -- hps_io_usb1_inst_STP
hps_io_hps_io_usb1_inst_DIR : in std_logic := 'X'; -- hps_io_usb1_inst_DIR
hps_io_hps_io_usb1_inst_NXT : in std_logic := 'X'; -- hps_io_usb1_inst_NXT
hps_io_hps_io_spim1_inst_CLK : out std_logic; -- hps_io_spim1_inst_CLK
hps_io_hps_io_spim1_inst_MOSI : out std_logic; -- hps_io_spim1_inst_MOSI
hps_io_hps_io_spim1_inst_MISO : in std_logic := 'X'; -- hps_io_spim1_inst_MISO
hps_io_hps_io_spim1_inst_SS0 : out std_logic; -- hps_io_spim1_inst_SS0
hps_io_hps_io_uart0_inst_RX : in std_logic := 'X'; -- hps_io_uart0_inst_RX
hps_io_hps_io_uart0_inst_TX : out std_logic; -- hps_io_uart0_inst_TX
hps_io_hps_io_i2c0_inst_SDA : inout std_logic := 'X'; -- hps_io_i2c0_inst_SDA
hps_io_hps_io_i2c0_inst_SCL : inout std_logic := 'X'; -- hps_io_i2c0_inst_SCL
hps_io_hps_io_i2c1_inst_SDA : inout std_logic := 'X'; -- hps_io_i2c1_inst_SDA
hps_io_hps_io_i2c1_inst_SCL : inout std_logic := 'X'; -- hps_io_i2c1_inst_SCL
hps_io_hps_io_gpio_inst_GPIO09 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO09
hps_io_hps_io_gpio_inst_GPIO35 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO35
hps_io_hps_io_gpio_inst_GPIO40 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO40
hps_io_hps_io_gpio_inst_GPIO53 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO53
hps_io_hps_io_gpio_inst_GPIO54 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO54
hps_io_hps_io_gpio_inst_GPIO61 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO61
memory_mem_a : out std_logic_vector(14 downto 0); -- mem_a
memory_mem_ba : out std_logic_vector(2 downto 0); -- mem_ba
memory_mem_ck : out std_logic; -- mem_ck
memory_mem_ck_n : out std_logic; -- mem_ck_n
memory_mem_cke : out std_logic; -- mem_cke
memory_mem_cs_n : out std_logic; -- mem_cs_n
memory_mem_ras_n : out std_logic; -- mem_ras_n
memory_mem_cas_n : out std_logic; -- mem_cas_n
memory_mem_we_n : out std_logic; -- mem_we_n
memory_mem_reset_n : out std_logic; -- mem_reset_n
memory_mem_dq : inout std_logic_vector(31 downto 0) := (others => 'X'); -- mem_dq
memory_mem_dqs : inout std_logic_vector(3 downto 0) := (others => 'X'); -- mem_dqs
memory_mem_dqs_n : inout std_logic_vector(3 downto 0) := (others => 'X'); -- mem_dqs_n
memory_mem_odt : out std_logic; -- mem_odt
memory_mem_dm : out std_logic_vector(3 downto 0); -- mem_dm
memory_oct_rzqin : in std_logic := 'X'; -- oct_rzqin
reset_reset_n : in std_logic := 'X' -- reset_n
);
end component soc_system;
u0 : component soc_system
port map (
cart_io_ad_in => CONNECTED_TO_cart_io_ad_in, -- cart_io.ad_in
cart_io_ad_out => CONNECTED_TO_cart_io_ad_out, -- .ad_out
cart_io_ad_outen => CONNECTED_TO_cart_io_ad_outen, -- .ad_outen
cart_io_ale_h => CONNECTED_TO_cart_io_ale_h, -- .ale_h
cart_io_ale_l => CONNECTED_TO_cart_io_ale_l, -- .ale_l
cart_io_read_n => CONNECTED_TO_cart_io_read_n, -- .read_n
cart_io_write_n => CONNECTED_TO_cart_io_write_n, -- .write_n
cart_led_out_led_read => CONNECTED_TO_cart_led_out_led_read, -- cart_led_out.led_read
cart_led_out_led_write => CONNECTED_TO_cart_led_out_led_write, -- .led_write
clk_clk => CONNECTED_TO_clk_clk, -- clk.clk
hps_io_hps_io_emac1_inst_TX_CLK => CONNECTED_TO_hps_io_hps_io_emac1_inst_TX_CLK, -- hps_io.hps_io_emac1_inst_TX_CLK
hps_io_hps_io_emac1_inst_TXD0 => CONNECTED_TO_hps_io_hps_io_emac1_inst_TXD0, -- .hps_io_emac1_inst_TXD0
hps_io_hps_io_emac1_inst_TXD1 => CONNECTED_TO_hps_io_hps_io_emac1_inst_TXD1, -- .hps_io_emac1_inst_TXD1
hps_io_hps_io_emac1_inst_TXD2 => CONNECTED_TO_hps_io_hps_io_emac1_inst_TXD2, -- .hps_io_emac1_inst_TXD2
hps_io_hps_io_emac1_inst_TXD3 => CONNECTED_TO_hps_io_hps_io_emac1_inst_TXD3, -- .hps_io_emac1_inst_TXD3
hps_io_hps_io_emac1_inst_RXD0 => CONNECTED_TO_hps_io_hps_io_emac1_inst_RXD0, -- .hps_io_emac1_inst_RXD0
hps_io_hps_io_emac1_inst_MDIO => CONNECTED_TO_hps_io_hps_io_emac1_inst_MDIO, -- .hps_io_emac1_inst_MDIO
hps_io_hps_io_emac1_inst_MDC => CONNECTED_TO_hps_io_hps_io_emac1_inst_MDC, -- .hps_io_emac1_inst_MDC
hps_io_hps_io_emac1_inst_RX_CTL => CONNECTED_TO_hps_io_hps_io_emac1_inst_RX_CTL, -- .hps_io_emac1_inst_RX_CTL
hps_io_hps_io_emac1_inst_TX_CTL => CONNECTED_TO_hps_io_hps_io_emac1_inst_TX_CTL, -- .hps_io_emac1_inst_TX_CTL
hps_io_hps_io_emac1_inst_RX_CLK => CONNECTED_TO_hps_io_hps_io_emac1_inst_RX_CLK, -- .hps_io_emac1_inst_RX_CLK
hps_io_hps_io_emac1_inst_RXD1 => CONNECTED_TO_hps_io_hps_io_emac1_inst_RXD1, -- .hps_io_emac1_inst_RXD1
hps_io_hps_io_emac1_inst_RXD2 => CONNECTED_TO_hps_io_hps_io_emac1_inst_RXD2, -- .hps_io_emac1_inst_RXD2
hps_io_hps_io_emac1_inst_RXD3 => CONNECTED_TO_hps_io_hps_io_emac1_inst_RXD3, -- .hps_io_emac1_inst_RXD3
hps_io_hps_io_sdio_inst_CMD => CONNECTED_TO_hps_io_hps_io_sdio_inst_CMD, -- .hps_io_sdio_inst_CMD
hps_io_hps_io_sdio_inst_D0 => CONNECTED_TO_hps_io_hps_io_sdio_inst_D0, -- .hps_io_sdio_inst_D0
hps_io_hps_io_sdio_inst_D1 => CONNECTED_TO_hps_io_hps_io_sdio_inst_D1, -- .hps_io_sdio_inst_D1
hps_io_hps_io_sdio_inst_CLK => CONNECTED_TO_hps_io_hps_io_sdio_inst_CLK, -- .hps_io_sdio_inst_CLK
hps_io_hps_io_sdio_inst_D2 => CONNECTED_TO_hps_io_hps_io_sdio_inst_D2, -- .hps_io_sdio_inst_D2
hps_io_hps_io_sdio_inst_D3 => CONNECTED_TO_hps_io_hps_io_sdio_inst_D3, -- .hps_io_sdio_inst_D3
hps_io_hps_io_usb1_inst_D0 => CONNECTED_TO_hps_io_hps_io_usb1_inst_D0, -- .hps_io_usb1_inst_D0
hps_io_hps_io_usb1_inst_D1 => CONNECTED_TO_hps_io_hps_io_usb1_inst_D1, -- .hps_io_usb1_inst_D1
hps_io_hps_io_usb1_inst_D2 => CONNECTED_TO_hps_io_hps_io_usb1_inst_D2, -- .hps_io_usb1_inst_D2
hps_io_hps_io_usb1_inst_D3 => CONNECTED_TO_hps_io_hps_io_usb1_inst_D3, -- .hps_io_usb1_inst_D3
hps_io_hps_io_usb1_inst_D4 => CONNECTED_TO_hps_io_hps_io_usb1_inst_D4, -- .hps_io_usb1_inst_D4
hps_io_hps_io_usb1_inst_D5 => CONNECTED_TO_hps_io_hps_io_usb1_inst_D5, -- .hps_io_usb1_inst_D5
hps_io_hps_io_usb1_inst_D6 => CONNECTED_TO_hps_io_hps_io_usb1_inst_D6, -- .hps_io_usb1_inst_D6
hps_io_hps_io_usb1_inst_D7 => CONNECTED_TO_hps_io_hps_io_usb1_inst_D7, -- .hps_io_usb1_inst_D7
hps_io_hps_io_usb1_inst_CLK => CONNECTED_TO_hps_io_hps_io_usb1_inst_CLK, -- .hps_io_usb1_inst_CLK
hps_io_hps_io_usb1_inst_STP => CONNECTED_TO_hps_io_hps_io_usb1_inst_STP, -- .hps_io_usb1_inst_STP
hps_io_hps_io_usb1_inst_DIR => CONNECTED_TO_hps_io_hps_io_usb1_inst_DIR, -- .hps_io_usb1_inst_DIR
hps_io_hps_io_usb1_inst_NXT => CONNECTED_TO_hps_io_hps_io_usb1_inst_NXT, -- .hps_io_usb1_inst_NXT
hps_io_hps_io_spim1_inst_CLK => CONNECTED_TO_hps_io_hps_io_spim1_inst_CLK, -- .hps_io_spim1_inst_CLK
hps_io_hps_io_spim1_inst_MOSI => CONNECTED_TO_hps_io_hps_io_spim1_inst_MOSI, -- .hps_io_spim1_inst_MOSI
hps_io_hps_io_spim1_inst_MISO => CONNECTED_TO_hps_io_hps_io_spim1_inst_MISO, -- .hps_io_spim1_inst_MISO
hps_io_hps_io_spim1_inst_SS0 => CONNECTED_TO_hps_io_hps_io_spim1_inst_SS0, -- .hps_io_spim1_inst_SS0
hps_io_hps_io_uart0_inst_RX => CONNECTED_TO_hps_io_hps_io_uart0_inst_RX, -- .hps_io_uart0_inst_RX
hps_io_hps_io_uart0_inst_TX => CONNECTED_TO_hps_io_hps_io_uart0_inst_TX, -- .hps_io_uart0_inst_TX
hps_io_hps_io_i2c0_inst_SDA => CONNECTED_TO_hps_io_hps_io_i2c0_inst_SDA, -- .hps_io_i2c0_inst_SDA
hps_io_hps_io_i2c0_inst_SCL => CONNECTED_TO_hps_io_hps_io_i2c0_inst_SCL, -- .hps_io_i2c0_inst_SCL
hps_io_hps_io_i2c1_inst_SDA => CONNECTED_TO_hps_io_hps_io_i2c1_inst_SDA, -- .hps_io_i2c1_inst_SDA
hps_io_hps_io_i2c1_inst_SCL => CONNECTED_TO_hps_io_hps_io_i2c1_inst_SCL, -- .hps_io_i2c1_inst_SCL
hps_io_hps_io_gpio_inst_GPIO09 => CONNECTED_TO_hps_io_hps_io_gpio_inst_GPIO09, -- .hps_io_gpio_inst_GPIO09
hps_io_hps_io_gpio_inst_GPIO35 => CONNECTED_TO_hps_io_hps_io_gpio_inst_GPIO35, -- .hps_io_gpio_inst_GPIO35
hps_io_hps_io_gpio_inst_GPIO40 => CONNECTED_TO_hps_io_hps_io_gpio_inst_GPIO40, -- .hps_io_gpio_inst_GPIO40
hps_io_hps_io_gpio_inst_GPIO53 => CONNECTED_TO_hps_io_hps_io_gpio_inst_GPIO53, -- .hps_io_gpio_inst_GPIO53
hps_io_hps_io_gpio_inst_GPIO54 => CONNECTED_TO_hps_io_hps_io_gpio_inst_GPIO54, -- .hps_io_gpio_inst_GPIO54
hps_io_hps_io_gpio_inst_GPIO61 => CONNECTED_TO_hps_io_hps_io_gpio_inst_GPIO61, -- .hps_io_gpio_inst_GPIO61
memory_mem_a => CONNECTED_TO_memory_mem_a, -- memory.mem_a
memory_mem_ba => CONNECTED_TO_memory_mem_ba, -- .mem_ba
memory_mem_ck => CONNECTED_TO_memory_mem_ck, -- .mem_ck
memory_mem_ck_n => CONNECTED_TO_memory_mem_ck_n, -- .mem_ck_n
memory_mem_cke => CONNECTED_TO_memory_mem_cke, -- .mem_cke
memory_mem_cs_n => CONNECTED_TO_memory_mem_cs_n, -- .mem_cs_n
memory_mem_ras_n => CONNECTED_TO_memory_mem_ras_n, -- .mem_ras_n
memory_mem_cas_n => CONNECTED_TO_memory_mem_cas_n, -- .mem_cas_n
memory_mem_we_n => CONNECTED_TO_memory_mem_we_n, -- .mem_we_n
memory_mem_reset_n => CONNECTED_TO_memory_mem_reset_n, -- .mem_reset_n
memory_mem_dq => CONNECTED_TO_memory_mem_dq, -- .mem_dq
memory_mem_dqs => CONNECTED_TO_memory_mem_dqs, -- .mem_dqs
memory_mem_dqs_n => CONNECTED_TO_memory_mem_dqs_n, -- .mem_dqs_n
memory_mem_odt => CONNECTED_TO_memory_mem_odt, -- .mem_odt
memory_mem_dm => CONNECTED_TO_memory_mem_dm, -- .mem_dm
memory_oct_rzqin => CONNECTED_TO_memory_oct_rzqin, -- .oct_rzqin
reset_reset_n => CONNECTED_TO_reset_reset_n -- reset.reset_n
);
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Tue Jun 06 02:47:25 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- c:/ZyboIP/examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_vga_feature_transform_0_0/system_vga_feature_transform_0_0_stub.vhdl
-- Design : system_vga_feature_transform_0_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity system_vga_feature_transform_0_0 is
Port (
clk : in STD_LOGIC;
clk_x2 : in STD_LOGIC;
rst : in STD_LOGIC;
active : in STD_LOGIC;
vsync : in STD_LOGIC;
x_addr_0 : in STD_LOGIC_VECTOR ( 9 downto 0 );
y_addr_0 : in STD_LOGIC_VECTOR ( 9 downto 0 );
hessian_0 : in STD_LOGIC_VECTOR ( 31 downto 0 );
x_addr_1 : in STD_LOGIC_VECTOR ( 9 downto 0 );
y_addr_1 : in STD_LOGIC_VECTOR ( 9 downto 0 );
hessian_1 : in STD_LOGIC_VECTOR ( 31 downto 0 );
rot_m00 : out STD_LOGIC_VECTOR ( 15 downto 0 );
rot_m01 : out STD_LOGIC_VECTOR ( 15 downto 0 );
rot_m10 : out STD_LOGIC_VECTOR ( 15 downto 0 );
rot_m11 : out STD_LOGIC_VECTOR ( 15 downto 0 );
t_x : out STD_LOGIC_VECTOR ( 9 downto 0 );
t_y : out STD_LOGIC_VECTOR ( 9 downto 0 );
state : out STD_LOGIC_VECTOR ( 1 downto 0 )
);
end system_vga_feature_transform_0_0;
architecture stub of system_vga_feature_transform_0_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk,clk_x2,rst,active,vsync,x_addr_0[9:0],y_addr_0[9:0],hessian_0[31:0],x_addr_1[9:0],y_addr_1[9:0],hessian_1[31:0],rot_m00[15:0],rot_m01[15:0],rot_m10[15:0],rot_m11[15:0],t_x[9:0],t_y[9:0],state[1:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "vga_feature_transform,Vivado 2016.4";
begin
end;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
BRBZ7522CivHLmvslhUhFYQoS567JwvGFzAncA2rwHIAIOoihCOXUBzaLTJDT5qPovHzDlW4yv7r
GP9s6lKwNw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CGSFPehn4o5exPh9VqAEGC9Ban3a7ljD/wFVJ5Wiof4iJo3N7+ltj5Puk2trGNLyOVe/8cwCtokE
C3EHNPrzTVk2ekZYItDjGCLqFEdLTZk767UGKtc4+KFQ96gRMZEqc3w6niX15G8SK5RG7cenh0ZV
dIbp8Q4ZEYfKWH/MmRE=
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
G3aXPMgU2hkC/UtzRmAKroBoUkUE6cYbnGspL6n4cjlcyPs8H46gbwPbC2jNdTaMWd+WSerVIBKD
nvecP82xK8TcALyvl2FLWU2d/GuqCGUybrMythsQT8nDvb13Vy95OK4v7ajI+2gxF25l7rC0Qr/v
j7xd7PVR/ul0ChVSfvk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NIkZ8LYfCM+oWqDQDCOPVNn/5LpRuVnrhJyPER8R+9YWbLwNMtzqu081+IpI6nfE8jhuyqGOMJ+S
0oPzk7GaEseAdqBD+bUmcyr1JlQ8JjeaAU3lLDXNlgY6nO/8uHaEkpEe0mZmZs5zWgv8yzjxqkDo
AOPWrCo2lN+jFQJ/k2TNeH/vSSiVtB6HXA3nFY4e/eCw5rgRjeQzgfqYjdWqry8U0a8jgpzxwf9m
yRMRYo9Ios/T/zVLHR0JYSjOSgxXFB/c0Qdo07KpaAMFIi6+Z7C45rZyVouIxrApxHbtqoyaA/gO
swtvMWEQm4e279gQ7RfqtPd9BLx7hZK7ih78EA==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GAuXN6U27R0wlFvl2eGHX9UJ+e0dvj1OGcZ6Yt/hA0Al5BRoN3D3aNOgAcR2uPo2k3Uq9uhn9eKx
XSf7G+8XNvMmZE+ysfGbox6tYuj374XWhhnQxLyXFsrfM56bRypB8jeFMn/hi4P37v6Vi/fACjMP
P67bfoFJ37LQpo42tvFOs5Wx1ZBmrrNk/BKiwMODg6GuBytm1amZ4nFTyHRDz5vjxqfKesH0nsgv
R9JwRuBOmNv1g4E5NoVCp2kemhpPGXtwndSfnPwKBwupzzD+hEtRvMChWzZ56nLBew+Sn54A8U7m
RtrqXnPXzdWyxurmuDufms+p1LJQGh4tzVbRcA==
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
maXpToiMo71Hl7B4N5vlvINvZp2Q7Ni4BiU+wD4RisruBbnDlOxB90knT3A1GldxApCQSDI55vfx
TiWWZRUX0LfkoLadGYU37/81cSuvFAURycbWadZzyN8qc2/SVUADxPU9Pj/VD85wLK3Jbm20/kZa
3/YA4HyakbaKe/aAuKYJ+VLUvhC2L0tbUKG2eMQub12Of4OOu4xVhEUteak/rtI8JGWOR5fJUl5Z
BUcXeiUvRWoCm87f7fKBm3yke5OrWuvQICjezRjnx+Ia/zFT/yoLW4EVzuJUKzo77dAwDDhcln5O
899M+jy8zQeHABRBQyGkYvtkXBAMoPIL2lERUA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103440)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
BRBZ7522CivHLmvslhUhFYQoS567JwvGFzAncA2rwHIAIOoihCOXUBzaLTJDT5qPovHzDlW4yv7r
GP9s6lKwNw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CGSFPehn4o5exPh9VqAEGC9Ban3a7ljD/wFVJ5Wiof4iJo3N7+ltj5Puk2trGNLyOVe/8cwCtokE
C3EHNPrzTVk2ekZYItDjGCLqFEdLTZk767UGKtc4+KFQ96gRMZEqc3w6niX15G8SK5RG7cenh0ZV
dIbp8Q4ZEYfKWH/MmRE=
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
G3aXPMgU2hkC/UtzRmAKroBoUkUE6cYbnGspL6n4cjlcyPs8H46gbwPbC2jNdTaMWd+WSerVIBKD
nvecP82xK8TcALyvl2FLWU2d/GuqCGUybrMythsQT8nDvb13Vy95OK4v7ajI+2gxF25l7rC0Qr/v
j7xd7PVR/ul0ChVSfvk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NIkZ8LYfCM+oWqDQDCOPVNn/5LpRuVnrhJyPER8R+9YWbLwNMtzqu081+IpI6nfE8jhuyqGOMJ+S
0oPzk7GaEseAdqBD+bUmcyr1JlQ8JjeaAU3lLDXNlgY6nO/8uHaEkpEe0mZmZs5zWgv8yzjxqkDo
AOPWrCo2lN+jFQJ/k2TNeH/vSSiVtB6HXA3nFY4e/eCw5rgRjeQzgfqYjdWqry8U0a8jgpzxwf9m
yRMRYo9Ios/T/zVLHR0JYSjOSgxXFB/c0Qdo07KpaAMFIi6+Z7C45rZyVouIxrApxHbtqoyaA/gO
swtvMWEQm4e279gQ7RfqtPd9BLx7hZK7ih78EA==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GAuXN6U27R0wlFvl2eGHX9UJ+e0dvj1OGcZ6Yt/hA0Al5BRoN3D3aNOgAcR2uPo2k3Uq9uhn9eKx
XSf7G+8XNvMmZE+ysfGbox6tYuj374XWhhnQxLyXFsrfM56bRypB8jeFMn/hi4P37v6Vi/fACjMP
P67bfoFJ37LQpo42tvFOs5Wx1ZBmrrNk/BKiwMODg6GuBytm1amZ4nFTyHRDz5vjxqfKesH0nsgv
R9JwRuBOmNv1g4E5NoVCp2kemhpPGXtwndSfnPwKBwupzzD+hEtRvMChWzZ56nLBew+Sn54A8U7m
RtrqXnPXzdWyxurmuDufms+p1LJQGh4tzVbRcA==
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
maXpToiMo71Hl7B4N5vlvINvZp2Q7Ni4BiU+wD4RisruBbnDlOxB90knT3A1GldxApCQSDI55vfx
TiWWZRUX0LfkoLadGYU37/81cSuvFAURycbWadZzyN8qc2/SVUADxPU9Pj/VD85wLK3Jbm20/kZa
3/YA4HyakbaKe/aAuKYJ+VLUvhC2L0tbUKG2eMQub12Of4OOu4xVhEUteak/rtI8JGWOR5fJUl5Z
BUcXeiUvRWoCm87f7fKBm3yke5OrWuvQICjezRjnx+Ia/zFT/yoLW4EVzuJUKzo77dAwDDhcln5O
899M+jy8zQeHABRBQyGkYvtkXBAMoPIL2lERUA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103440)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
BRBZ7522CivHLmvslhUhFYQoS567JwvGFzAncA2rwHIAIOoihCOXUBzaLTJDT5qPovHzDlW4yv7r
GP9s6lKwNw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CGSFPehn4o5exPh9VqAEGC9Ban3a7ljD/wFVJ5Wiof4iJo3N7+ltj5Puk2trGNLyOVe/8cwCtokE
C3EHNPrzTVk2ekZYItDjGCLqFEdLTZk767UGKtc4+KFQ96gRMZEqc3w6niX15G8SK5RG7cenh0ZV
dIbp8Q4ZEYfKWH/MmRE=
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
G3aXPMgU2hkC/UtzRmAKroBoUkUE6cYbnGspL6n4cjlcyPs8H46gbwPbC2jNdTaMWd+WSerVIBKD
nvecP82xK8TcALyvl2FLWU2d/GuqCGUybrMythsQT8nDvb13Vy95OK4v7ajI+2gxF25l7rC0Qr/v
j7xd7PVR/ul0ChVSfvk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NIkZ8LYfCM+oWqDQDCOPVNn/5LpRuVnrhJyPER8R+9YWbLwNMtzqu081+IpI6nfE8jhuyqGOMJ+S
0oPzk7GaEseAdqBD+bUmcyr1JlQ8JjeaAU3lLDXNlgY6nO/8uHaEkpEe0mZmZs5zWgv8yzjxqkDo
AOPWrCo2lN+jFQJ/k2TNeH/vSSiVtB6HXA3nFY4e/eCw5rgRjeQzgfqYjdWqry8U0a8jgpzxwf9m
yRMRYo9Ios/T/zVLHR0JYSjOSgxXFB/c0Qdo07KpaAMFIi6+Z7C45rZyVouIxrApxHbtqoyaA/gO
swtvMWEQm4e279gQ7RfqtPd9BLx7hZK7ih78EA==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GAuXN6U27R0wlFvl2eGHX9UJ+e0dvj1OGcZ6Yt/hA0Al5BRoN3D3aNOgAcR2uPo2k3Uq9uhn9eKx
XSf7G+8XNvMmZE+ysfGbox6tYuj374XWhhnQxLyXFsrfM56bRypB8jeFMn/hi4P37v6Vi/fACjMP
P67bfoFJ37LQpo42tvFOs5Wx1ZBmrrNk/BKiwMODg6GuBytm1amZ4nFTyHRDz5vjxqfKesH0nsgv
R9JwRuBOmNv1g4E5NoVCp2kemhpPGXtwndSfnPwKBwupzzD+hEtRvMChWzZ56nLBew+Sn54A8U7m
RtrqXnPXzdWyxurmuDufms+p1LJQGh4tzVbRcA==
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
maXpToiMo71Hl7B4N5vlvINvZp2Q7Ni4BiU+wD4RisruBbnDlOxB90knT3A1GldxApCQSDI55vfx
TiWWZRUX0LfkoLadGYU37/81cSuvFAURycbWadZzyN8qc2/SVUADxPU9Pj/VD85wLK3Jbm20/kZa
3/YA4HyakbaKe/aAuKYJ+VLUvhC2L0tbUKG2eMQub12Of4OOu4xVhEUteak/rtI8JGWOR5fJUl5Z
BUcXeiUvRWoCm87f7fKBm3yke5OrWuvQICjezRjnx+Ia/zFT/yoLW4EVzuJUKzo77dAwDDhcln5O
899M+jy8zQeHABRBQyGkYvtkXBAMoPIL2lERUA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103440)
`protect data_block
kEU3Ty/RI+ZYnh4G6ri5OcgjA1Rj7COU7wXrJBNpuAwZPB9pV3KB6IA+mknW9bxnS+M9xwkRY9T6
IExOG1ZzJSUlHkC/W/fo0+KfI6N1enL+qAozSUA4QtrhOl0G1lnLbw+NDvtQknOMY94xWW8xJOWS
CgLvrqfDgak88UvC34FlBN9QBkj+6tzwURDQK1g583KeH5CmIUQMEIKaOSb04/4YbKpQg92d+VPD
7sYS22ZheFdCggUs1j/Xj9TL6lIO9fdjJEGVO/xnzJorVLVE9OS3DY+3dFH0kaQlr5V6omqB/orl
0jnPvgvCOAjIAIVtqiY8sckI6MeUy//d2+Q+y5jiTUHA3P5cYK4d9+9H9h6f/oCTQiupgIdYrGdB
zbTw3QBziKWSTFF/mK8CXXIgNzxySX7BiYI1MKW8fFuXse/zrg9bPlQE+HKQqbLj5vUuuz6VkBll
HL9leTC22RfiYSoClWHFp5y8hkKVnKpzLQPhvXafvKZpgdrmMvkOnhb0HU51nUm8g6uVpzE+jPUh
2mqqtGVGoX/KFxOw5I4yQi+Px3FLgx/hGylEVlMvZokNaBLLmt7+GYVfGD2qmKi6DMnpE0Zhxht7
LIRF5LajkCo1QJ0A7DvhjXcUiiOVVq6CelqyezUxjjMQQB5nURLOAuk4PKVkYXCEYxIMBH2BnU+R
Q8H5rJPqrTs7BV0D0SoeUB7J47wNSHuO2Oo0G++F5Iqn1ZgAFLyeX9Hb1LlX/yYPAKCXrzVfACAV
bfNNJF4H0dSA8VL5m/U9avrux1en3+bBXCym+iJgCGOgfCpyQSK87ggtCuKOa0Nk7w5/tEX4EnBs
HY6dBexA5u0SLlbtWmnQ9EBQehDIHALozU5EI4q/cLXA2o9MKfALm5kuPE0GtahwXwaoAbJwi6Ce
E4l//vENEqmeusnYoPfPPyan20oQjAGDGjejd//rUCB5+tkI+Vj/ImOtEjy/+w05BYBLsHmyCC54
IkxixAiyuLyOhdJr7uxZj3mewoo8yz8by/3ClhT+rlSv9YecCtL/t33m7lPzuEEft2ToklsnJdYd
XLqZSYbXCs6hy0bTXTHenxLoc7lOLJbDskI6vO1xeCF5Axamr4WMGw2giBgnIRwBH/gsH+BIXDHP
xcthzxPD6ipL0KeVbS3KmHXeSacXyhI7QVMIEc7M4UQOK3v0DgulHMh8wuUPK6oTEPC7nGFGsZS8
X7YAgypmG8EJp/VBCwEJMsLbEKfQrdi55lugci1Vm+Y5I3I6kTQSBzslpsPB/CmS1kdC6lJf9xvT
e0CBL6uDQhBmw3opSAaGH9yP5aYh6oymlsyLbhz0hhjlDayfFMDxq93vvrIC9rD6LHh0dOn6RhTb
gVsbnotAEwA+PbgbrqVS3PNr716wbShn2x1eg/Fk4Q2PyokuVY2h3VOUpNO64zsY3d1UQ8u241qG
d50iTJnJ1uXPHVvQ/QTpXPJrD2CiV2tXShW7SCbpxn8g+ruhVwkJWbUJ6hUrFqgyPn37C1TtP8Pq
xHcD9Yy10UDKFkT4LLDxmrf8zYVZ24a2ZILhVzcOlszTzwkV6nGacjDBGAtR26eWKEufDaRujqjy
oFpN9GY7oW5Nj05m/J9v+3OFkx4PcopBd1mEc+HAYMoeO8xhNz6B4bQdNGzckrPVIL4gC++8Y6rD
6XX7moZl0xlFrpzWkDkk8K5gqJH2xk1dRMo6mXH3HgAnldBjaYKb9GW4SFnvBMdnlzZprp2Lu2u+
ec3Vo7cmqyyHaqnJ2PhQ0QUeloMnS2NM0tMdJ6YHwYuQLB9Ll0dERkXKvS6mqLVmYyeHKKF1oTb7
Wa0qrX1DCCFQ3q0nX/XeFpe5j88iG8xKJ5cqiR33bZrNpdtar6mzCJ30BqUbWUsuf5PCAOchpEUS
UfQ+p2m+l63DHhdkTpNTxDxJVsNv6nyjY7FK3humdYf2rfeuS/ZlRrb+fqtwf1wdq+FVDLbYh/Qx
fgpyy+7n1U+x5B+Qx2zQu7PwWXZPODwhVa+0Hczvxrs560uVpBW5W/PjMDISowrt9dzAoDNVpHf0
vVD993XME1mmDIjK1oaLvdbcNOQbJAWzcUgz5RhHlJ8Dd9XHlPz0oSz6Y7wDQgZIEruOKf9G9hVa
jLvsNq7d1de6UDwHGQ+8rf2BnEI0lSghy8vryUR0oon6xxhEdDfPbeqhJS6v7BouGd4rwR5+qxit
ZhZw5ld4viL8coCQFOpZQd6poGtQ5MsXiwudstqr0bDdSbJMKOHPGFNGW800UQDsfARAbYUycXKo
WxCVVRSSrfWry3l4NEg3xRdLLL5MIseAcDT9PmC5HO3xB53w9TUipjONgGtm1gEnHMQ5gCNatQHM
6dsIyZgYksuKbl3Ei3Etb5dZGtYEZabs3cEG5JRYloTHefyi5OEQN5oFeiCsIsS2mkvqsVKjfg6z
SBprI8rDQnpqwtcPtdm/zOqSll1MD7jNUE303ifNVtZRx7VtXH7SYNgloISVLCEAEJdNYz3jrDZL
Lnv+0eB0D0i0AsXQiy6l53OqQUKaW7mTMp8RArCB0kLsj0NB/Xl9csAuZfCsg+YfVHBoViiD6RQ3
y3uZ8dbSQfT5bfBvhcqI2nEk3v7+BOdy4t8Jxr+5qWcHLehoywoB2vnu7EGkCTIORuQ4Cug7pzVC
wn+fybN8nqfQOB5LuUP5zeUDxf9viWMW5vtrV7vQXIzgQbPxjgBoE8Ko29Ugy5R7ItkBJ70efEXm
nnQPeP/QPzICmKT/O42hmyCQDzdcdL6qGukeg575e1kdUnN7KUhH5bJ3ozJ5z1IGPlWnrXK2OjLv
kj68xyrVj/TIPEBCiVH4K0NAQVhplMCWzz3NOpCaYbRorzfPE+5UH9m70C53kg9CwaAIooiq7drz
Vlw81zYi9iTiScMsWxzXgsxuYU9pRHcP0yjbIvXecKhaemSEUXCC5mJN0JsolU795viSAlR+FaQU
LAMnhirYE5LdObN+Zr4AHjyS/lAFn3Nyhy/fgoMXd1/XmvwV1zxuOXv3TqnrSpmx2/NBDaeCPkqb
JW4HhkwVTIyCKY7sRci/m0bcBhGMCbNr2Zlrdb4xyzmBKIw6F+OQh45g3ghN8SlrKjkzLeBe1fOv
DuD3IxucOQQQhTbBjqdxP47t80p+VfoT0EjLgBPBelPZJjyrJ2tKKLrsT3IGerq/veUBG95c0EmM
iMHeyhaK9dWitWCNc35//nOIpPvU7NuUp87z6oNgVUeC5CbnwuJqjyxYw1songnRdmUhon2NeyMm
BGyoS6riAs1HuLCAQxoFWxgwoDh4gT2+Hn2jJg6U5VTR28Af5itHJt8qndOvrw/Pv2uldOOM65T5
K7IouJGq/Hh8F1Fu6nUoaQ0QUqCyjrf2e/TTw2yG7inkyva4hs98HGlZYRmjDrvZu2+sLw0AR2tY
6hYWGSVAijKIEkAfcFve1zigdD7DBhfb+JolgfXqPKotBiWtzGYW2zKzHeB+89f+eqOZPvVDBp7M
QRwnZmY49eavzNCBKG0AgwcjseSmnjpymhIOJ6MncWKByhwXTwJPH64SyRmbhmJg93L2KlTJXYM6
djl5MEi5rTwbXcHWje0HuTLeVaWTwWrIY3dZIQQK+D+ZuuJ7ZlSCKbhSfE6txwGCp/QfHjbxELs4
2v99SOsYPjQ3GF5UXlexLGosAZ6Nqyj31C+6ExwaZtjZH59oa5f3+YPqV+5GWoGBgLkfYhmnkTul
93J4B42LXMvfUOYOGgEJLYsVK4M2gQU8S1rOcWEOWZNatMeF129wIARf3jXfFLZCDZs8pxMmJ5oA
QryzGu9gbbF24WkmYXQ+IyZsuaQjqBOtW9e0LNpSVP2F0dq0dsCx6J/wFP9UOdGOGe/gIfKaUjYK
/vd/ARM+HJ/JwHQAivN6rlsLH1o1+OkhBsqfCPZVfJ/zZBNOVvvwP9V8lXGvbZUQydQsbFWGEVFy
IKzCMuk1p3urj/MtQTH6pebFslIEnNpehP0vbHTHZUgl0G5/UwSdo6o+paBwiLNKcGvQTmP6Iawr
W5nEFj9a7SoXk86D8oahg7S2VIYiwdIRAQQR3ZZXq9uAbEpIv3qDGDID+3jjYYnctwRZOF17Wb4V
1n7Q0jau6OP39P9sv/K+J4jzo/3Jp4fO3pyIrEAAwHhZ2rDcqAWUGxFO+rtauQ8MerciHy2eKCf4
FqJjd9eRaTfqDWhnWcuLG4A08tldYpbKu6mR+VK9cRnNNN0c0vUKL07tWCovvopIAY/rnJK6YO6q
w/duU7LF42jYpLIIEX1JhTATDDoNP9L/KyGrOUXJMMy3PDnGPEZO4mnQXvVuHv6qIra92+iDejfp
6sukkWknO+08PGz3QCxYNbf0dir0SVun4sA9A/ljN4Y5MLoAVX2GbOMkuiDYki0LVPC3rgtCP1SF
tzKpkhW7bmK3qVfCFjD0Q6bo3Qik4ViwYd8+IVuhbUq27KD1YfVNr++nQbweTFgjKxL34PVsnHp2
LeJR4vpXUmFff0tlHb3CClQaq+fqByy/KgtEFx7NS26mTMVrMXGKrOFyQlVBAw7cfEE6cGjop2HG
P8MRbigbu2nJIw7pjYlgmn2cJNGCxpGAMPtSj4qdvwi0nBD8HywvqOej7CCnrBDrrTSSovdGvYYE
Z0C+MSbeie+4bwpIfO8UORVl/FGZKR8xfjmhywvf9rxariwIZhDtAL6qPfOdsbTq431JRL03KFR7
jnxf2xPI58amZLqZ/MwEY+YgYC+UN4HyaqzDvKISzNZCdRtIvGj6OKNyJllbO//v2U6OAaHLm+0y
GLoAqa3somXqRgh4vCR7gwHmVDpsB1flDOOdHIKL9LqbS25wla7xvqA+by40dAznUK7oyQGIAVQu
E3nlV7ypVNAP/QUjXkME5nb3sbCXniOwEZMgk3tplmYwCNYW7e0PbnVfZYbpZtiJdilG4HdXXVxK
a6+nFvRxyt+MzNchvMFHfqtT3PXec+n5DpVlBWst8SQjr3lmO95n17tHstJSafGPAqMIS0C918F8
DqcuY3xLXP2orsj+2fszwuDDaSUJVHtOZQJBaKiKNpCvO7ps55mEwH1rifLoLumKfZfMm29Lq9LZ
e8Jj90d4m5hkvad/AyfkkNS03ZS258vlPu9/ofptV1IeB2pM7Bwguxrzyecgl+g+UH6QB81b+Ugg
9MEoiJ6EFbjqIx6RwG1kiZqZ4QUovKq8OCLHVA/Y41zrMUYHNqu70tTKhCbGr0TC0BKJwHXPah6s
5fiThFDQoZGoWp/Kwu6PzaD5RDqbtiR06s6wobOydCGwRqzFdcA3IExxKfkqZNSm42VY0ZQUAH60
8TdOaqc2UQmg+5IEUP2v2tyHLvd3PT0sYdBxaunA0YLlyD0YXQMyS2EKH6Z8cJ2IajVCUAP3Ntfl
COL08fhitgppLyLyfxtToYFU0Rv9lYBPLZWYRKla2Y4p7xTbRVe2aNdeLpgMWL2VJt/48b33p+Oj
FrS7+Oziz8h8aQiN1ZqA/NMmNs/0fYXiwJ1ckKSp/ZmXMPp6U0MHDYIHeC2hnGffANjnCtigtEbE
HJPc1tR+unKT4FRMV+y6k0skbCUu9Kp6l7AhR8Ue38UtdJBMpFBU456Y6MlI59Vw1hQ8lcr6Vusn
bu19DXn7u+X0OFHAl/QaWVoaUBfbthuIdFpLp+X8Y96vvoKMXKeHSB+Ck/v+kUMCZrBwQfK2B3Di
1aS07lr3JNeTthaB4rD5Nqwnd31ZsPW9zlL0DGmOxS1BQp/4pcrXFjJ1AMiXxaqRBij+IZMwhLM3
thTXoK//iWPNtP8zv9mp35k9fvQ+1G7Ld/Gc7TO3OI/v7LKBPqXXyrGpRclyMA9dwsrwNwf6+Dxn
RdKuUVzFjDCpCLAE7jG7o1vaHp4GdgWPc8LNqinaZ7M7gejdYdNbQtsBXkeiWc3B07XiYjoA9ktR
Fam+YgfmYvv6qF4Hv0a/Ejrp461gTzLTqkvefbLMP4zz0wEmdWukUiOMw5VaZ1gobwnJkrq7PDpv
DTcYPHefSQBGnliUxUQTYarMCXgEN5Vq0tgaSsgd/Ik5w9RSwzHYLeMbs5TT/G7kYzjI8gozEZB8
bfMGc+xVdxSMKZjc8FM6I9t40KGL3p+0jdz1OZff9vdrFI7VLofVwqTtd8WeYehY6fzKHMS8QdiD
8ePq/7R13e2o1phF6/nnaaEkDFXl96z7FfRqdPP7X7KJC6yI7seca4URdr+v0XK175LJdLrctx+6
Lfzoetruq/02E/OnpP9CubipYJwON1CiJVA3t2hjmVt5pE2TNTkV8mavAHV8i9ZCflMHWI3Mz4vR
JNzPU4Cokf/t55f+6FJPh+Bgi9W2bqIji9aKN0JuvMmxWUCBv/4A4s548Z84wIhRLKZlyPhbpbBi
4QrylRzJQG52ZMQDVn7Ixpd/f3A5wbQbkHa1y+Di+dvui8JO/OGAC0tq0yZmN/IBJAJwYEYGoHf2
ZuTFzQTSLwZgkn4pW/XKrp0vtWvX5lqMCwc/vVXRfgAqGWxzXFZuIvIrje7XSI+74WWFLnJ4B42B
O/ClWo49Lnm+pnGUxe9gL4a95qcLwA121uoT3zcGbyyY8Mh7Shr4SGSl4BOn4myN+VfONvgCoQIq
LKzBvxBNCbKns8+GL4ruA/MAKLh0Cjpin6jOaKbF09kQnly30SFzHy+Q//279K0S3+5dFMTv/ZvO
NhQj82biy0x3bUxb1dLbCcu7eEkstZbY/t4pbpTLaO26/aImuawX3OqUsN+nAOYKlMx3kihjjhSv
YI9Xq9hq+Hr0R45J8mFrJsSywbqClOfgJ7aZEoN3FVsTjTnv8UAwX0uS4sfWl24FDU4i0121XR0e
D8kw4dIwERabve8AdAK7LORfQxYYiYvZeP3V3Wvc0foghGioqofO55eSGUrt23vEqwW70tP7UTcs
n/TwOAwy4nKY2aahQvanTQk/ZDdnQw1MW5zDMc/sF4In/j+USz3C71iqUVr5/PUi2iVDJz/eAvEd
rKRb4dxTMP1SeLUf6XLrmgOFG1DD+HKjjzU3HFOZpMOtP/cVA8BRYVQu7mYe8gIZyMwnTBCQLfue
T0XCuxMgudmb8iMdzLd5LGlOsteewjzpZHvqplxuhdT0uQF7thwtg9Ls8A8bOmFD9g+zBij+laHQ
3RhFujus0HbQYYkAgXCsjr/TjVpankQUZiAucW82XktH1MvmRAx2AIJpWjdHolcv4OD7PIWmxIPJ
NaTcGcC1Of0G8y9HMS3hpvTS18HoZxVu7S93GXakULWFi4LqZLQ6IDLJCwNfd/2cXmXZ4SLRzyhS
j0YMpKTSMo/B0ldCkvBpreP9j10A//eVuRuXSiXN2TaFVC3u/a8uXmogqgJup94PhAR+zlAygdL/
Seu7llt2JhhiqoPkWiaSp1HULrgvlliPYLJ3Stv8SkFPkiDbZSyGd0F8lCHqyEPMnQ/xtw+e29k1
PBSala9DdJyZC9WXhn4OWYoQ60h5xNwvoZo08NMlA8DpdY4tZ4lDdqOQNzLCKPG/V5kvBFKrf0+w
uRMCU+7WVsdEejMZRYw1W4oDByu3L9krIdtlugcNkNk9uil7QUxl8aFP4vBxQU1CQ++aYEnMFy41
pb1yTCEmllaEhWf6oQDe3s1y4wfSp2rNoQR8yTWrbgkLXJmS5/VB/vuXh+JWNxaaTtw69dLK+muf
wMTOKIUYZjmviK+MPAzY6GWUp424XX9EWup7d2jN730HDgxq7wgQIpst8qA8+k+EDsgeMDBWD/xo
Lg4PbpQclZ+WlveAMz7G+1E14eAfkDG8bebyaTnNolqAOgcOf/Y36sG0TxKBLXhbjrtINSQDeOhH
gRihofDWwvShIo2LCC8OZY/CKRn5ARD06MZerzc8cuHfCtrsOptNY11/IV/xn8FUCaqWRlvgJZrH
Of4TGT9J2D5xPgq1Zoodp2mZEN1PlOQWl4JUqIbXoufWtd3/WLfnS/MFFdUAi3toP7qeLGy2IO1t
ZeuONgaIgoxPiyG0SRNkU5Xw6VWg3If9LcOaZHV/ekXP5J0QOuTV9IJgO9Pxg9TvIz70KQHYsyHb
ThGWh7Y+vOBi0tUemFAdlSTmvif1WVS8M2DC/zIqDnojHsGxiCcJpQmIz5t86Xs6VtU1agj4ZcvS
iF4pIfv80ENDizs+yzBUEC3mZsiB703JKM7HsYdBGa2mmqdeow9HWQXLYM/vxHiRqAUqggjpgdR3
iXjdOdRN3+aadKabQHsZMx+pSMtBlQne5IY1jkuHuy9kJ4JRp5Dk0XVC9ZJ/F4O3oVRfDxyV5kaY
hcFZg00V8G5o8RGQ0J/yZ0YOKZrjZgo0XVf0YnZNd2agwjIlECQgEvfb7iSeGqYeJ+seeHAJInBA
L/G5U16HhcNCPllvIboJM1ZktvY7/ZuKz2rh60g81Y0mFeXspwVml3ysNNHhdW/qRe7Y8cU82BJq
2nhaNxZSmlZdo/HjDhVPueTw4LXym2mjqnsKTEXzUJ0EkDwj81Fll/dXczwNF90T4xnk3UIjQyXG
LbkSkaILufkiDs8l9egIbzNDEhbNTExbLUJhg0yRD38oFC00V2yipFyXVoZVxR6VRSS+eFNGR7TF
5Q43skjwqD7u3HLgIM96f8kCnNSJzehHdsuZSZ2UiZspLNW/PORLFvOeVb1SPS13x19cE1XRKqiq
yk6MTPiH+QIHeBOobonODVSit/jwGLKwRU3gSfhKUVBAe00TpnEd646/9TkAzjPC586un6S58mz6
BtB4xUxTNH2XJdILr2pulKhwwIFpEyl6mtTPt8/Phjnyqpg40p5YBvi+UlAOhlVsz/hRpZvbkLs9
X58HbSKarTXUV0IaxNhXD0x2Daq/l2eroPU58vs9LernHX8Xgy9+0KDXI2Lp5exMf6cRFJTA0I1N
meu8O/lZIvyDSa4DtiCl9Zu0AXn3QO2u0OhaLuEH3k+3oZ5HOoOL3dyJfOfblw5ZXBSOLs23icG2
pOiKkzVdck5B5lJ6nNjvA7aOBwJOQ7wE8+/omZjPrqmDaqR8W8ojha5y9ZS4xxLBTo0jZeHItSOJ
yddUJj81tpgcnGLOZph+9bb/X1z79gtv7YyRfqSqF0uH/3virrnVMD8HOSOKsCoFWexKP/66pJIE
Il2U314ce95gvOAC9zcsnAN+mlXSSyfiNWQo2XoABenv0uqZQUTfOfePmxkRQZbM9n6Ie5vIw8OH
EH2q8+WJYkFydDU+XUzeXPmIYRf4V229nO/WrFnuzLcIUuqJRgOdr6aQpgehxGyeEaE4TB0cSOCI
wApaaPqvwR4+D7LgE9vf2Kk/rPi7X96byo6aAOmttRgBav4H/5vCOlKzEj3pPgayXtPkXrVSRLKd
s+qFVBHuYERzihgYT3n6Bys8zseAPmPERlUc2LdU9QBgsol/AnE6RHkMeNaFphAjfylLk8sI9kJ5
qWYWgEnNLcJyZP1QnnFrPnh2RGvVHl935DKsWixfL+PTwyZnuRb7w4Bbh51wr162nJ9l1kAwve5p
qAz/cIHzmczY9FZlM2x6Y7lIrP7fmefD21QGK7rnk0IM5DU2SStJMrJ3Xy8ywXtaQB1QOT3GlQ/V
vdyj/76k/ez6+a+mQE7fmeTRBvUTDInJ2I4anAgLQ7pJM4QNw6O/WRqfTgz9xh3je75nDKIhJFLn
LbZ/Lb2v5tQbhfo5OdHPJnJ8jvscl4sJbHd0FfzTVPGfrPPVR4XSXwRJ/xRqATcS6LoCxow1Xy/y
AHrOHjqB8EQFfSSWSV2EFqIGkLJp1nfKOqU1LMQJY0XK0kO0zZg0Gd4oeuwDUslq6kgpzOcd7dYK
vcntpsCvMTuTXqD9XRqG0p+zPHyhdu18vOThy/NTKxL/Fjjr4S12eM/w8phw2fhGgTzcSnH8+Rfi
/L6aKP/c2AyBpJUQZA9BkKfI3nUeRukpFkohX/6wSVs1fywECIeRXZR3raPr8W0QFmUYOgx25A3+
e9RWGvC8Ib1mjl/sRDAaxFjf46AM8jmglRWPkdkgj0BAdwV29yGAKpX2FuIpeZmfBnlFHE1/7J9l
KMF0viNwq0Ar2U6lRml4Riha407MLM9VJzIYYFXVadAOKoqwXJ/DWuA4CVYt/OPD8GPMdCfhMV3I
dA3UE/kZP7wauPdd2FGuN9/gd02rgHORkyv/yxATZKacogKtLnr/30dEvShkKXn1fU+jDIFrU+gL
toZNwyzuGtU6iq+NI3ZXR/P9uQz4FDsYKAsFoi/b4fsS2VnYMIcGXwm582U+1bVwzGw55PAxnAQZ
AC2YI/pWg+4V6O8bxkpYP5KJiHBsY74ueTexP/ipdsmWeJxOroA02j5oyvU1iG4p94Q6m1Q8pXT0
QsVX9UuSNytc2m+41ltdJrdu2ByHMA5kkOAgBgbOr5+/u9hGlwGLzazynB7v4aNUXoCHqb7F6GCo
BCTj0zQQ4MtqABb+QbvrTFXh6bTk+h9UGiMAZnV0nEcT2Vjwhy4/d8RM8gzOPqkV4aqanYmeEvCE
FUcfnTLMn5+o2CuTZZ/g+EBLIKQw80NZFnctZFaYoDHyuGy4M9RZi61bEYST6+UsCw/Xb6Oj1WIN
bPZk2V/v1MVoK5Y+brA7kvLensNMY3hg9XLNySWmyLSvnA5tk9IRTgkCw3Ntgm0HRKz5QsQn1SUL
znb8LO3NP5qDKopLiFr+bST5ghd3KBusJVYv/jmuT/iRudp81hwX+3UoH2EyDPY9iqCbb2/wj2Ib
pr4lGWygPWA5bqX4vCRoIwKX18sbFw0QqpIJQJsAD0pR6QiW6mg543yQo7mkPYpRu5GlXOorhjU9
Lm+tBXOKwzDK/CG9Qm2s/Ez/HftFus+XMdwj6lW69eQ7PpKOveJ6317DFPir8UMw70j7rVEhGwR/
t7DHaHZ6eExjcVTXNrRTjErVuGdW9tJme/tiwBuJXqy62Ye+kbpMF3610syWD5zbMJgd80jbqEkp
BYKqfbWWs0GREjEwcSUKP5ZceWVVERwsVqNYd8v2DxcncTzFMNQ+zz9nEtwS9KH7HLqXw/HYYr2B
aO+R+hBpZBQt1wI//usSS7TtLUIgbr/DwtxIdQud8XDc2wMhMT1UxSHWVuQuvcbWiyDPfKrPRyOh
OXgJWimmiDBG5yhrJIbpXNCzx9Z2NaQbvg+4yy8QmKzRodxdzvsn+bPH1S2CM2EBTdmotvSXgIN4
tyA9epaJzaXQfBJuTf9shxY/1b5YMUyOi8AdvDPCFvAi9usnWEpmQ55kvE9Gs8PGXCI1f8tX+jwU
UnuOwmVlDdmomoMK43CuoWf6KzHfPAF6M8WvJXHPZhONal86xCRwrPRIa2VPtNM3947iqdP2QkL5
Etitif2lsqT9KewRFxTEEEJ7D59v9SYU26lRfvCGtnzSfSOFYNrPNeTU7m7LUgJzAcpVtbSpEVud
ZILCAf/Timqi3JLHPinjfsLVmWJW0ed99aWogxBBbfkOEpKAh1KAYfjBUqHiLAocjZQToMPLmkct
pxA4XcLsC4yaZxEVtn92B5gm7WjeVJZD6JHAkNQBUBNcUQIUiipXnr9Vw3fcCLoFZbR+WQt0Kgpb
Br+2c11nCRD/jpxYv5b6/0T3mnE7hyulQ0DYR4C5iU4gosozRc69QaZixtiSC6E/rH1T5GoxjHP/
A+gCgLOUnEl0xAtVT6VqOfB9b4tNuaHVX5y6GJcu8Fb3gdJZupus7mkiqSc6rVVRlQa0ZtvSUO8a
zN8A5X+MMoDVCGejeioFF5VslhYDtdxol0jsc91u6SdYVln21xhKbv/8GNfh1GbK5dYkuEWM9Jmo
vddH6py7fNUCTBEZBbVK84fu9Zs0w7JD9rTo4agt0heqYQ8fBGx5IlVhcNyicMGZpoxuZRzWyhFH
JBZdBfRQp3CzVBInI090GuU3hAJZ6tWX/Yt0I73dgfuGm+4iHwJRq/sAJYjWM/OfmdAYWvCVMkSw
Ssz/0YDm/yj1lgzLsWwwB/+MrGhXjjpskhNIP87wcWTf2lLrdi8J9ITxvoa9CzvmsJefWEKnGbx+
LMbJYe21d9LWmeewamHp3pO4w5qk9Z2vBXg9tNbhtVKDh4iWILHtCLiH/7EwGHnx6GYPUhafSz1D
q2dpRKQ894ZRMx5RTpEqAZWFxRrOl3aSKwnu/4QFR3UyzFzCXkFis8PbnSh6hvKZEWeQ47767TKJ
VhT2yWSyYxkEMh6aCHSTbRvM+hdSpi7CU7qXmIj2CDMtl06gimlZAt3JGuSiepro7fRuoUiH9Sbk
zDd9YjdDgXeFG0hlDX4fuNjkVp/8IOyz5iinsq7qv7NUxacNTLRwbBRUIgEOgKUagNp/WLLaJRCs
iBIdAcJdkz7FkVo+GMJZQmozAot0Zz3/habqeB0QzD4iUxZ5gjREOHURczkwFqU6HVMwRrr+o8uC
ekHw8RXSHyfS6hDjAfmXPjKoS94qF6XP2SSQ8s+1rcXJ8xto5lTno+lqdF4Kej7u/oxAF8qyekcK
Mf+4i+UNL5ldv6mO41lkahl9wSV9Gv81r3V4MB5CyIaQNOemVBaFl0dUvfFiGuF8oR1suP8uK42X
Qw4QX6HwLfAXJWpgmQZUs5sG293hpaboH3WdJ5s/DcAXPEUkHKYN6vKik/IfGRmKxB4Ce7F0NWNW
7U/y+k4mBj5KUS+PcM3dUZD003VSejtuefvZfNfh4uUmFYScakSHAZk0dKlwh9Zdl+lNNS1Cccbp
vLsjOlWsyC/Qs3hg8Sy9gDd4M80jb++X6tTD/YGP8/wij1S8pXgflrBokTKPdN1dBvviwoEPBmXL
omFv3J18o2NDzQW8vCBcRLSyCqPzUNrYkJOEP7GJecmoyMflQKN4usRF6u+QO4CI7qQFq/bszhhe
A3yaNf3mGzBrZ/jOJiK1hdRE93cQKXscRsElIukKFb7T8Ut8gcbpOTYIPlBY4gZCryWg3bj3zlwp
BmeZxmLmuIX5hHrNWio0/w+CXlfN5VT1eRjaCywREq+GM/mXYhJERbCpmBhfvRpONcmmN2+Z7h+K
2jzLyaYc+igrmZaJyCuCKG48XFcUepIhj4s/DC+z05laDr9uhPeEdIrY2f6YRjgp5hsMvaRkW/Z0
UpX8QX4yH49oIbColYHnFQN0GU60zjy4pU+nz+cVWTSPWDbIrT6GjpJUQsS65sNf3O2Arcq3aWCF
jvwzL4EYeA+tT0bOnb1N+DdfDvr8HGkCLNUv2AjPVHq/SMyTA3/9fnW207lCi6ouOsdnDnZYqIAQ
v6vJi+bKdmU+fs/1X6J4/dgGe6e/1gB7XDcjf+WlM8ojSHvagluMld9BWt+0uLnCBiz2cpZNO20B
x58ASIK9cTfuOwLcBtJUdsF4FXCsotguP5aF7EYWANW9aYRP+38JIING6LV5Mt9DddBf+0R+Co/O
a+UokfTzGYR82vNNZngnh8ioiju1uDghLLquezxaewopTxZK3cRxYSk0f1TTmdniyKNJu12aqOii
lVe851P9+HjeiOx67qnE1AM81h8NrecasjpoXC+M4ItGrQEqu8jg+Ohp23Nj73i/pSnW/st4kr/k
W/ntKXvvaDQcUv7P85cNRYcc8HctBA6TtW7UFDDC+noS7G57QWKHp0kwnx776ADkIQlRue5s2oI8
VN6rgJk4wC1DbNhxawy5jYbJ65A+Baz1V+urvST8dDTGylRDYi+kyRAjLNXm0C1Hrf1xnB1RqB+u
0pIbcTY2bHovSbt6vdiNYpHPKZZlxBhIVqD9F0A2mRbW8h67ur6wzGxAhzOm8+fVftI8JYtKFcHD
4+/VKWveYgHrzXJK9/FzsrST6zMi7o9EuvKokSzk3HKnszzeFqEw/NyP//EmPIkUQ7uD4iq55oS5
UGEvH9Syhf3RpzCbvyqE1T0yN7Ia+ruAeKHKnTHeOyKOhLm7BEOKB1KfNhDtjWGVvVe8xKvkwkbV
Z7u2gbdXqhKKWe9kztfikuIqsnOPhR48olXLWc1Hw+xySA2L/JJ/6eU7iHl0BQeZYhqm9cG0rtld
Tnh46pMn2Cdm2R+iK6+yLsp/Ci53P8z9tbfVkWkUFmxWiCh3fwOHCe2kONSyuv09XswQXg0gr3NB
WXzgTUtNyt3vm0dyTdgnbwLYZsnd1gEqSTIMWKNjkFDY8QnZdP7HzlHZokTKwUMLD/MkdUvHlv81
UlB6yF0HNzoiAg5waHdLOV6tlJ+uunJsJIUXyfhQmz/f0rUrzZyAHCRz1F3vZpedWTc6YN9gIIVW
/qcxp97ISjfYbgf1xFw8JrYdCSneV37sMIVaHRyuMNkYgBTS7lnKpI8/jYgMLUxffEsZK0MUVPy9
B/+Q6kZZfhbe70LiA1NMcigqDL9RP8HfGX3zBX8sBdxTU6Q6DTYwXp9oGwwWOOPSCEGTPLmXtwRv
Ht+7cZXj+96ichB1ENEOhYhAWLFRfXpGgxENKe5zvi/3K9AfkDNYxbChEi6WxJiIlLNZFSatPOKF
xkPQiRJEgYsCRzBCZ0cNvSHNMjBseWYc0TwnshCp2PwRNCaiXOgiktETYvuQcCDjRs4kUvWWR5FD
5TSVAd4A9YgcLrh1w8Fj+xW14u4UKBvCjS/HrTUatt0a7OcuPuwFSr88lqABMEnDbnMsW3rSY40Y
yrnR0EevIDaXsLGTcyzsS6bJZSbiXXgHVI06InioiRWHFWi097g5E/46xipKNdBEGDNSq+dcwhOB
X+FbcUs/agNvwHOzuLuIvxFKnHSTHM/txvgX1yiVZolDOPiMdUr0UOfm9SGBf80/jr+FByWNvtgv
+cHJJap+p9XsiEyOq+e1/46Loirksc8Whz773637RSAh1M7AH93SaK1T9hgRotv94ssFj71UkqUZ
iCKM6ryd4Mr2VsIGIfMFxS0Zapiy8t+ItAqDmpr1em4HPHSg0/1nfqTEkIlFsq1Q5OMaM4MBhz2v
6r/9XmqX2pP5avwTpS92wl0NEXSlpSGzbVjajzRMxSJcPRQuOv9duVkG1OBWj9kf1unVgvGSETMu
+Gi/0CL7gmZ9sQcXXHMHtriPsrokqwwiJdfao/kjrW6ExKXqVUdeVPbkwKtWyR2v25WmfjdTLmm2
jTmPR7lQfCohDSYo3WW9N4CDwJUX4pa4y4R0C9u8QzOjYBYOPyszR6vYolaKyklWhDYhhffZbYV7
Q4Chu1LIlZencbpyK980xbOw+zHA7ATu0sktvPyBMgBAiUinTAEvysVmOSVI0ldLBxSw1+ufnRBv
yryki2/zk9auir0CE2JaDQJk+sl2MQakYAXnTFvQzEoL09YchqDtGFC8Pcv/r1fSvexwkqzAwZX2
iwb67FXgbKSdo2r0PxpSCDNbYBS/i/rG+aL/216ha8Zd6sDFMHCVtjIRu7GyyfmmMMxW07l5mOLI
+haou2ohftMq7diOUIEsLQpzD+DohlYpf1MCpR4Zaboi+UMcUh7V0ztoaILM/GN/pH6QMXazhK2d
Qnq6xhc169YbZGYoxYCPrs2b4NrumKUBF0TtG2mLVbln19negP4BIUTpTjqlOACx9BcSkSPjNC5u
hdwXFlXYVjTxmYQCFOoZ/e+TGiJaV47a44UknM+vNI3CctDoH20LZ8N7NybSzcdcoXizgmAqRXQi
ctiSdJ+EpKBTf/nO55cxeDOGsZcyoIh12elmuGMiH+QD48ZT6DxeaQtqHkL9rixajmTm798g9Wpz
upmFVJ9a3ewUCALxp7gkP+3joP7ZSa0MQgG/bKGg2CY7b39Fa0bcfGJ3GX//tL0AYQD3u2UeE0CO
77sF2jIEIcolze2UeJC1l2n4mzF4ZQmOziG1FWKpSpGfv84VKfUrRYJ2evixs2b3QLMt85CYWt/W
8ss+Hf647IVVDOZg5XEu8T91SAoJVkFq29EUlNUtGKrsBsE60N+iaAtLeXhkszxcAYDMv4PlBd/I
goG4fdHkzW6YN+TsLmglBjKgcuqd539PgBbHzduLF0E+26vO2hdfTLg19vPMIzc2kROUjy4nU2rr
Gp9fbFJOL1dEmSq87wSTEnButHfU1fYM9jzoNsRxRiquQFG6Z74nD3HuaiXfU2EfzX7gBVAl+ftI
B7LY7nJbH62Ih4ZFk9xpfbSCUn/yF6Reuwe96OsdNuFTKJ/hd0CFkZFO0owc0xvSmaemKJTo5Hdj
u10MHmOW87DO8wSuF0JQkaOPaKOsPX98g3Xzf5QRNp1MqUzqgKg3jiyX16swJ+xrn8IwU4gFL01O
tKzaS/4yVisz3qnPnIKfBe3LUjGA6wz1DaEjkD1klhl2zEI51PKVcbtDT7BR3ShX/PdyZR0tsXR7
yQC4JJkEW/mbcdq3HPAaiVabio4sPRfN9ziS446lXfHGCecZByYNsOSjrkbMjnksjQznMnHZHPcI
Ehgkd4wck/1GzCb75V6WfUQBXOA7CSa99n/CSLDi99h+sC6G6jC57xeEqWKphkPEguOt431BE5Wr
YIBf9uX5aJ1SgT1rwLrJDQlRqcuTwgiAM9IiWuvLViOOpM8XnioIgKTgsLzDUJAv+f1jybocXMIU
Xw5DEQlbmiJ7uj7DdRDq0tGbXYEhylAJlZ6fNmRXDOsqZrk1LVlAZf0zXHUNgjh3Spgch+ZKWcMZ
vaSKr501iCNs4YtMeQVGjHmo/XdE3sRVu+Gk1NJrmICutJIbT3RImLY21SQs4CSYKHTuxUrYSDP2
nKG9u+/qm/nMp3q0dQ0k+ylAufP7W2mx5+Nh0ZgbesCZ+ICx0acR2Djgdzo4uYNI34GndavPmdI0
kw0rAefUemNDvSNFI75H9YRcZLCF/Ek5oKkgI+DqMqhfCr2erIYVNfytWn90Kpn81jNWwgUEO9LI
5y/CY3BiT7rxzH2C0IXh5wbKkJpm+nUqS4PRsd8QzCTmgM4KesxcRU2IL4HXNfPhTmSqLMrtyg18
6wdpMJGWtRH3zZPOOYRSvHNEBtmuhKVGYRAMDWk9J+pCDxH2KMH9mM/NkB1FUJbrjmrAEcArevaV
cEmkxmemzR3L/io4UdyjXABUsLELm2FFwxZZCq+nxbAOydXtqDst+Q6UBMdR17ARx/vCA+TiTXaP
Y0nXTpuj9+CXaYE7sfpP2VUSnLL++Tep7IuuCHI+3EAa+LK81tqCCCveStvCqpe8EglpJ45GUdMt
kxZD3Vjcg4GgJ4yKc7yEiDeny0J/yiqT5fSl7Z5eXdnwmIJsZ1gipfdo79URv3OXsKgbcI3Rablt
tS9B80Dp10wBJErl3yvnF82BhqlcE9OYo/cmBAOEeLHtMocr3jHv8pRMne91clfBUSzU6Bvoik//
/NXNRrL2nv6vDWdEr9Rp1130OfrS1MA8SbnMPpeJ7mpowDQEv++JPGXOJcasyWK68ZLOb2yCD8r1
EYSUKlhf8cQGUi6d94d26RLZNazaiFOkOzZxUKiNy2bl7V3xLxlgwmBCV5VEAuK4WSYEUs8CUZx/
hrK+hT9Jc8sgEOccdq655RQMtfFjQQw33HEsrY7QTCi2+W8D4saELMOI+lw7L4e2z9wZr5X+O5Ep
unkYL/2PlEUp4uHAXALwZtknx+k2NOQnw7VgOMARW8kFgBqiLKzUiuS6/uPw/wVeLcrUx9SFvN0b
oQgVVFwqyTkOy0WP0w37vhE0vo8+ENoP+nwjuE14I3WNwM2zOA6ml1+G4/agImY0Juuikw60XCVB
+kjXXkJ3/4H+kWN+06QNltqxvr0oAU5M/ibAgnqjWYxsC8Dwl2i7uwgHFnbrE7/1oB3FWPsbIPpy
V+P9k6iJ36du4GqHN7mmE1b5KhSJe+/cm+HF4P7w8axyrixPUiTlyxRLkNjxqmEiDxtGHbqPdbE6
ahdbLJvCKQ9tK0MJNaG/SELJgJQbWq7WIic8h8JHGH/4SGUCzavFYPLqBLqteb5LzwomBdtcVQ1k
/IRSuc964W3lKtC/JQSDUYZmG/Z+EFAk2ghKlVPc+NsLrnTWcnTfsbsCUddbhEcw/v+/GyNQPMen
Fx/bGqu6FuK72XkvDrHRcD6axE97+2r+RAH/pRzEFvtGnAgDpJsyWgiyKdM/kFHhdb7OfOuwzhi3
hJK3p+vCNnCdX0/3NnEy6cH7WgFf4A3pWWFECNatEQ5PJOhofqyjcE7PR0phzXi5/oSAW5rtgE+e
7BVGfyTOY++860OwF0AISZDpGF1bcFSkeuyCXIWNWpG95yJ2RgSGLoy9U3JKEJDfZlUbK1taXuJi
R+PTliSzSosLm7q1lnZw9KrQNitrTZYWG12msfyOfGJI0zlsyVGqfMufu8aBXOIbRa5+xJvEhRpN
EKBSZfyO7A/Uqk3VRtU62MxVJ9PCfyJkzX85rRAJ4wDjSvrSzX4zpK54VlWkRtUSTolnLIWpih1F
tXJe0/iw5DKKTg3C+Poywm2puYfk+/ibn/AKSi4/RY1vkvNePX17T7BEr+AfqXA37S9xYFtAoacD
vLwnrn4X3gmPwboq14ww+boS2Bci4Qcs9/cG0wb5aaKyiAIKZcvUHoFsH45whMcFcnBD1MNle8Ad
ZCUmzq/zsGVamS4G6kvkLjbrgz5CX/JcWmatWbiL6RGCtrjp9cEi8WoslQ4C1hsWa1SJoQOnjm8V
AzX67DIpTVn44w4iDaJrQjm5bDwTwapqec2dLDzkbI6viPfdhUp1L2m3E8Sn5may5QCdcIQPkaEO
MlzFuE+9QaxResQoFTp7zJUX8wqaAHOx2H5HcLLtQBhyASrv2jkte6GHyavqGLbvctffC4/W9ws0
VWvDavh0R8l0ZdSaF4LhHGD3LleSC5n88FEKbjRWstrlk2eXGnDUKvzpcB3NcGDRaV0PoJn6ZddT
kdP7z9g9pIl7n8UcJKlgyjD8tbL9iQXK7JlbN8MxtspcM99dczAXBw67Z8qefvp10SYa387iq4h3
7HdeOeSZG0kaF82z53R8UreM5YDyHeoM4OwHsfeOR6XZqJsl+eB3ueP4/cp7xGTJKheMcvR4pQPQ
kkXNNG3ljl2ip+f+0clhR5ICFldHGnenmxMCWU10GA03Q0vlLoziP5dWEuLuPiFapxg53YmsfItO
6d8mjryeKO0xwqOGD1oYv01A8S9xUDL4ukoZDg45NUYdFprooVq8tOZw8khLPFqbhtxTqi9djDkB
o/10hJVqeUonwg/JLyX1s1L0rM3yasjr5p0OW0ln+AqfNyLKYR9c8ViqUne7LPyIPUKH83cTFr6B
Kyms6vEGBgEsOG1bUgbGaA9WT74Sdd76gay337uq/Qe4ucr1YHLbV029pHi9ZoYAhCMiQNgGcvs5
gF/BaLQYYdZdTx7HBvFkd+fbuXG4v8e7llx7vgYRZ3pOGaP893PRKXBICZn+o87wxgzuKlP2uFog
wS0zk2iKDICuxW+WRaVPVhmsUzsJV44FCmawWjRxJyp5Fvoa0utDQwJN3JJJkauy0vcbt5cdmkhr
XQ9GlpTxqGSlmc77mGHcHZ/aAP5JO2eU/vkW8qI7ydSVSC1WcwAmq7+FoAQ0HMURL5jx23oDij5h
ZyW2LKzlziP4mk1+0ktlr5OfLCQwiiYFN8UHiTz2+3F9uPZAF+x7GY3saNSTsNjOXTgwXxniG+IO
Lf7gtQ3JnWAiR8PftC+uX67le/GOnykBcJ6UZDeE3SJgVHhtGNu2iuQsmo73AsOyeIxbIx15Py4u
N176jh8q8lVdICjNf2ZS7+bq/XZYyrGTFnZ6ppaj1JhhJJhJLmqlGHPljO3KDegGchYovgSMPqXE
VwmOmG1NL9f1UL2hrLdbEAC3SM98sGQlMe6TsIKrV0D0AUXHxKADnPOQIKfN8mKPpRIUQ9k/dB5E
DbB2FBlDa85ne2MdShvUEmkzNX+c3UUvSxd/EvWeqU6z+awxwfBs5xqVggFGGink1vdlvHDE1p/9
2MJzAjym+o8vgVkBG00VtDcHvwcs8uIOKHbmRvwvYbjK4QleR7tTOvmJqSJSPo5XhDNixOS6yAXr
vQJ77VRtqTREqHHpALvbMjAirlff1XXLr7tumcCEHII9/RtkUtCGcRHPy2XdxvqOk4Hg+uHOVtEj
3KjW0TBScMEIteqrtdFB4XRt57IdbzIuAU9+Q2nH1t8TCfC2MghAz5iOYWd0BEFy46KcRyfwDnlb
NUa0jnfLgRFu9KCry31+dd+aNNotgmUVk7VOB8ODX/1jbOKBhtNhjq0fS4p72TvA5dqtkH1WpUOo
hkZ/zLZrV+oBV8A+3iSirhBdCqE1fSBLMYcPW5x2gufx9JwfHoX2sLiOS+sOnHoV1NFxNzGP9RIs
EyFiT+ndXH0sXEWB/RvACZoRMF3exi9bdaW+gXUaN3qtsWyE11WyoRb0iF8CMgE4gj7cBNP+dF6F
IKA3PFAOj6Ya3mLCtAn8ppkqgXNTHjVHVyxQsxgnqpHBf5mzp1MulXHBJZSKtfpZy+PFdAfibOxI
z1yn9RLfvDgbo++0d6SivuQbxdZWdaTCzPlvpE9rO0qgKUfejnvQOPnacOf1Cj1LPRXmXtu/Y3VA
1X4m/A0JEnIkIq/0lKRqtBLtBo6fl2sb0lx/nYJeKIUtfqU90zApCbohrA/oirKVJSf5kq9bmdN/
tjqcTSHGm0YkEADh6DHOt9ghPiyrevNAd8boCuvss1J4jPnBUm+EEfvdVCj6Rjzb1MTUJTgBH3cn
NkTXuI1F8j9BhYVJnHrr5gcxTI+tLz0pbgwkIyIWJ2YVaQAOBX8sD9xS3mOd8HrPtZVOiRBDlPbs
/9i41Q3BNLfcYAjiBHg/fQFA6z1BaNdQrF0Jb5cDmOwJSFur69coRSQd+wfGxTfa3lPe46gPj/Ia
N8Z67iSc7ZV3bfD3rtmFL4nP6s6O7pT8lfWH2W57Ah3xUTSy/FbgdFwJ56y8QsvOnbD+XVcu+SHB
GbOxZeT9ymw1XVwaeGCgSyRv2PzsNlctORRiAb6qTQFV9ffV/NIha2YXUnU4joG/QXMN6jPWL9ic
UYEKVZqxb/adlshUBb5BsU4JgfXAA181ynVshFg3nZNmKdC0iQk1To3wqK5I1kB7W55UKjEiGk71
QNN9OZGuN1qXktARBl/JDzsY2qqNHP5zgf41raqfLm6KiWN3ZoAilcEiCdPjA35J6/uPmD0d/4PF
w3dSarT3cl9DXsW4fkLwm1yuvH+czzDoyUAp51hU+2MF3eR6jAwzGdYn4XBOCypTaN/U6pKKK5H9
BM4cGijqNi3IA82AqjVSKBToxGwiMvVjUBJ+cdjWqRNQLRrMmwY3yYvM4s1elUfkEHNUgMn7beWp
YtVGAyQcpnsQSg8jb1pB+RwRrLCQB6CpV9W6ON8BqQheGwL9+IFCQ28gRY46WvRttVu1iklaJojS
SqsyMeoXyiKPrBbdf/gdi0JL1oI/ZMGdo39f5IcOpslnq24M52pCf6/AO7Dbr10Xn/XgClPFWujN
kNW2/AiEyq+GK0hXFYa6Ng425W5Ce3aelg0iZhDS2QUWN2uGl9zmbpWCOeGwWgC1DHfM3Ei3VRK/
sfKOsQBWilvRqGhE7DFBPTT0mitmo4ZxJm0AMlLeWwVHTcWrT8Dy5DnPHgrOfUUdusY4GOlWzdap
0OADusmzw7qDWcZJ332ttCSPVMsEaPAqo0F/ecbMI0jt86PyvKfkSRsCvKqoN7rcKmK9RVWRZ9wA
iNoLd9pf0mk4g53/1gazLWlNOAippGpo4OPG6cJMBRfPhz5Ua3w6hSJDnhEXP9QpWrkv2EuRNB7N
J1mgjD4GQkw3GmFzHyX5S1+2pAAUdAPcBsJWgyFWxSp67U7XbRRBIO+AxGGkCfPpO6/eGdApX9Cb
IOQheK587BU637Fb8vdszV3IAceapeZfk46zbZFKayXuC7MEgdmeyC3VSyp1+269gu4uoG8T8cMk
Dcaf8n+ywlrmfNKlE7BhBsT/wNhSfMHYa8Tc9KXq0ydSZheQWgBUe7g1xAkSsSSC9S2jJ6e4QrUZ
IY7IjWMmmYx7Jd5HUw5egoq8WEyX4oIb08pfxmJhC1ciqZaKgV+JmvTo590vcjqGuycXQhW3KTIB
hhzNSj8oMEpcsxMFhQY5TWoOWnDIU7Yf5CwgnS6lLLmqYxsgw0L1Pq0kE19uYD22ilPDGRy9K1dS
mlfjOK5c0sEg02nFAvmyHAd4lIoY4hLLUmaE18VEhemiHGpKgWSiWpqCL2dt4erQd1LghdB8fyFL
/syE4fEKH4RLgxI55yBQ4ooiYiPqN8XMEZcr36cw+O/IYd62FIV+Lg+b7jeH1Oa9N9qLvMOOWEL/
J3zVdSy6pgBbTYxRMT/T6XPB6vkvsSQAUT0ougnBEQycmCNnB+sy/X0liQDmkm1UZUnaiI2/d9Re
CtrDEAkPt4UDLrHjBXZ8o9vtTvUDzYqMziFz64Ac93o9OuSiUOGAdAPE/KnshboHz/wlBJipW3yS
d7Fu+9lSaz6sIEazMRlr4ZD+J6X6KTxOJOTg256uND5JSULbfFEhpdgCmsDvc6gPEyDBZs4L6wTH
M6VHSrZzsAnvG+FiCYstKHroFS9yynaI146qnifzOrEP6zmTx48QLyWC7prAPRSpmnznbmtkFseh
sIhVTGrU3gArBP3F1ttfob6RQL93zNJUZU7fU3DBkgAtvzj53xDbszJkIjugAdsbNoVfe4IOj2tA
ViytNGHkwm1/Gb237zr1UhHwvT+y0kL0JK4JJZuDVc5sG+gfwSS9B14ONvu6wHFwQKBkgEcW1Dhb
Yw9mrl/tKPzyk/e2nrdJzT+QbeOAcyJXJyq4pP4BFuQj/QUZqHY6VthcGQvON7ACZuICmhTPUFZa
CltrPneOWhlDwAhzhArbQP8qPYJtwhVAV1z5HHmywM1nfppajFdaZSgE7BhUbyj44IEyyn/mHkz0
6ju3yrYROIXqSqvJWbW6u/4UNlEUNNxUiFfn0pat7zFXpkB7NPq63iJv+epAu8cyIyePPKg3a4L2
E1UyEkg8HdCvcviIMNQdUOu/ySGT4rNxW1jYs4wyujl/F4cmbFrDZXVizdrU2ti5hDESGPlAkV/H
yji1orJ9fhd5xSyUP1q+fadUcHnHyjurBCHwLiMBGhgQXbmRUjYnMb4xQhecYJSp9MDxOSXJZC5d
pVfZG4E6ogycRaJpzBS15qxS6nOqpcDsdnJ/5vqoQTgvF3HeObeR+5FEdUWb7xIS9YdjJQ149mPY
vBtSS3tEcCjkZ1kErWwEsTdy58RLrpW/vUxbnj1yqrjiTe1W8GOHJlHPk7z6S+3QYViIcU6hzbHF
4/elUZdRbowjHyXgLqYZVLL/qzJQ4DwQfsoivj935Q7aubn08J66BaJpHknVCdcAZOP3CeI5Y+Ib
DtIc7gKhu9dKAM151VDvDQ12TI3x54luDu25EVxGcVhwnct0+/rCQkymrd00A8F8K1NsyGcp9lem
NXSCqNsyblulK+cmiScbC+gweWJTPwQfBjVZiAJig/IHjZ0gPztXIjqMpE1yhOwV1wrKEJH3+eAy
23iO+qYCyM9P2bFo5Up/g6KWKrWLiB9FChRWY8eC/IfOwsPSFd18qmjtaQpFRLhwwL+Rdj1xoe/7
McLvjZVwp5zjtSwHXQoidAjQi5REENoEHa0zS0Y3sDaqEiEZqp+quA4baFw3z1dHE0XzxvL9m/j5
hb+8dFyj4d8H8rNk971bKo9h5tLJfyDncHfgtXDR0slifnaJ3Ujm4CXaVigbic8ag8JmRjpstJnK
F9bbDD+BIooMXIDAh/yLCstpUy7MVLL2Y//11+aU+mapC19rcYECuwha0KKj/QClaa/USiDPVcwT
ZeDQZ0iJsef63i53ws2QYVRcj/Sv/sR+UMz/FFYh73ioYvCmeUsOg89rgXPtD75ZVluZp4iei5DA
cZbpYmwJGyG/8MkWut2SwzrdFy1JPut12TFgQK2aX+iBtJoXas9MlLQSEOpFNx3poWgl6+/AUMHq
TKlu0l3o1I+ae62aXFmLJlqsj+vGISlsbWmozB/erQIaAa4/PFW7ziFPOSfm4VV5s+jb3b7lnA8o
uTw4Gri7R4EE+n7QxNPSAyI0IEBEYQ3XCjbgx22pMo9UHsGEvE4aHbsHaL0EzOh0JtZk0BOPsieu
c22IfgM687rs36VLUqo1j4JB7dFiF01omhRyXVjlbsFy8ecmZ5RQCZioxmkeZohrRYa3qP1OOv/i
1xRuGKcEIoiK/T+qzhF94/WWHD1UgR1PxZBn3ey2zrYSduym52vRggRQBFTFDXJP52Kz+h4YwEnZ
RxNWq8TAob4fHidLZ4jhvfaCU3nzWS5N9/WLNaD1KYNcyOM6WViZsb6kNHcXFeAVxD1IRAX53083
sdxxj7WtoIXdrbK57xTSwy/7qvZyyW9EWPncw8mHYuMoM8afehmY+nykIITM876jPbdhSb9vZkYa
6ZgO9QSHyrD/s0587wx/TpeueyGuNFyUU83sMNkCHYSepeO6DGSNOBNgkBLPeQ5Gcgd/h5dm7I/k
aWCuJ5nyO9gyQs8MMsoSFMlYHabOtPfxoq4sS3M09eAWrVDH2EHGAu/JmkgW/M0ZqDgLyJG7qcBO
n7jYzCHne1KrdqJWPE1qOsnNXnwbFeh4coYEYRZhggoz//8f9k+GOdFyl5FgU5/TIHcMPRnEMenL
LlmlJjiHzB9FkjVsPciGiTE+yDqxwAHPnDw4+NbeSsDnRGxeqmFn89jLd05VsBU54bhUHuc2wZ3s
5BXSHFwFF+NBAJtWL1AxlJI86Fo0nlkhf+qXa0l2vvBnxWROzaBj+wmdIWb/j0Ni83m6yO1d5zx8
2+y3KjPfn2V6cc+geJyttyTw36HZSy9yolsJMV2mk0ybz7zbSVLPAVXxt2TtPFeau+3yxtI6RYJr
DlEatYUe5n7YcYDlUIrMwTYPhjTwrzxUNDdgA+6yh/U2Dx9aGkdnUMdzyueUdCpsH7/kDM1vZXeE
TTlCyWno5zDPzANRYRoy/IIK1WkP1GEKuSzwdJoudkXjSTB6RlKOPr2rg112/v+vHpFAxEuV3HYv
SWSVH/2dOaXZ/T/N754HP5ZW8Mb2YHx2H+EJMnfYdy5sEo/Cj1FTa9qYC3u0QhLrqQyRoaLviVlK
FeVAVoCWwM/HFcUlMw1HO/94+St/3oLc39a+TyUr+gVobTqeY3jX8TZr8Hrz6q5Gm+Iko5n2u0w5
cMwFiOjp2A1Yhg3lRRz21p/y649FnoTNtFsn64FeETlCy7TfJb8caCNQFxZptBkcSsbyaEzSLOWd
8SqO5K2AcYpMtmO/zuRnzDxr6uFI1XGCzxfUPbnPXroIjmDjnwlSdLRzD3PWdFrDxa6FOZ7rgHRF
x0WnX8C7k40VwuCkyJOydzWaROrhkZLlXF9ulOKvfp63ALj7f4t+qND1RAbuWMxAUtsR/RB+5c2S
wvR4iYwM8zR6SoH4xfwISONtGdErtWJkgSkCTdfy5NaZZHBkkBQcvjJ7QJmRhITUVk1KQddY0pyx
jqqjhVumu8o+5e0ENNU4z22tCshJ/eAXfGNN6sH2maOXv2jnCTfgBDd1sM85B3K24ChpBes3/77z
dVUaR9dVETJynlyGD3QJPGkxVKRQfWb6NmIBtUc/q8swKZsMrR81uF+2z2GnkmUtIrZomCIVOtPa
/3UVb06q0TZt5HMDJvh+FsAzkzrRPd8iOKS7XvaI2kI3ZTeB10RyuXLblSsLK7hQlWRHpSgkYCbR
yueS0+NvYaARFZ8agcQBAyXs55tBme/EyW6Jb7JY/APfeWpKoxGDV6bf+1+rrGGazMpqZ4gcbfvp
kCh6zH0AJTBLHiWmzt5pVyA3diT0ejZfeEend9G0zXGPodHLVMw6bcn/WXVREw8/KGR023f6jC6o
jXm0n2WqYr2WWwaJGSJuy88RdidBrejZYQv5WefqpYCh32G1gtnC2baIgfchjdtdsBA/4cOfG6JN
18jjWpekdxSZeJ0ip0ss3cyD80oVXrAbuM0zJMoEeSUu7JYD9DFM93/RYQWJKjFXH9HvJQDp7frH
pu1+1jIC2QcgD+KYWYgmZ4GOUMqkeLn93u4+ujWGQm34LCjY/juB1pVigHoTkTsnrS9VyMcLfJM7
83Td/TWdPjHoolU9apqzDlD0wBsEQqTyz2MS9mpG46hfUSJ451lCi6RuuPYeXFmrDQDw7DSOiQIC
dzAYfjWnHTT3AP7L/E2HfRNRib60wpU6cHmpia4UdRXtT3499hXFdM9Jc5i1443q/pkTlrkx9xVb
SniIEuoKMB4A8fCkkkueacUeYTsnYKUQUplClrqDlTP7fqzQwQm27y1uAyufC17rNh9L6qztdemK
79Ra5cLGSWBzbA1zniTy3L9KvwgodyoUK8Qx6JATqN98jtQtYE15qnDM3JIpYLM1VrBKgDrQHb6z
XlQ0ukhCE9Q/AfuGiAA1i59TYn2If6smqnFmDq4QkaMMvRXGbVPE0cXek8uT4rGGQgLCTpdBeHx5
sVmWrg0Z99GQ+hjz/vgY5koY3nWw8kHv7JnTSLvyezTnyV+0arx+QW5JBVQYQZY2TSgeZQG+UqOH
WU95WiiI/guDmOxj9fCBdHO8phVTr7zLocJxzmYclKPTSj1tb8QmFGzu9fm2XPOWop8+kRrbcjL/
5MT6SV1XXcMfLCZKf3L4Jxnv1eB0JaKzWbSb1FqPwDXE3SJcmy4p/ldJKD6SpbGec3BQT1bFh4Hs
K4msmfxN5IyZA1JXMwnlyYGBvQ+xxbDRTNaeUT8T3AJj1hyEV8ip2itvfuvMXngfZzIBA0NGiTXn
M5J9nSGFjIdnyEqF+lQ8DcPeZ3uamhx3mrcewkomSQqpjP1jlwutbBQNEgFy3K1wsZB3DR2Z0q16
Z/fHJ5ht1N6CPQV0Neeo+wzNrxaoHDJQyrBd8I57O15oabJcae4aR/ERoQmlQwWSGqgaIAj141V8
1yYB/mdVpy3rB7WS52W2T9CzbbcNqiQ1/m0l+EmhILoepa2NCat6PUZyIuOmP5DeStLAr+NIrxBK
yOHWQGjwy5Y04S5UBnLDjXR2o5pjeSlrpLmIPE6zC7PkcFUPzNKQiHQIHy5+vPCGCfbjs2HefrjK
rtBs8wfaA+HaYlbXaAtZYbevkrI+xtl06FdAcD2zNw+Esh0B/PCpRjgKkx0eHpbFRVjcoaFjulvW
7l8nazwbPu1sWHUGl8ILq6XnCfGoGRTZez7sUs90fzvaT+crtF0G1UVPq/IBfrIHLtDNyOUzUrc0
i5lqAJOCxvfG1dd2jflbkktqKZxq6yUtgAXQmVhl7S+ZXpZX9Rz/HwVPtD6277wAnl2OIEQkBUiZ
SvI8mfcgePCGB6M7TdivyVpoxpnm1uefNmGSqjn1RC3wvT6ZAmpfIjZWTdH7mzXAoOVf409OBfnw
53nv7eq5uQ9ONK0gOfp5um6nRKo5UWD5s6qB/oH8rS9ehBnTVHt3dRNFy2bmvfL7fBINRRgEjA38
OCIKKvl8xIg7UCIA2XGZJEz6Cn5aniyxWZCr5z+oYXxd/macpAuwV3HuFlireP921IQIaUZV+oFB
3xDTVD/GjlIN97PT6SWfAj+PGNF0ZQ/DC8ApW86aEb7Gy6BUrOKbcGqxi1O2zku+18zTePgMU1s5
9hR58hzbp7E8FR5OzABsRgeOqf9iVgE5VooCPeQ1yKgLto6GOCUueHa7OD3uoDqmOT+yeskJY0Oh
tFt8yzxBVSjRnX27GPsDX79V+tmxt9M+PJmZI0jaUl0xIoASKMTYDiJ6lD437XDcsOex3yeTcubq
KT1ukUnI05UqgRf/FgAgFQFZDFQvCSz1kpaiiCBH7pwnfaQ0D/r+kPklgrDIxV6ZjEAJAq3NZjH9
jewio69auH4pvIUZQq8lIuVQ9Qn6EXe37bqWk841s7LeZgN44kx06FwGyb1LZgGFrsq/xhtbliws
GHQ4fqcwcE6xgFQKjuzNft3+LYyq3WpmM+6P181aR40kyWY+Lc8bm6FVw7eWqdZYg9PcgRMmS7y3
MXCDZkvJVKlQ6+aYJTbJzuqMaC6VbjWVj/ZDcj2gEMLeFGin4xt3uzXYIrcNOYWA9UMMSHkER2Eu
IMmr7taGWYqY5NBFMcUPjfnXgkpNUA+FfvsxFAZ40HdHbzj0uOEeujFVhF+ZvxFBw/uBw6TiUXN7
raDsQpAyaPO6tDljnX8JSIqVE6thM3TKOhwK8jH0pxYhxKeg7Pbkq2XBInWLm2m55d7/KFgoIXdU
HOyQA2UOoDKSPJmn1HwrGXN0wVoq4L0gcBBk4L+ozbbHIu4vpxl2fGORr31U7iNvTUr+ZdzbStVl
rL2n3p47aLFyZEnvqpzoE8cSkL+8nU66bCuOE5Ap74EUWsz3zqZcHWWd1ko5NE/U+S6rdFKw5IJe
BllX9iyjSDzuLJ74kTNvPi1ahSztmtzfYb1nT8a9LSjCZ40CWhd0MP8nQZUYWTsNzTz6rKKgUg21
IxTX7xfVINZ7J1WhdlwwirfOe+pRY9ISeHJMfu5jRap0r2Ms6eigZRrTqVidtMQEboNu8z1rn2zX
qnHjV4GVxDkqHoV7VdcTP1TY51r6aOTWpz1e0pV07corE7zCgp3/7ZNCCy3+PDGo5Y07cdzw3ABN
CslHWLbT/qR6/lMG1CE+ZXRxT8/WutmXuZ4OsQpelnmu60iTRHNFS0MvfGMUHYmGYmLeE2/x3Cu2
jP1JYPbXa4C+ZlV+AtLY85GyWH6PJgTJUdX9KnVKrnS9ZlBgGj8L6KJIaewsEywdbUwqLIOxnTO0
EIDyxV7+wMOP57hq0Ei3kKueDVBDw2i30RZ0VZUihilZ5hhsOQeHcHRK9I+rl7OTgPMaECqMnPP1
1/9ivkXFtBfvwwcOWGoSbKoyesAbAnd9Kq+c6RoNY1vsdTFS5GhYugvn7MB9x1AeA7eGiwSscyMf
jE3ttPOfL2vVzQqn49iMsHnnNrSsb3BOvr3RHQOuumQTGlqeHPvY2Xr8g0Pt5dKSpbQI8we1r6IQ
tDTfRZ2iQwJNokC1u93RQK9VMjO7i5UItDh4l30sH878xF0qzRtbf6a0DeoEOn9UnCItUF4Xos+6
S4rsq9ON3AbgAkARerjw8Az3FU65ZaB7V6c1Xh9ktuphVGlXx65jni+xS8HGpdUUoBdEl2l6l97X
N+bPXmjltWApICeVOTjGRWEw7h/XDkovzK+W1nveFoIP0YHy7V6DaI6bdPkK6cnSUwGpxW0iH+4g
XlZMV9RM1Tt87CQP4xuIePU8DKF+7LMINlYwRequ+Uf6M7CeDGhMmm00yTWSr97+t5bmPw5iwwGJ
0yGxuPtNhm1AIJJyY5RDQFERwIG1bnMwBtLg7BU/48F9/skUO6usHz+j+LoAalEOUbBTGsyLkiBh
g+6MIZwJDvny0Y8kiJVnuR1SSa8zP7aSP5VOHntYGnIeshbZl6HD8Y1zq6a8y4Cr54ZMXTNfJ3n2
hhlTXUjKWIxe/LZJoAIeUc1rAmu/cf6hmL/KIN0/mJsgVajN2B0mBtLXZzXwO3lb2EhwcJEr624J
0ErmTO5y1glmzkmzhGK50eVHpUxtJ8IOsVg2tH/PY2ggeA+yP9JOAbFJPVMnnG5Y+mLr9Fbx1Fjk
usmmuYThORn0xF7VdbU3aCGl/GQmp/9CmmqYZ1oP4Xgs8BQPWcw3Cog5Edkjv7D/DLEHwdS0do7w
ULZ3RENNVGqaqw576S+PS+48Z+I6DexUWMP1rS3ni/hN7c9/LVBGWbYTaO5s4dw5kDvjziYpXXES
to9pYs7TaIOq+/oXhkB1xmK+oelPTrrlVgLsxik+gu5sb3fd1urOqnlqm63dkN/ZAyO6cQvrSEcY
obyXzA7JegA2nsZecXLzQ7FKcY+SxjrzDYrj4yZn33zyzNmJ9QGD8xWanA9Mvfs0t1+uZ5h2p97o
4GObBoY62eC0iV9e3sWbMPrpHZzhpkebF8kJIbYoYh1zHBW9UU3NAMjzJ6Z3qrZvzQZSbDANmk4P
ALyBdCg0tstK/kmAvqYNUr7jWSmgoUrfZkywJuAR2+7GllCy0W+OTAomdWjfW/0wwaEJfPVJrSLK
mUKbvq3goGdin/ZD8DzBVABThhHp65KsBWHqj9EKEEKCzCmd/TMBRb41l6kOhvSpL6q/qxd7HN3O
0fV0f5GMvvdI27O9DumjynBJpl+FrtriUX6X4elrs40Msdul01LfpTFNxZoPwocs0ScndsMeelkk
u1RddjbW1PS5CyvIBXuj/qhqKiYwsfUhFDdyej2mc63LoHYuxJbxy5J7LyvRePGnTTm5i7daAp45
+zgl1xHtsztibzXyHZMVygknxawGe8wh0GlcD1lNWEvzqPLL9fj4c+mR7JtRqiYvYourypbSzKvH
uYFLsVB7Nz8sshG2ne6TOkcK4Idus26Dvs/ZprPtNi/ECo0/6Iw9IBCflt1KoeOmhm8hujuQCJcE
Z9biR6PyxUDA9PBUizfCZN4mwKFL0exXi1nyOdYezDDMcGkMX5AbR2T/yX/qOBPpEMflpgpzABqf
wwoLRfAVICzSzRF6GOWUzV6rWdXxLESBUl18kL0rAh/4zZOu8pTXfxVf0dvPRZE2jyptQE5CJsg1
sICmYUu5LkFJkno1kcUEEA3cBB+QDa7b+6k3mdTlMIEV5uAOCU0tu08NI+WcY3l/yjnJen3zWn5A
LYG+V+zAiRUT6wA1KYx1duIJzYztgjP5WfN0N+WEUT0C7d68I3MImB39Z4zWPrOb0cvO9myWywzn
p88uynsu/m1wT0Z9agxB63XHy57909Oc+23n58Z83uDI6B32NeCtxWaiFiygxnVLOUup/fgzyyC3
II5H6kA+xUG5rtL0FMK1urgPU550MN4qevLTsmOzAp3Aye+nK2YZdM9GCf2LcmPOY5ZbFSW3QE9C
iPwTnuQTxjYsjMoi6LbfPMIgjkJaMulU2t1bnMgkANc9g+cOBEJZiB86T2t4VUKw4v7tLjAL+3iF
sbw5KyZpoHCSnhy/JnVrMDnb13kIb5n6i32NIbc/Z7xwg3Z0oKLamuRl2ij9xiF//AAYR99BQ2E0
crewMQbJuWBQ3QcWkGYkD54uAL8xjSdueAYDWqHnZZnB3097qegIekklNDxuzymIGDUz9wsXa3k5
lL3go+mCmCYVQTBwwtQmmEtmoZBaejQQ8D8vphUM4FegWXHT18hVSKjLKkgoJ35nDwIgnVKiAgYj
eYpdn2Z13sGDlKN122QXtBiWAPxa1TD9jPzifuPMq0/BUV6vOWm/IiLk7rH2XeOPAr27AB03+SHd
9wenu1br1L4CGvpt8gUmL35p9PFHv/qCndWhxEMfwfyWopbGx1FWRrIkOh3eUQY+q87nEINHFQF7
ZPzSUwTDKgHcwKP+8QXSyMMN/twnnIPU15xXTqiDLvTl3UjmS7Z3KUtcIk1g58bB2V0cTF6c1a1+
d6e6puZnwTk9y/DSc3O3hxQ4FOEf9elrlPUPtQzr2yuvqstPTCavs+/QT8hXQdimKNwZWCVu5iCO
+VTn90w1JcDTq8fqhlBa6YGTJ9GDXMX7jsMxoWFePkNxx5SyqqNosBZksNJXH437LvbHWNHBe4OV
E7i7NiQbgdsUQQQ1JfmYaUPTuM4hC1zb5mP3pa3PFC7RdUa/NkEXPti6Ycop07q2aj+aJ7FjAgij
Gd9RbkQd24/1EJy9eyTg8wH2bk1TjKRZgza8z2FrkV9hIfBLxa1AaqAhvpfqiJgtVVXN86x7iqSH
rwtPEHEGBuFOA9XVkLMzPcxWBSOobRlLRfkrGVxJW9OAUrlHMpK3JeJmcfpd4PhaVANVFUT8z+jw
pt0Cc015C9SyqvzUV4UqdHmk+fvkpC61Jg4v6xnH1LcTCjKf4jSh0vwRSVnApemsvQFNZZ1citAI
SPfzW+kIe+Ml5Y1XY4BtQ8HPnzYizlTa2H9ZDEQvvqAvFPwF6Z2ZROgGaONn0CrweDgbrH0IAzmb
BHJMcBoqPuc0s8D37zTKTBS/etD4U2pY03tf+q7xs6PNhvWSeiH40lDTAEPIUX0Ae5ecrB0jFOdQ
nOi/gI5Yd4ypVg/4U+U4HlIPOyn06mOR0W+w2Wx6XgBRBuu1JloJII2D7J/VRKmLir80hJFr4J5B
64T+Ofodi3gID6r410aJE8QOhdq+Z6cnqt2YCo/uWUHW01i9cwttWwcqp5yVcIPVOJJEaB4SDpkD
AmjLmfMY+zjxI95tTqIova9a6KWyp/iaPnPxiUvhiMhkLletuVKsA2Wd4iah19MPheA/UEMLpyWZ
SBernZRfvt/gNPgrgWMIBGdufPUOi9mIdQ9riMQB2DRJb7WVOmWt3u5hoILgHD0CgWKUZ7FtJa7/
3AfKbY/BMK+AAtK3ufQWvqFkTp69zOJZIUXUK86Y1MzfL6G2tVgW9AKJlwUpO7jBX+TaJ4WcU9Bl
V+qbsW7LmFiOtYY7ljwIlx6cHn+QaUu/YrS7XO4BavWGZeFPJV3mnHFPPzoPsN0WChGSHv/ZJWvN
//eKtr4HJgj19aBfdxoeu4svA/W1VoEE02MglP6yrU4f+5SlIJ9F51A53wG2S7M28sRELJKXoLBt
f2NFHxuq17h2MNPuAJjXnWPPp6dna3b9fXd5XUSjFvo1JpZIB+dD04EW3bLKs44e0s/n6Nz8A2MG
7Q6IthtvL/1YApxr4tNeX1lCvPoX6QSVzGWjy9dGdWLqzM+hN12VmtvcIJVU7NiPEm/cCp5fMN2Q
EVlWzLuzDHF7Ln7vCXMPwD9CFBARfDW1xPI2+25BOqYaNi6KAqjPBln8+WPbAASwehtY9jubG59i
lg6gmV+Rky0Ns9mszIhqNYW79KrJo0jphql6IIG0ej2tucinUGo/n6rTT9SvhlvLqYcbqzrrTibG
gWXmC1XWNwGdljak5X757VYZ3Kki+g+4nFa0SzY19kqmQChv+Y5v8tCbp6kWNQkatJL6c5ndOV9p
IhK/s2rU1Kmvsu78YMoaGMLtdhdUoRsNKCEoiZBuhQiA0duL6yorDp2G2FxmdYhQitIAH+C0sLNy
bjiRcztcEipCAmwK/eLoUquhgn7fk1aCgftdDNj0ISntbgSDFaUCEimpJq7FMiZwIykSUV6YBbjH
Wi7WuGWx83yPD6r+Ga/kLXIHEv8qM0NmOwGZub/THy9E4tyxvC17IN7+j1Ye9962o+3r116++iXN
HwpahaHQRo5dmjwJB4v73WR98raB6CAJvRGyFZTRPNSKfc3mdEo8AWX+DmrvqPiPdr1BvNSbBCj1
+76j9KiJIzaxYC/BMvSri3AZtKou4Y2YVmXE375CDTHHLnOGN6dwXGBmOUoRdrvpQA3GZgaUdy5d
nsWirzHBobiDSoOqACRpyKp2ldWA4PIZKmsMU2bcoA5Pg4tWWXLxMri0VTHNbMFPPnkDeKby/I/X
OLHMAhDG9JJXUOEk57R2LRu6fM+QIF084NGrW0oAlHKgea4NsE80d5wkN6DRiUZOOaXeYrXLhh2C
LaJJgaOv9XTzjo26RbTO0l9ldnPpfDDVdzuMe5s9oktrRnKD6tORBKMeVvCix5RmvnyuTT082Hks
FOEcZJ8MS9QUHz6ax7JhN8cm0R3ZfdxmT30ItA3rEVPBvvekGHIxTsj0ICXXvivcCOtayXUkdF4+
mGQZlAT99GUKaqkn7NVaO85WXxmu6bq9Ok2UTLo8Hf1WysIaF+pEWxWVsg5V1PwI0LcaRDF2KsWq
ERMl69oZGzRHb7yz4umEVb0R1QWzxE1lQQxajqPzCassHVvhBcP0sCVNvaV4S3c8neEj41a5sdyn
Npke/AUwRjHZ84mDFPLA9P3PTLFROA51R2pqKx/fHvN0/XHLLaWGrtYn4JMgTFYh3j7SMG1O5ENT
z6HxGw+oyonXiMq/nTYr1lM9c0JKXS8hfx2KajUUKCj7fA7yvCj+tW73rmz6PTwktOvt+JxkutRb
ZUpdK+hLBVJV9l+6HnGOcwB80a1OMIZwicEAWRWHwOMSNNrFavwbGmweODmJG/MQWR7rKe+iuye6
AzX8okLA+fnINzlFcvy21tyA1sY3zILXiTBoCnierFZRO343vR8AKbCeNKtxDRiyth3IjF3Aq95I
EIG20UkQJqEIrnE07/QtJUARiq3DxNZKLlw0ewKwV/TVu9xb3cQQqSbuHHFVfbpoPDyiTwRxretr
lOmYreY5kcPVdjXk29jxAAYsfTPEXwFs4ATr6Q+uGkXXA1FECLIrCcJSk5E4Fdxy5YJUS/8ycQjR
QvBRqw/lKvhQVy0VjUAeVLYWB/PRtAxQQZcchseH+kyquc2toe1YoI4+P/MINMDpRekDgDLvIAHl
semV2QdWLOMOLts2y95D0nQ+PhVYGbzrJijjzDricopsjSK8ywOf14Qu0GPIkGUUtF5OCO3Ag1XH
3ln14LIjGcvpcdUwkcJz+i2QwYAVPAJHMNa1O9R4UeKqs2CtXgZnhQj4HGiPW4LLLycsIM+EshT/
2qlMcNrjiU74MS/WkBR2KHtEqHw9pmMcjRQmPfttkk8HvcTXpGwOM9guWpRQQUOZqJrZL0FZgveH
NUSLwKdM4NPQuKFKc9gmPIXkp0d8vgDdi33mJJl+KCyU1X0xvsiv9c/Nk4yHX1uiFyOB3SS4qpM9
/yAHZjX8qp0cJksR04DNFZJQAP39gyYtImS5VXkCE3dpfro2MyhQV+oLBsioI87p3s/5ZrqquDbl
7PVEJxHUjuHLXgdGGN3tGR/nHpWjtWMjCnaPS67DkBH1mC8a1Fg5y7U4ATu1pJ4GjbGeBw0jnQT7
+glv4vkqsgm5KwRzjAB1M2AvaYv9dkjngjXN0Sxxsiei5ic5qcO2xRVrVQy9CP/UAAgVMsEVQcL6
qbRrIxdyFyOSEoORxME1tN3m1mLV6Nf1fTNTsd4OovXUJ4OVzJ6d95WRcEcpPzHszK7lQmu4Tf85
Kq/Yvvq1kRWVU4UXA+SYqSL9zxJcWxxV0b8iskkDcSy4nFIADc4wDfwdu2bPZYz52uvhnN7+WeIE
+KnI/f9+2sefKRzHt+yPvj4tSqAhhpNVvBeZwPiUZAs97aidec9Tj7ova0xTqI7tOuBlwXsPXQHF
gZgdAzvivDSLS+wOH78ORq46cZC7ROUss9rd0jnLOtqzgz0eZOHj0dJ3O2sePvRxokAO07LzZ2oV
OnSB7MmP2CSULIkIob+n7UFc92WC68BNaqPvMu0i+ob2/M2r+N0zsvKUW3/PvqexpAGcsw/w6u/u
YMNI8+r/v1Lc0nRzyBJGNqGnpX9SQ2YY1lsnkt/RCcyo19cO2B48qtKdP8cuq97sQq7tO4/JSnBX
y82BeykPHAGIUTp06ElqhoCsG7DBoRrA8o5PQT6VmcapNMG/IKQ3/OAYQCDKYpB6cJsoS8rcK9IZ
KFxHnfpWYSvSM9Le8fW3FrNHKsbApmgpbsW588HOkL2W2zqNUVtgfd1XXIS7pTL1Aw1LO9/qKK8q
x3L3cdQx3zwOE9OVzZ4GZY23KReEpCgbmMOXLnjkc9f+bmuw0UJsEcCQG5teA9oZYi9cEgJzVITG
5zTOyJukzqKrtahZ9mOMPVX4xOIDFROUD3e+7f37F5xxjdx8vVMmeR4ra5OePlCj0B9PZbFmTdbH
W8hxg1p3bgKRwUEsWc3Stw5nKjk3OYJOoV3lynxT4WSvs+caqP6gn7kXRf7QX/YI9Mem4YwBt3Lj
rkPzAQNwDIH8pN2T6LTGbQXLZYZ1LkiENACF0ALk5HyMr0PR2nwkEkVvUPc16VFZrTa7j9g348nb
KDM0uXEjs3Z5iM70XF2SQP7YFFWHpNx8yajhVYiQyQn3w6PLwWER7ktyjIH0PlOwtfu32nsC+DXy
iOmf+p4zaEyGafY9f7fNEEJXMxeM4RpwiNgKTBEqmr5TqF5EiU6fFLK2NFMS1EIZQKM/cWV9ODRL
MmjWm6mouxhxFNFULyyzrsk7VqcEIGR5UxHBCIxDfwNkVNPb/QnWKerZ19zFVsEZODViGng1y+Ry
TqdIK+8Ek91cx8P/C8PpC+O+aSoRU/F3ISVinq/8X002uRGFR1seH+LRMJwPcdqKCL3OL6sMEfDc
Syt0bxgN/dAzOwZC43J4Vgk8KxRirVwFe/PizbJtWikg9GdbTD5RR4VnSykR1OR34YBLtca3q6pm
6nEXRIl1igTnROhzQuJvwUtnHF0OC9YV7lW8W0GkGm5tYIKHNMOC43MdAKRNjT+CAFwhMTC5uNka
bNiaSVrtfWVzlo159taU5bX92bkEVIT6vnPYhK5754gEw/h47PCc6IiTJB3AxRvUDTP1qWJW85bn
X6g4kTl/LUh0b02q2fBCmhsW5NgiLBHmHFFXvSOQRcTBtsGIKtPX9Lgx/PkGKUaB9zcPBwc3huqa
mhVZioQUIjhGGrXXUCCy1E6w782d/O6FcAD1t7/11DTyzP7V8Yz79ck7r3TkiHUKFVnIO0FfJTrP
Z/sg0r9gL1C8I/J8p6BJHKYyx0/ogPy9TrCK3Yp/IJ4IPzMDRDrwRmYe+eCRLga8c6RRZyrjKHqw
6XhXZXIrJvOr7eBk3zevU4CBOf/luGMZFylDNH8MEsbYeI3nGfkVb9OMQIhXFVvi40SqgpAAT1IT
Opxx+64BgRIN8L5KtQ7UJnQp7NafcvtgPAuTKuue50s2Mbbpzz/Iowq7dgT8Ym7Y/sYNxamahbvm
ynAvqP02mUnnaTdoqPd4RI+Uk23Rizf455TBh4BmGhrHEkbcT55N25D7PZVbGXpjwTswFb5z626/
N+5DHFkRLChlgyijpILKRf0QMiYyzBi2jGhf+bd0qmfQfY1QWX4xmtYX2RnY+IvWRgvcBeaPTvXD
EOTWSXAL+8phaOTJ0zBCpfQo+KKLXmN1+kFX1xEVIwGhWiSeFfK1lQaI3Gbl98SIBWIYFE2rBkLA
sYVvleXu65MP1hVm4BQcC78Qco/B3I8wlWsMl7uUy7gEonYFQ4sH0roumm8JI0O8EdMq6nxHVgOv
DyW8ZkrTstJhGIjCB7MFkdN212IzyofahMs+zO68hsSyjiGOqzXF2f39wszLgGge+KTQyTEGfanJ
lfS3Z1c/ShRwXhncDnxiRFKl3Lgx78tBHNul1LvKIScwuReAumRCWcj1v83oC8fiY6X5h/VYqz9I
WINhVBEt3cwrsDdgqxVTgxL7qsYICG23AThcGMtdTLQYGLtYVN3xBmrb+4vZCEVMHi1FGkm6gXI9
nUmspBNLkJKlwCq+8M1wycsnsFkMTfoASrwWk3VsXjjX4lMd5K/8owNZmFB193akNarAkIfH4eME
qpDopHW58Oz5coI1lCChoYDrz0XerW3Cn2Bk8Reba2k0TbNkKdToaEKMhoAJLLfsCOxVpDIDfEhv
Cc+aFoJE/9r85G4n05H8DgW3OUt/5HcIsv7GWxuIj/rYa1y6E6RXqpV5SzZcu8wMAfe/aY2ChjB/
mnX/5f8Ux0b07fgUjAEkFKQPk4/9t1peFWUg1Rg/vTYEEa/XC2GRLv5X3kU5n5MzSeEnpL89T8pL
6YIHJXqeUENz1a7JZozOINp/htNbllHWfGMxysYYHqxhQ8czy0cLEloqVRk4+joPmIHY1uhrEr9W
ZLMz+e7t4bG4YshFz3RdxiUATZPafOIpGm6xYzJAONtiEa8yVAo37wLBR4pgXmkg63c0jVD8f0/D
M/oV2szpuB1S4Dj/wdymvuGf+4Mv/luyiGiU7+hwJNzsi0WHnEwhRFeAAngd0OolGnYeWrBmJN4Y
eUx9Cf/hOZk3AhQEUa21PISeNP0d2WTXrMk1oJVBFWr8Ao8mEiLiQLyZZcEfdY+x/SFeSg9M3lYx
sYtQh6+iiwcavNikAYXwDcvZymNLoZwtilWKWnN6NB2GL+XV6FGYa9YTxfnLOOxLzu3V2HdyIgsk
cJ2B0hXVxdbgU7JLHQxO9B+e0+42m8IYHtRwFuFi3qBkf9RL1DV5KjEczew8aJT9Nm8QVXyrrVIW
azs2MRgwtjDos50NwIaMk26LKpl24Lk7MmnXuRIyIsyca/q4jEQCpTRyyF0Z/ngQQbs0XHRBk/zj
SmiZ519Gvpdsq2PLz5bVRrj1oi0a27AaK80GBLlrjqY4FhY9JXmYMmiDNxYwZlsmXIgBeo4vcY8n
nDBlblXDBGpz6CPJXgb0Fgvyp++lbjOAy1JS8+YkUFscVxUmtz6p1WSVG4NeZ4MTP6PRkN1ALRxf
l5AFTA6XlHFHEpH40XWBGgTGXc5jCe0HlUsgMSJchd0P8ENTmgx7QED63QA5VB7bfMp/ksQKUgoS
sFjEjtuCfItae2mA9aimhxIA13mE8tNKfgDW4gIZcgY500wSbNutA7nHH5mYvSd6ameOzHxtkw6i
lRU7l2fvrWML144y5GX8uR/eWZ3N/rQhEeNFM/fVuVAfYBRa8cuGvJktcjq9Id+Vn2zNCp9AT46X
Xo2rKeEEpwC7WsCC+ZYzOjvn5c6Jv1rizAXudpvyQXsPtLQj9eYCR2hRcWRShmpPR/UA1p/Uli3L
2yvoqIO53GS+FjJr4z5Yp06h8dmTZp6VbYsV/tKyDbPYoKZSZUFTZEFdFXT+N3QsEy80eGEjDI9w
AUvYNtDxf+3y81V0IjiF41h73mozHhjqoS16/f3704zpz+egDNv6mPxArfVT4LlDmHGM/auEeBrO
n4XtuIxQ94v2JgZmLTxCa1kHk3SbOaZMZGDDjBmfJDrD/9Ii16WD4oNzfoGRLeR1FQkoaOmTkc/j
iRSDs9L6jliYDGINo4zcR9uYcECNQS5Wn5EbOJHMZtJ07dnXOqgoP3dnCRGxnQoqBSWSMZNqeER/
Nzus9zPH6ogWgsyAuYyQ6Jn3yIUUWzdf3aZO9E++mWQdrftAfxo76tI/Qr0nZA+7QhWCzTMqR2lt
W4CiCr0GxNDYHAmnwmr1IIiLx+XLtADOicHODTIMFKP/csaDX+WqHNBN57b3IHNruG7bzLqjfmBR
UtLo+u40WC9yA/prwsZWEdx77ro7KXRT9pzY2Qb+AfsQrYssaiVqqygX4pNkedS9hDPzK8fhNRdu
XHB3akNnjNPxHyigqVdtOznqUHO6PG7wwpS6Z6R3gMFCh2yCPBdiYPCIQOphFwZdYi/pe1W5o/ER
N2MMZGMmnK1l94uDh6GQGjOF3o3tcUiGurd4ZzhEzBBUgl5Nq0MLnA861MnX85vR7mKVdFrHNYmg
0U//58BAS9qVM62dmWu7Jir4uc9L9VNckXwVPygBlkR8jFL1CEGuDTdB8gVzZlO96QGc/QdS9LSl
Up7ORJ+T3AKWTMhWHIpvgY1K5miSIU7hJFpHu0GcJmwUBD0Fs6biudglhcS4LGq4f+/jqBPH/vqF
ZGmfIupowwpNDPz6Q1fHB4kh3vS0ZY5YC5CM2VJ/lFQyh0oRanhCrRho6Phl6pYer9oIBVPNz+zb
8SysGGycEG+PsB/9uUNldql5XCsmP0WCQ8MSJ0NlTdrMdO+vTib1CL10gpBxnecgU2ixlkT4Crbz
Oryfrirp1gYD+87gtGXdqADWjAMtyjO7UzYX6lkDvNNrtreJAgtL/5Si0ypQOb5WZEQ8Z/oVN7iM
w8/pjrWdxs9la8o0O5TlsB4WAbKYNXXsxrpmiOuVSObXYefm/UYHdxEjfOqIxGIOwr4RaJ/twmzS
2zlTcUrCoP/gnvYIiCLdRj5f/I3qrPuBZSkubMFyvZg1sdZ4zUkMvAd9oodH5GTw8Z4LEL9FTsog
O6jPdOkSczbDCPwMzftOY4VioYwU18VbLVbfRV8ff/1HcX8a0x7p4Eod/dzYOnXwjkStue1AVOK9
W+kmnZZK2LRzZ1nAgHFUOndnjH+vrqaO0q2sUvrr9KiBQmSXOwFs29aeaJLoXq5b61tPdtQZPqzN
T+XZu5SvmJddJfNn6I9SC2zECHAP4IPDpbtgvzgKrxAMzES98g7DIwixEkZJMQQLxXP+Z6RjzNTZ
hrKfvtagntEYysgUwD9wfYpiJDi1FEn6nHLQhfjoHDJy5JQ/4wHg0P5t25pgTSvrVcHXKpa5j5EE
Lr6FnxwM7qJ9jiEtvLoWfBqFbhSMRRT5BXZyL3/eC0nw1UQnE4IzKHDybBhP7XZI64J+E0WNfHJX
KuCiFm4KLsXBOOgbsZER5CsAdU4vY+gLSfXO6mYlGejz5rAWw+I0ledbDAeo3kX9E5solTSU1rlF
cgXhDRcWrKc060didSLHLsJZJNwTk+NHgRFiVQ25BBWLVKiLkiuL0ETxaFVLKAdVlPLRCMPgJ7KT
OEXlSInv5fvlmFMWu4zAxebNrxdnKu44KO5hYuhH4TlBvUTI0k6XwFbDwyen5WnOwVoS/hvnRdxY
Q8qcLP63ofMb4WheL5t+FcVHqLmnXnls6dKapzk78YhPV8j41j4WoyTfuhKfxt+y+k/0y0Drdg8Q
zNlk4KF/gRXwiqopYj/yFmnlxpzfrLqlyeQSyha2AVZdo0J3uG5vhr+g+nH9T8aMPjhqI9guXHKJ
u6/3hSOMGOtfTOstCAohvZdZYTbOvzyiMqpHVtQi4K4uVv64Ny2ilUqKxz5d3i4vUot7cDOyU9rE
OL/jllgrQvbtW70qyzWs4HdeJ55DGUWpWPDD0DMTImLfjTYuHTeDAZpF6sKNs6Cki3CYjmtYr6HE
6T0zgYq1whzECHy9/Pn0DZjFUmKBOxzbimXQFjES3ObhZA2bG23XvV8BpVlQsJXfSX0r9AbZI7XT
/7kKyGnbnzJ/ixmduoE/w+FQbkAkXZF0IBrpOkVx58kUBgA/cPTOzGuikBe1ImLGUfvFSrzO7Kfg
0EwegW/QHZQAvkUZAHa0Gw+LFUCvoza0t1KnBoQRWWQFlrVENGDGSuygV4m0u5nWHmSV0rmnax4+
cLnofv0pG0oX2ZK1xVUFKFKQWOV6WTUN/8GNAfZD0z8nZX413aeLyIwe4sT+39MS8x7avSjHOupJ
rg5lEMfabxjKuYMZCmAKiPNIdqGZ9wtlLbzhjmw+i5NYvi/11TNQVclEt+wphCEYCGLGv2J2RBjV
arDv5Yh8Ls4pI1mo3I8j1cPj5E2f6WJk9qftjDBivFy/WF/hztgrYc+I4glYJZfXroZdj+Ze+8ST
LPmo30+EpUsY6HjiZvi7oQn035FrM+1CDzsK8WzCWoBCA/f7r6qtOfazGRqRU0mPEpQieBk2cjyu
b5F6NqoONAdiMTijkcKojKSEfEkIFKi4CdpDm5jn+IGOODh1YHR1SnDD+Cma9MDKsr0wSflGsZnD
3G6bM/QlXgAE0LaV7qZpRtTsnLorDsscvRhpEHs8IFTfIPR9zUQtNn+PjldPb6LwwoHD+PZnib73
au8WoEx96rxSci7chXMnCVw1er5L1+h5/jHEEi020hADQtWwbRxaClnowIF9m5iaEwubKi1yZX8H
SQPABqoOjMaloZk8o/jqtUMLvi6n41OXPecWgFCApQhrd3FP+/GEAXBBjXJ/VznjR8aKgugHspuu
a+QibymJWq6GFEw+cnE1hHLtWdWHdyWAMvSPy8bX7jnzTCvNcfl/u3DtChspIaU+QBiagxCPmzOi
nVzXyQfczhxvnQE/SlEA9FdlcIpay5fAm3e++wMpNXeTh8Mz7pAWxhO+aCxfa2xYaPpbZp//+CjC
qx8/1CR3BQ9nb/LP+eX0C3AQ6i16qANyWc6htbVmJ2qVU1LidYscDEoi3PX1G6Nh3m8vAkvppLhw
EW2XeYzMmNvNJF2Nw/EnijSV4Ocw66NVMnj1q04fHAK0+EfhG78Oi47/hbtMAxNdhoaveyfigMbw
IoSKCehZgfNld5iRNlz/jtfMa4qVrBvuoLcZtbt81aQ1/fqf1vZMR7FOYXJ89cc4nFIIl5hxiIuD
cU0E6aOHEqYpw1y8Kn0uypYaZK/V86CEVzgNEweY0qIXAkNysVT26JZ9ZyFTNC8hmOQK3J8e9RGN
M0fV1OOpRwyegohmNIRuGIvwSpy8S93PlqyOVfWDsUGIBy5vZYBakp9P+48k7kDL+4HW/heHGbmZ
QhD+ebLN16oMP+wem7UsvFUjOQJgFhWz66FY6jRQIx82n/aNJKD0ShYpMI4XbdyX51BzPsKOOuIj
hr31YzQKy3XtrA8rH7UqBEUxqJWCH64Zx1xX2Ud5gbERncraYcnjyz9+PWuByhD3Ol3iSdPQuLDO
4iWJJ/oXEfDTIaXpEEbae2Fcc8VXj7OK7Znb3J8hxKdShnVL+pPLUytFNe/YF1iTQv9vJxa9wHsQ
24Q0KlKM3qE8NOjzuF1h6ajRzMMiQGaOugERXwIxVqmyg21t6455QW1O5o4qGQKZlGmo5RKB4xB5
1N8ncS2mseLLOgCR3FX2WM/BenhFdKcliWM/iXwCMl9A+J9Uf1AowSyTlmb9339VosULlfzA0G1Z
vXEGAlIuqFJCGJfIQwLXKzetPgvTzvLIr4GmNacxWJgOLf+NBwUr2c/xojZ7suMitL9TleElc4GZ
+d5Vzeq4j1rcK7DRnzGJ1OfQ3+99dGxlGS6ZYrlWH15sIQyjJ42p/EF4melbsNkV7Nm/k7jiw97L
ESXf7ghM2B1+pkmPxoDSA+wC+wbVWmzlCnUuey2Nn3ZqwKCe35x45i7Ur9o21AwV4mGJPse0Je32
tBTQaRiljRhF+OIr7YSSE7AYe7VnoEYRtTynOz6VmSQiCTDZE76mmxOGS0PKD9zvuOLtauWk5iKi
Nm1N/i1iaUJE2YkBKzW6d3cNtAJvBz9BSVOWveH2m0vSZPblJqJZiUMnPokxcBbC/Pmu/9qaLwDa
+LCBfF4eBpdVExMlkABg2IFcmdcXtnEiGkSfltCSOaokAaDt/MaNwfIP/nxTikxl19a1AuBSOZ5W
Mp+WeuJOI6V8UhcqbpObXn/2w/XZby4Lhkn7IojjsNOr4uEouJjjNpRi2m+WhK4ZU4Z1ZENEMcV4
b8+0lTPX5BMbH2Q6gpa6W1huLTBdX0/MuktVL3rb9/5TiMQOB2MmLikqeth/3zzXRSGhT6wM6Jap
usoMgvhUyx+KPiceIJuiwsl2oG7vK85ojk3SKeZELMTQDc5LG+sVch+53617g42q5Aak3PzHeitb
4+Gj3MWQPvMOl1tRwWL5Fh1swAZQTxtI3fRLxuLhJofm3qO9rXLZcc/RxDunin2vFxmA1VzwK6zr
qXv6PPGE3B+fcn67wF4sR69XuCBR7to9JUuY8cD37yh8Qv1eNjVGqk+OiALvh6PimFTZ9xvnKGb1
jgHWakpYZlFxS4JlILoE3D6MtqvMgUkDk2NjGLCnLG5UVz4K2++mKONpyfV+9E7gbQRhYmGxaag6
8JvGu0hFnipydYq6xIqA7J/px/Pgk6P9YQFYYawtyxTEYhexfXsdvKAJL1oljXCJdzhZcsS1bWEj
i9eBW2oO9wqLpNntOjyN04pAIiRHsxJMs/meme326bLTYk7vBkn1FGJRBk6FGj9e8TlefS5uvpb6
mkmHBplp8Ck+eco/wpjJCL6+iDA998NSNd873311+bDuvpNhrZnXx6ECGPGGL5fpkMgcNoCJjPAk
SXu0l7GztA2scT4T3YxQHNZyburIpfCrMyPwECVSzUmPLk4/xfID9s9TrioZInBufuFHPHifvjwu
AdduQJr3XfXNwo1tOFqp+an1Y6TblV5n9hYfNLK2pxxy9g80I6vS/Q4nxh3r9AT6TduI28ugOrHX
HYSpUyiV28qa5Vwtuc4PgPoJK5+bmjC152EmzPKpnKRJuGI3zpKt0qC58EIRB4baGwhMfhy1PJxP
dDApik7wTUJP/3+PZoWqADhlPlceAHmXS4JTUFF5B00EesI7m8oqUxpKfnuCjO8Onsv36avi8xqv
DlgvIjBAoY4zhqVlvIliT8mxyeF5pHnAsSxU+ZadI22duCuoKzM3a4KthXF8kWmFgqs5LKq+sN13
Z4aBGYecIAp0ELf84uhg+cYk1NVgGUR+xwXbI3MPRrpukhOMYaZBYYR4Mw5051sJh7EX/ymTyBZc
JjPfgwi87YVPX3X9RnTnkpGDg8OoDc8qxuklImosLLhBBF4T/Bm//NOLXpXQwu+ol+Cos+Bt2s8R
0DH79IY8zXt4bJvtH8b+p0RP3kmOHCCQiZaAJHk2737vpfSox79+la88RNnHP47iC82BrKs2jude
jyQ79JaSZZdUeahNyC1Z8AQzzLZG9vRMaBHxR4iZjbgZfkqoyXRe37RxiYrczkI6er9aaNI1nyjG
gtlQRffS1XyltnKyMPDZ1vUvT1+97K5ZOdCSgfVxEbjzQgvA9WqNto2z7c/nv9i8P3rfXnceJhb9
mawTewdLxgX4XKEOXVK7hWFJLHIOEEzyneClhu1CzshDvhxcMC4P+GeevizBkB9vXlDUTSFYfMY1
op8htQrfLQTYDL5FgvqENeivVgCFI+epKi8VDB4kk1YgdidSH0nEvWh7X9GN7G318gDD4tuFCNhE
kTykXcDkXV7BYjnz4yZ0mtVswCnth6hOiCmIx3/bbQG6/EQOFUXg6ft8ZQZI7HfIr2GxyvjWIXOQ
MjwLnU7PFuBxG1BNDYwEJVC/4fAbT4FpIVagCDXrYEjQp2JkLAVss1sCDzdG7ekaT0vP7gbVUKq7
vjbQVAiVeAYVOujxVtRgwjGuIqylTgbBeYlMxHGTN1c+BF9K2ZjJu7LHe41L0+S+A+/AyfaUR0IF
Y75188leoARH1IY9bh0OjQEAuCkAqx5feZcWoOu5lnNaNtP7Zc8N86K/IpZYf3kC+/jsx2/L6Dwp
tDaM29uNFSLpQcv8QHaPRS5E/1YEDLZzxprrs7xDTv6kClWz/V6LM/R22l5JkUQ/QMdaJHy+J51+
141ISEEI910L0PWdRpSPEeScKkfnPCSkNwVDLoERw7ivuC0kAlXGwDfdHHqAw7m1NjSTq2m9tYg6
OJfiQyMlp8uAvWRBGC98BlWFzd5Oe3lYpqSSejq5SW7e2WFXgyOmB0z8Gxg8rV+PmWVJ4NhzQzPM
xqszEhhCTpjY1ba0I2vlxFJkE71zIEsQftfAYadm4POizWptnfTU7Um4NhG1+3UWKAmf4vj4ztLA
SIsTR/n0PxTI0iI69M+siUGUYSBNg2tEMe3FEdlXXp1pwL+RMkZ/plO2AdE6y6QgT5PkAJQeYtSF
hIXuLuDTOmDJCrNkxisI203xuZPpf1yCDYBVkla8h2yQN20JJ0YHDJN5bV06fm5rdeblqj8cKyA/
I3AYWn2+N8H/EwLb2MuTnpGpVGwUJp6LHneHRuxMrEPkU5GP8uOPaBa5OcS9rOf5m3xEW3jKWtHy
u7YABqgaznxtVSD+EYwNp2q0bKkE+gJPgI+j0BYBanp3XEpAr/zeTLKjTS73HHD1DpvaUotm1JE4
EGldL3gmbYG/BLk2zH9n6bCNMlUSras55qscBmEK8cFQS+S16gqzAoQl7LG7XqYMVKxDqa+sc3f3
g1PuJ3seg5TI0yyQdOyg5fYJsDBA0DlIoiDQ6mJ3KYhn+Q0cpTZOsrr2XcBX4ssTeeQOuKV+Qyrd
lILlzI4ou3UtMLJXGdQVI/wCDqStV/1KrPboCNigau287Gboo8wNbUrwjBSTWIeQOGBXrKHqzRz2
AqO7jzlVyIEx3tAZTkLqb/HTfOGBCnwSMsRFoum+/txzKk3o+LdzpTz5FtgQYlJuBw65McF8wmYO
gVkLN/j4nJa1V3Dq6D+YQpGmVGdv+4jHg6S9KIVAdUjeiWgKwEzmYfr0yUoEG75js8+VUbyayTfK
EYQPGrfQYttFF7M4TU60t0HXQtrId4MNBRCUDxS7AXzG5PpCPkcjGlLnQaQ5Y3qTRccfZuflYRvO
R+YDMqv/SzthE0g2Xgr4ByllnFWYScm+u6W63aLUeIQGsF1Vo6hgILDcCpceh5bYFPT4q7CMviiQ
IlR8BDGxrvzD6u0I40hxRvl3CNXRjinPicTTYKtdBNZJhSCpxTQ6oGiwRYqW6kK26i1bOoAD4xh/
SWf9jgSTqKuOlCiyeJVT3oJoUXk79wlW4wTmxpRwTStQE6FXBnpqIY5mHzjnm8q7NtU+kTvuMnJx
POM8G9f0TfT/lUISVhegoopsrGsKVJi824+lxxw87snw0R6hi3wdHceAC06YMHthp7k8YNfNjVpQ
AhfQ1353NZgfCGouwzVu+mz9tcabRVWhOv2FMwdz9VsM5MlHrzdRI6o+Vo+ED5f2Ex45+XEM08pW
84m/1JTRdeiqeVVZfwN+8N0+YvX+59ZFTZ8o61vbASgXr3DRZnjgYv/w4v+wyEiPq4OuZDOGmNsU
S21xjMiJ6Jfh6bgymxDk4Nn1wNBPWRtSnP3X3Etkh3xQXbuB6xtuBCfkBct26ySLvgJpFljmeYlv
uYprGkPz4VAeIYxCAS39zNuHT+VtnS1+qlyvoMzASeBWgKx0pPohHUWIH/hJIAEMd9JfMBIjHrDo
Pux8yCFNO8BK3mikqedqSm2Yf3COTcP0GXMzWtKQrMNt1wcGtEZ/8mZs/0Q7Ze+rqZ8e4Hfr5lJ2
bcumgkN24nnBg4h4bpq9t6T1COM7236oe+90l5gsbLY6jmbo6phMuEBgokBgnALABoS0OGyirB/q
k2jz1dHGejfEnf011x69K+VZNw8kxxnUiHjBawziopaoqBrcmcGQsCgJfoR/wIi9IEV82b4xc+uk
ke55UKF9Kfv4z+vsiypuWVv1WwM60VEugoz0Q8r9wACVMepjBCPeGUbDVeweJvNlZVs3ueY4//9b
7kk8Ej7CYwN7mAkanGaSjB8RTodDJSJ5zZLeSBICy1wS3hxzOIDfNCpuc7nNTvfmULVYJJGS1JS9
ZmyhmStIqKQbFcn1yauy1K7ZF9qtHwWfySt2UJ2TzyvelVttaJQu1tefcfq7s4MOcmh7SMf6QEhA
/4zfk8h5HWLr+azOyESZVTa1a+hjqz8KeNfh7y5obMDtXPi5LEPWbFMo98Iv6Ys6juMXmgiugeaZ
gdHhneRemmAqdX0Uvk+soGmRLMFv4zgN2VpV8SFfqBFMvJVZVl1iiQTVgMuBSP+s5yMfqER+GNXz
GGr2sYRAaHaOD/u5zOW1lSlXTpkupgReEitRkDhfx1Z6lt03U8efNPIItpy+FCiJqnIzT72XBv6D
8TkrnlqEQbM61F41IbdDLSoDRbs56816kEL4g+ycot1mkltROfXXzyEgwYRRWbOaLxyRtDzZYwN9
Y/5ihQR2tfqtOvioYI60/77xzX+WOl9ZLef7kz7TO+FK7zn93wHi7e/9x9RNmyezKIJ5ZZYfQD1B
QLE4SmL9fbHFaJTcYWACufRyoin4Fd0KVt8SnaI0tqwyT/OaP8e9ISpB2HrBdsQ8a2OrGNZTJJb8
lSPXJA3fN5GpHXIDgP5i9dATPi+sZldVwsvw35qk5Iznw8Ek+CibzHiKWWRdugxSNTzi8nCxPoai
1YyjVn7KzUhhafaFbyOCDr1YyGjrJuMAwEjHlATJ1bB75edIRqwNCYrvgbOfB42jI5mCuBHmjgzr
SOHC75dJtEO133YxeZii3zxaF+6QhD7XAPKNsL/tT8UfQ9eevW+hmpGoYcXB8f5XQlnmYAgjz1+O
pM1cqlvpgXqqYoof6qmHBOI7bp2INdO1qjhJMGgRrTLPL+pScglYw9OEHsowgUQKrlN61qobS64k
hLvhBEbffBmLi2DUSVGIH+NL4eOxSKCd8BsVPRCmHTlFkA0QOJW8Mm84u2R2VlXfk+3dvMG3zIQq
0yfrYSzWAMIUZfLjVAF+B1iluMifR7SO942nU6kU/FJVXns8Dvf/wToFsvePie+/PcCgyIa/u+b/
lVwXgLhFHypMfgoeFtRsmto5x24aY/9bMqH43cNwmMA+Q025cDpI+dTNmWeDR5Gm/kGKfyGZJ6t6
mZ7L06TvD9sobuZvnjbt+xQkLShLOPpfBCdkXmc2D1p5o7cSFbgiVNxKqeqkKoR6qIVGCQ/Yq+Hm
/1hJRhDNE5xFhiG/yfvhZ7kAqky1L5RHmNPzamfwrf9FJVMUtz5dOgcDGcnPB7QreBxUMoWPgTBK
V+rUPLKR+uah5YevxhusS0DXWXMHf6j4+o8o1O4RxQDoy5xxyeMHPZ0PSodQ26zZAgGd00bnxzTx
wWacb6wFVgbePgOh+NnG7tVSGhW7/fx9oZZi4kYdS0czud+TYQRdYqGY0NfdHz6fQUDHKDCz2bfx
jm1rodCAqYt0KRcJAXZ1M+Fiw7WBsgxf0tNMOnEIDUfxcINVdZUQe2cPz6ahLXVw/FIDdDdHnrrF
Be3MvUTXnubY59zaQWZHcc54uo2AfC4tWj11fdwwlPvrha8Lu3tzP4iZ0nB6letq5qyb0rIoHpKi
CGVjSi0sTqyWtPLkiDw9ZOLGL40OYFSdK7JOruG9JJZHkrfhIR52gqzdtyVrq0jSdGa3znzJZWIh
p0bVO3B/SzkR7xSHH+R96fFW9zq2mA60pMQtDyH/yvb1rw7rHQs3CzAW+ZrSNbWHzaaWgjk89eES
R9NRFkFRpzrY4QGAvGybPuKV5YLuDHCWrGziiO8+T2MDAG6e/eS22l9hOuIamdpmvIUxfhHmSvMQ
oevnyvzxoO6FjrEI6Q8G63RDGOZVqZ6eHgN+NLdpSDIdtTpoEZz9OlPnOml+fu9qDVV2dm8mdoJl
VJFasV5sQYgRbVXJsc9FVV151OR7JjRVkPW0AHX8Mtakz446Uvjek8auJz71UKdX7HZJJT3gDpDG
gndRvlLdIqgyv5eTW+iVL6qeUx4Uau/4WpLwSMhfAH+X8OUrsYd2t89uNOezZjorY+bkSkdnV8Pj
LYGjhgj9yO9Iv/fQ0j7XJ2YvhqjqdrYe7JzzpC5tLrBVD35IQZACuq971WIwk+3TyHGEjQmV9wwL
4kRPYdgISsIChLxqjCmpOJkFUJVjiGPGISm5bCn1BCFZ5cc+W0Jrbe3xGBaxkn5x0U8TtJRmuF+T
Hyj/qR8/3uIYy5KljVhjoMqpM1lgL7j2AQD3xK5j02+l89mfqyWMk98FO8BTItEWqfJyooQLZEP2
bEV+7Nd3XtMfhnFzbOmNPG90fC4Rh6O9BGbPR2iSO5lrIG0E3z3iloq8RSNtrl/EY0dia4TKjgOh
hMEv9gDLscrhS71rs0zaMnGFeLu9FnHtcIB9bNWGWpM+iT18/KuJak7SmdHCoa4Xsw75XLyPvP9W
dHYfQuBuUQxdfgTuHdpumYKqd4Dnfs1mVeintEHXeLEuzwq61PGSrJ+qzW5GLpIF1oAFMF7pfauZ
kfX2XejF2/W5plYimSijPSV4OLIsfOVetlg/hXeUKe+z0f8X6unxJiqL7ZrtIbxvO7P2oGm651Y4
TPMK28V+fiw2ZBIKK/FYM4Uyjca2MdACXJEgJQptfuEtosUvGCgNgtD+77LiXzbzVQoPrwF/w8sP
Ajg8DphANibpGfUOC3VjGkvrcrFblB+6oPIB9Is0wBkAyFEwNCEbRym3FMOA+ihyl0tH2QAiEoim
nik+vqR9whY0C5dSqxGPy6rtIevGQTw31hdfRxgJII+zozemRuD5zq2kELwFM+qEnKYiux2ueStM
oJnoHDWA+Vds7B1y9viROO7AlYdm2oI2wFOonTT+iXprm9kJXdjlyxV9L51xEGRlOKuuY0ldPvVm
y2pkk4tTulJHxraminZZkgE4EIrtzv9B40mJ//qtPW8vAYM3jeqSRjWCMHDeE6AlSpH1ngD9RlSH
HkiGMwo83ktdUKJfo9swgJ3bvgPlZyQzw9/V6ByHtxomOOPPYJS/qqtpVDHrbDwraEguTP9BYW0c
x4IZH5zBzXTpdFHjOa61jgqZ7jUpSegBiubg56aa9uQG8xAVhauFyvyS+31PFF6PfDquPW33zpWK
wbFfJKm/MVbCmLxoAt0cqkMfRFYWWFObwrukRFKvuNfD6lnvZK4TdWF90lNe9yl06cBwTCO/B6lm
cqD6ftJQqFkI51dMJxb4AKgCfwHSiMG2nRDjlwRHjUf+onitFc4FvWSxrUCs2RMr/vqEXVrA0Sag
S35BVn+MkkHC2sHGya0ZThNqdt1InUpHFUtGATyYeYqLgyaTui3qbpu/c3s7nUXPKwH+JwkM/z2Q
7jmgx/6Lc5MSkVADmgOI3gLS8Xyp/0WKCG10BzuyF8HOWYriPHSmkmNr/b8OYxuty9695OQlLOFF
1Skvt72EA+wECakyXmUArCXAoqtjEItQZy7XajaL6n8BYy+r03ZwY30sdLRe1SuzafJ0Ju97ibLd
5iDL54rMdtGeY5/iOpCxuwmDqp3NrKz6DFvE96K2Nq6VVELGF/olrQGTZEfLpLtTVvBBDxCxfR01
4sTKENDLel1bOSTlQZvHcEwcYIzp7K5eHNcI8gmbJsSPbcIrDsFSc2AR4wM/OE6kWB5biS7M4+hP
+ZIk42pAaAp0n8iqqX6xPmNbC4QhisOYDnm1HNLa3nhVNhk//h5G2j7ooboUs2NnQ5BUjyq0A/1f
WmYX3yjy8u2RVZyd2K6Gat+6ZD094hrezWeojA6KLQYNln9tFmFTPaCGIYGVh4cEMzT65e1nAQ3F
/4QkSEA1a2NXG4Y3mmZErB9Z2XA1cWaM7Q5LWP12qtxMF4O6ITxah9Iap5gn7MeVjsv7mkf/q11M
zoiSuD6g0WmGOV13doWMDfWpilEe+VIjmbw6pF2+4TWNihgwG6k+1bw/R0hpPo4BvOjx2tOqlJJp
Lki5KXQmiRbXK0jZ2rj3Zm7hVQFtDgdbT6ngn7uiRy23rXx39QGHHr8RmCidYFCwc5GlpOlNwd3O
LeWyu4oY9cRP3NcuD6sNxME4DiEDzwuPaajUhDZGFhHPgzE+BBwKIZlR6YGGwtFArM73yiBZzH1Z
rWr17VQuhgB+FaMU3PlSX76tNhnmGb1qXL7PD3zIhLIxS0OHC1FCCvinZqPWE8s7ou2s52GZmHVM
U/lVaeOfv22yNClCDZXdmgMft9j+IUHr9IJjCCBTpioN9oAvOZbVydylOWUEXH0CDR+rrH4sGyfq
wdUly1mRPnGQWOYr6Bh8za89+mMXCVVNDT8r1RWs76e2VIK+gPkzwBZPm5C2NjUpHFxldhWHngMv
Bm2t5lddamzaRZ3dkdC46bEdTM41fyf4HSlS4Xdeim3AT5Vi2uz634+/PcHmfNtqcCyX0uItCU7J
9O7J10daF8VrEfJXaPOCxNgBeA9iCK9QHk75KAiV72F3IgLO6Il+huBdXO+W+xfggZ14wAzCLkRg
5O+4lAh2OnT7A9yH1C/AU9lchaqCqp8TJOtYtVOeaYIdokGz8Bzjtks1UEyL3ftonnwgkOcI6n5I
KCMR5g8V7nrFz7EdYM574INDV/u6Z/8kkKOElKNRzTvhKmORD+z8CILbWo2Uc8Dupni444XYthBz
OObCKqI3FF0NYmMZwPr6uQEEk15cvAHsyCmV/cNazRoxppnn8YqVSf7xHF2YcnohegkmYJFGQ5US
EaWJCCaXREZtvUz77gJkQI4blKQm/7Yu0Fnm0M/FELOam0nNc3feKLmNIkdP/JIYHz1nX3obQG/l
7oFQ+3iTbonN0XTXC+gfg8cA7378HW7LvTbbjmbQmff8bAHKMxw4xX+mxFh3ZHTQopa8FNVANTKA
UTLtyQq7VVvb9pB17epoTc60znzUJwR/pfRQ9xDG3ueKoXdLQhW3HyCJ1U3dZIcOEf4R5vZn/lI3
okqmK3YYfKD9BNSyrQXgRGIYtcqdxUHZ5I/4ygen/aG4OZDbJC02RTIaqj3O0CQ/gPMhpFMoZOOZ
UxxzzNY27YYux/fBMNb8le68Zn0KAk9n9Yoh1QLUiP73Yas5WQL0X31fUSL/Adv+2AyUs2IM0sAf
OWtRZ0CrS3ldhQfkFzrWjaiKhDjHEmRGRqwMts6WkuitIUGAtrTJzwatGlgPxJm3P46+rWAp7BSD
1NapSNGZrhCeyyTuZxzBxGwnSyh//E00fhm+alk/QQmcwJSq50VdIiHaEp/BUEaxidDDIOmJiSXx
snYWeXMnT4sRTYAtJi+N2lvNPHjvDyRfy2umiZrz4qkN2tJ61uyAB0JJffbnu6WOOovIBA5iQ3rR
vHJWKroVhd2EMMIyoLMJG0z25TVXhuso0JkGCVW4hK32lXQQAqjUbfMrKW04ng4TrZ2vaaXNHFp3
ccUZKg8I9NC6CzoXfNwENdZmu8unIsEtL96/ILbdGJYgpOdIQDn2K1EsSsPuTJjjuZ0HwbQ3wDn0
8StcM5bMIiagfai8l1a+5DsuzRSDtdV4aA1dNfmyOG/wse8wjohlCCortKrozIua8DF1pbtFgbyn
q13ypila0LmTyDBLsqXeQqPZ1uQUijuVFHnGEMhB43S1Uh/xRNvrjIpVSPXKBGS4Wbi8zpqhPERz
efrBzKxzj8sMLqfuRPv2sVLfxcVSn5oM9mfnCZIBYBAbARQ8DgHcsHbcoCDBz3QsHA1Qybs+6pRI
yOIo82DLwv3OAMqbrdWSxbrFqcVHlKxz3u8CO/KH006jtR9RrEU4bQGMkcWlJlBqp0unbXjAstLG
pqVTMSeOLyTemZwUVGFK2I5Cf7yM5NTv66z7o74Gp55ffofHZ/j8i8l1nJGrwvEpA7W79Vc76U/N
Feiesk8nxmVYly+3P3nkKf5dgEZSjW+Kx1d4rrk5eOXB1378u7igqlyCeT84pzpa23oFW2yJ7uyT
5X6+u88BMR/kWgF2PQ0Qub3SalHNf9vuRk4GXJ8Wug4qT7JMR4sKsM2o6HQFBzacY+tYpBSeu+vj
cU3lH1CXrNrK3TJzdvFCHvbOaZeC6n91dXkNRJJ41JkLWREbCh7+SmA/sldOY0rTqFxzWZZ76x9x
jVnAuTBDSXcAFrQu/JXXyenuwfHGSXAC3C/6UNpw9b6Ed6CNS0BYIzKlcOnpRFICk5vVXv+tiqA1
xVl5sVv27SkHFvs3eT96C5UN32vG2y5BNpx6nUZb2FtXYDy6SK/PkEDaBRRPZ25mOGNu7BoZUHt5
PhoT5jV6CQ8g3++bLInE5Wb/RLiErUBad+jk9GnbGB4us2AgTgSeLIfUq4HGXywR2Hj8wH2i3wPs
cVNfRfIHF5am793mmkSx/k6Yj1vtEjxZa/D6yxkc/Cj3VkOV7z18SMMR3WCxAl0VKjLe1kaykhn1
Nf4N0dpsJNv1Xlo8+sICbXPt6MREKScczf7Rs0hS4/+qv1RlEb6Gqj/mL0fyMLVHsBgzRLO+Yv0r
ivcuQ1JWvcOoy/BxGLtLqFCHZI/BaphZ97g0JR/P6sAfBp0vkwyYk8cMysIBF1TyKPX0Pq8Z7fye
lJTeynX8ugkBXH1jWCEzosqBwEkH8R8vvcX3QP1UiVvUMDodHWhGuKeiEsv9nu9pTgdHkd8+Ouu3
RvScig76CwC+bZ/2vww2i5ahfCWxWtE2btk6Ck4y9hXiEETGWjt0HZTA9BfCOsyM9/IPzdwiD4Of
KmiU669qrav0DgXCWfgB4XdBIkNuE18ZpXw12qJA+X8Zeb0huPQ8aGye/9801fkrHnjlZicL2NJ8
IecszoWhbtWblXGZo5qIO/K4PFUi8Ss6FtKwl9d5I2lcWqj7OQU1sTxFomsrYipbe4WRPNdiTVnz
dMy1hrDpgFxjRcZa4qRmolXjt3Pu6E+QrgAYLosxo/luYHdrABjziyeUL3765elP/ymBzPNU9q5v
heAiIQkGeys0L0ixw1q8ySUFP0emP+l6ZfAqUbr0TGODoGP0x2zJCz0aJgEMgLNZkMvBV605tfXt
/pjDtMrI1MNJkAvjU6forr/puiA+5i8YPTdG3KcT7XAVlx/zjEFQB8xm4Y+hpv0FJvfdwjHQryu1
6oDTmJIYq62DEn3HmGeOzdDJ0tLAIykJp3f5PPMEsQHhQejFfL4UttUdJxnITulMiTyLsvobzBCc
DxiQbxXXu5P9h6/vESJUMDoS9CHBf/GzGpp7jiOC2L/sxWmshtyMC/3dYlsRBDI9fgRkWnGTRU9j
1rOtoNJX9xMUexCKIXMntmN+jx2wob1x03Yyem9p8/32cAQ+3zDooHYHcDDkh/sVVLmmRzPIbAiN
PbwJJaiiokrmmjVwBs6IxVnTdTwKC+TfEZiyW9VfMV90SV1m93uBD6lM/SWZ5Q+C0VHUwZBzwl+Z
S4m94g4FykYMUKm6tA/CrAp4/XuPM1wIoaysyvAx/CLgQuH9BFZ9Dcmp/l7LLT0xdkayTskBaRQx
BZMUM7KPFYYhHWrzC8k4GzCmsQn8u0VDW2vpRyTC4ynY3yAvT/OaOLYMbj95FsDeUiBoDBjqq1Bn
wWP1pyUkWm1ZMEDHhj3G6YlK8UcQpHSkl5/SuNaGWOU9BJXXXZPU97VME5uuGO+nitcCpN8tdu69
aa2kuFbzwPRLDJZXd78XFsT7jbRNzLc/gR71m+9UokiwmE1pO9X/hBmvGC6OT2DuWYnQIWunY0jn
95EBiyi+/ZHlEq4cRY+32BxfPkfvTtXDm0t28fc9SN8C/QhX203vFHbPftbDrQRf33DdqV6vfiUZ
054pVPG2IEFj9iyi3XPr8RXNLH5Nc6nuqjjuXImjgeeq7BUmhUI8AFFn1sBX7QYsR+m69tJiLN42
MFH5KmUURl2hXVSnYEeynQ+k/Y7GvmyLlqrlsxU2rz6ZpOfBhSP1Pe7UY1rmUAQyQpLumj6aJ+Oy
6dDmCZvBharqp3yoPoxofHxXmth8DzO1a2jnbPfFklud47BovBu1XQnpkqV/8QY6gr0OqT4WLOgj
pttkm+jIT0rLqxpBesj1ic4R9czZpL8GvfhLgUC83IWBgtrj1UfFs3F4r04LBBpuVkCwXxxqjsFl
NjvvviO4VkXASZmLsUu92SDvtNthMDR1OfaU9vLVjzJvyND189MzadIcB17O27Yd+sp9fABjSjCl
cXYFHrkDQ/eYfpwAqJs15s+81H/znvPfOtvZeszP9ev7NsnAIpIKkPKF+uS2MZmIAewfFcQmdAms
aIAYW1N7uazdUQgnrQKWhPc+uGBNv6ZuJ38AYO33OC/6C+rA3y1B/AbxQ8qSVAAFgVIdXs7HHGye
e233cKIOrRUCJt/3yb96FyIvQdPIqpD6SX+AEImjwuy/+9D8CEZpCCcooTA8/Zko+PjJuzInQPzk
hlKUHO+qkNsPEFX6t+FC7JZv2wrJvDVuIZn8e3b/5unVYm4JphXmKsb4TT1FVFTTc4pAyW/nRDBD
nsBvLcXGg2PQhLMns+HLGGFW7fBnXgtf/QW6B2UrqdCHXa/wxioZwxzR97kqTnEpCUCmO8iMi/5v
+CLqOg8oDOrEh36ZVFx6sVgB5p19TCZ8mfbq9+S3/r6/wfS2keQASM/nXhImrvNp+394sMsbbGlN
VgtYGDuzgFEpDU1rk+jlHx6+yGXxTNESxvmrq8144W0w8Ufh7BNYrI95MHJ4HDmws2KIqrrBewGh
cZJ668FzK27qJm38QfoH+b3/vIfyt3KLJsXIdj6BDvWQ2RiyPio1NIToqi14e4RuQXMvscVVdIVf
SHpeiACoeYit5livHna3e1N+4iJIbh0QxATRb39+UdQnmM+AS7X8yGJl7pBLfqDLfXfp6Gd3MZLH
/wjIZW6DXAB/f7U7ZPSSJgW7xTnk/LLsXXdJIRV87qDpH7sQvVl/YDcvbSQo8vlQ49DoB6fYXy33
RUg/9nUgXZfSUHnX9QgDtGJrTdI1Wik3PwgrIXvoGre1sB7b5Uu4QQGswj1ZoQrOJdAyuvCorVdv
8xCrAxhD7K1taRkuNUQNRjCi68Kd79HawWKkXGTSwZXEhQGWsH/LSEP/DV9PULcWlMOInQ56xjDl
fn6vFSxK/yYBtR/mzR2OvpfsinwUwA4+ZiGkTxwIb2228DtQWs/QEce4uFafsxKazV3qJBiRwTO4
htZdaxOQCUZ1O5S2mDAJ9jrt9UNglnywPNCFy/jRf08M8d6SWDaGHpp0TS3+idPmgKMkZ6rq/AKg
npStQDFx7aBFBB0Hs/bg4uqxjwVKa5GwLE9iLSJYAakp2iQt9EK6wiCmhZW0lJROlu6U0pizSpIw
rDHnLKsF6O8aX2goI6yfplvLEAtohnFIcSgip4dp5JcoiTtG8uYxewiZCWu1aYA0RgR+0WglUsWe
OMN+/EN39dKQ/ZHutpRajE7qjnFyxdH2+vTDYf1EfoA9yY5U9qjbKxm90Fv1ZjihNXiy3XZUO8e1
BP7S7iwapBHa6fDe5sUl6xr+vXG81hAcDwwfKSVF6FpL4qapIHnicWqifvxd/edoBhNMfNmtw5q/
8Ld7HQB3wzRQKARW2FKtPYZ6rE6+jJi4MKGwTaZKr1jYosi8XKe3yr3rti5hE/RL+VhEopmgeIz5
l1ZPd1p5PhBu+/WOi9fDXv5pLE9Lh2X7YJudbPETg47L5mKb7r9w0tByjO0yEQjIV0C3zlX68/cS
KYlQxUZj/yHgY+TLMiJk1Qfo1P2W/Gurdssl7IC2MZdwVad4Syoo1j6E2p1FSatgQHbP4gXxcXsI
uxngQXgfP+ngZgxNT9WeBetaOQseuypFhGkWJr04fRfCUEOp8Fh01r2QigelA84zBH6F2Unve9J2
1zECdZzeb3uXerYpSlvWTlhfbcfwSmtE4SHW9mEbiQcTf53eZLMBOhY2/UQ0FTuYvbRFI4InZCwv
VjqG52x/KuylKFeJOgSNhDFejdnGzG1BWszOX1hv7Hvxmwl9ZWit/xGarqOWzuiZ09LvYgx4KkVw
hAheaCP/NTPLeOYklJIsIUTXeDL6gS4grzsJ7JrBI92SPFixOe24ysk/vK1eriJbIURVR7M6F2Jq
EARa8JtjyB/myCQlSZ25PWcm3AQzT6bQh+HDiq/4AxTdxUPAACnE69UnQh0Q2NUq3vxyGdK5c0JC
c+I2lVWi5LQ1h/rbbqFLKGVhnczAhh/57ElSkjYBzY6RSRZzwYRVIHxV6/NPoLBS9rdDcpWeWwHJ
zKDUnVfdwMBUsfER7GwTKmzL1GdL2VF2aCAQtTXbNpgT8cS3RtZd+jZtJDE/Us5bg4ZT8SU0G77K
uoi7NB17cEKJZK5XjeTirEVmCWT+cjGIIcQBj8GrEN912INbHrOh4zHOKRnQHsfdksoeiqxHIvDS
KR9g2TMo9z01f9a5o9AUtNKcbPl96tYoOd5mdNmNQWCgrME7bZ0BLrD6CQ8E6Cn4iw+hIpNirFp1
9pjOBtGPgbKnGyfR1glOG7f/cqAiHonHhwGAp/IKq93STZhvzxfT4MslttzBTYl6Jr+V24+uXXPU
UqzMuqUhtVI45L9hD6+Z0+CzhhRjac+na8W56wOAk+u5F6zfzqgoIscKFAol0vqEt71USkT2vSM4
1HuwgQDZNdb+kISR7ifR0OVFLjTikbaTGOi2TF8vVcYDQC5p4iee8Mikoqt3WGTehyaAJOy8MkT4
lJe97yPNfQ7QWTQpzB6zNOCrzwLwJgYUYDO2Ume/HzfWcgXOp8W0Tg3b2v4n0Ea0U4wVySw8CVlG
vDU1oG1c1ndSI1NuGo2eJh+DZ+vUFdJkCas5aM/uRzzM5CkUkEnQL2pAi3jYqgWE9kr7fHcQXPWE
+8DO+9qhOU8BXp5FiL+6+lRUapLIYsG0b+bS7kBO/DEzb4aFw+MLWW6TKBFKA0RKCpQ7D+ROTHa4
Jbe/ERBVhLgBQLOx0gZ7W0swnxPd4t0UtbHdjFDCAPDnY9ZCoCl+pIf93Kd6ObnP6g0pENn8u9Gs
yshUkARAws1yLfy/+M9W31qQu0mR3rXjz+Pg2cxCD392EwWXvPGnemDijJheiQoQzn4xd9RShoan
OBth/1rxyJNRdOghbkzbEnxOyZP18hwTHxDdZYjQxlEhOZzxIPiiFcJ5xE7xi69oSy+2Og8IHUUb
hm8uhVsn1mEJAaHv5O9ltclgDZ7bt4Ym/vOMrqzPJ/NZLVzBcmpEuqITSXbJwlMDinhn93f1ZmXL
LjycWAa4HYO8sup1vRdCFYFRtybQD+pY/KJrmIQnwCSqbTewBUvEK3EDxhol73P/YcN0/OoCXTqu
M0xxXlOwSBQRk8C6ycW6yELiyNcSpk01BaKs/TdmgUnKEJNgJiDpESejF2xoCur4hyqz8g6CoOLc
YXjwC240iEr+fAn/1f13anwJdLDgxwDSRFTkr1LFdxuk3cJ+5uJVxrpqB3lOBxF2xV/shPFHd3pZ
pyQNPBW0ORqblMhJKKb1gq7vIRRzu4kwkhuyAeetHCN2YFPp2zXZzYuO/jWQddUdVKXtX9JAN8TH
WT/FDEzpIq8nuRkbagYINLzdJ505GhYN1FNrfuAQ8yThnBF4JPZIVivrWNkrUUD7CcvoYC/TE3z2
Uvx0Jo/Xdp1Icylicp93BTOLDtZKylc+wbsboMbw+BY6Wpp0QWU2jiubNAjibHwck5njJ5WJqtUc
o/o0FGnM7MsT/x7h7eDHwCSKtWkegJ0pd9/jHmjKZe4H9lY6Mvax4nZ6tkc4ZD4XjVrSIKd8Butc
FQ3BHyUkxojHWQjhEUSlp89RU0c9/zZl3FCL6aODio6YoqGdInIqJw2tr+TqvQVwYFLqSk4KXAc8
/kEjZC4KMM+jjC5cqFFC8KLVdRvOfBYDjjGCS8dBY6wsCEX3A4JcaSW/BlBD5J8cveK2RtBhO4vo
SRPipwNQMLf2TOelC4le80iY1db7s0TcolobcHfVsoAxNxywIYKJxELzl+S35US0rgvZsTIAyPnn
Cq7v4ZcrImoo7tknb6olfBlZ88R13VQcJ72tKVIFLQhBv2zPN4+8pi+595R8atP2pkdrUvx3CYK3
tvgXu4KzWsFusG/N15q5m/omRPtR5ZnRF1iN45PsxhVUzvEqSvg/y7SU+m35VFzomNHpKtm6BUQR
I7DaTBfhD1lp5nmVhcz++2QsdFZmKa7piQx4BoiHGKVYQ6DjZdS4dSnnsw6C04nnF3+r4wbWS4Go
+mmnqtdmfKCTMWPaLDAxJDWxdTMHMs7UbabxbROH2eL7H3bnRFLCmCl+ZTL2kLlMeP/kh0YCR/u+
HT9Rlj040wtrhMwY52tDWcxWkxltYWNOcQa63/BbpeXp5CEY5MkHEbvLwSraMq4GP6LQ+Upwcfir
7qFRYjPZjHmQVEjz7vRpjQkkRNrd9CsbIexhgRyzUZsIMlY3TxkcJAl4h5kbBMbgWO3qDTHokB21
octLW0FZoNliiBRD20DZsucD4FqaplEvWDu7gabdZqV6U+L/qz9n6FebGRVs+jlCwhzZRvqg6A4T
JPfO7yYO7ZBffIYIyHUKF0gOqmhPrk11lCSsOVn3tiZp+qNkcOXp35Uq0i1OR4UsYzWMEGeGU61q
IAJnb0ITRZOI/NTfPxXU6QWIFY6eJEqW9B824ESRWmCU7NN0aX6QrPgHbh0P/U/tvgMO1s7mIpCq
tP1MntzbqCUI6mLH0oxFMQSn6lq2Nc1JRUAoOM5HC3YtPAXY7X75MRgUEQ89VW5ZV1sNmWiOADCV
HB2wC7754BSGDP1XiPmBJcKJLrBaxK3xQPJwb+qHLyLKHE8ewAIZ9+hSzHLzvFMunK6JQsO7Tv+Y
/M1YyJ6Jzh2tvuYsQ79imlAegLRKraQQOPWAM6ePshlCwx0qXukIfWglvb7sGFwl+h4lJumeIy/V
lOTr8RqF95yXIcXFHpj+BwZ0E5R9vhopg5+TM7TgD/ECiGym7ZqGopefHiIPBiYa5E6w2woNSN1V
mWtqhzfP6Mf53nPB/sv/kvMyt3CoYO2y1kbeKZ9tEpwi0G1W5i7mTiBuMYxt2golYqjYT4eKkRHF
9gLsk+b7OfEwNxsUeGwxMS1QSDJjmRSdZmsQ+2OS2n/x2+tkPMbIg71v04SrRx6wSu0Xop2nR7TF
5dAVgNQbcFveNmX6tOm6JNTQQgDl0B4jIY2u0goZS+6sae53oTnNGw1t9wginaNYkd6Ev2KqRE1o
NjD0IgO02WjJH9UT2wQOSLbMhcGCGilE+OCzMiHtyk1gIK+lw9mEOX1yyUyH5k89QAl+qUImvCeS
EsHMx/JPlJF+rY9ooE+3yQiZjXLfankPBzXGRU3MdBHf+5g61n+R35Te0V0/8nlkaetoQFtdTHaN
B5A5bVxWBooCnfEzmWBffFMhrb5t/JPwkizGUVs97RlyvqJhcIOhTS9FDRm4X4E8jF8N5opNQZH1
Nyd5BDGM3T/iVV00sb0KK9ntridn1fY3YuXFMhrxkq1iNaHvvMN78UtyE6wL5A90tgvv//QvlfEW
gN8UhgVvxxo7F96BUd/WnK24PNd5zmYKh7nF4zDKENU8/O9Wue73S1Sr4eNnhzb5AjE9fNXatvGy
pp8qzBNkabZw/SSGWOrFNMsvlLhqfCAm1aehj7vYsV1wAeuQBHKwWquoPjCw8atuMkIrf+H5Q0en
vESkB0i+PTleNg4nBwSey+ZKTNRh7lPGmghGYyLkLRg7iuBwisbgBRifieGINeguUiMZrd2Bx8KW
8NEmyeciRPxj7QQO8jybgLiuaclN51Pq94PQXYuhvBS20FDIXSzEiu/ctj/wd9KFXc5jMl1uqCTz
Nfjws5evGMjJw4EHd+rnSV5U/R474chE5Dp/WKK2Xdr9OUFuDuTJTRDu9RD4S+uylJ1THlrNS7vt
bxaS+p8Yp6NOu/pIi6XBTCZsUnd+kiZr82OVnruQuznHNMabC8JsYSAB9DMzoP41b7S9dEi4Vg5N
cPnavncV1DzsvaS93VwueY6dPMKYItjo5hwwP6XX7X1w+4RRPAoiRrqChD6Lu6FDDJLaG8Lej0dP
qRxiFQYsMoLUwgc5OnzO0jlGZwD7cHzwACQthm3pWBBRxyz2sXHCj3iAs0BNEnlo32DpGeXMfn4I
IGtmwvDEXpBEDOEU4z+so1AS0cv3fl+/W/y/yWT2/Ru9jc85aM25339nm0ZQAOAdi8QgA1BIuH7r
uF8ODWjbhm2ahJgilMJehv6IslsoQmzrtIp4acAtvb4xkkm6Gm79hEDff393sek3aQ3PB8E4emeX
kZyYwooGpL5yIKvD7qWzeSn7nQAvsCiX8o6/yUi4mJlUiRaSykVjnyPelAYz5ZYxAnMQQHfsb80M
PwVa1FdveHKFwI1bGHhWM9T0tDQf4aDZGfYyJ57cyS1rRe22Z8BryewFqbaVFwEaxOZ1bMMSR+1x
GYcaMv3nqmtziJqD/DCpoR4czgu/fwfYyVFuQwn6zYzPtsaUZvoyXNeJGik1Mh2n+1lawTQAtmR3
9dXvd8yIG3UbBNqMyTFWKJc8zsrQnGrj7+F9BahxzxvraU93/y5yH0IaAatXYKnyuTEDJAlerw8j
5CCOT+V+0AeDCoJL+BmiXaBynmCLswTP2Ht9W9Ph8j6OKykFdYlUZKPNCba27XlRleKCPI9UBH0T
EqX5xPM+pBu0fGa8HdOk7wxHisr/z0eiXF7FECbisKyLWucmZt7/teqjea8WU6/qmkYpKq4o1mPc
7oRD8fkXd3b0rkG+yAWnXnn63Ux6mKsyC/VEMGpkndEl4EueewgWuRK0J00AH3YEs6gBHQVbrWnZ
6Q4bcBhA4OyJ41OEBAxh6LGmdBEYCN68fDr9sa/1LTUxxnHY9pLhOF9p+tEGwXLASXEbw4nZN98l
02yi/VN5dleN5Mq8u5UKfdbwyZsglmjdWcC6ZTH6Wqy2rQ/TaJYkLtgG3NRfXckAHEaT8E2NIV+t
1owzOIEe6qSc1hE/qBKOmDns4gqvWYqChSjMFYWnn8hDqky86L7AUjkcFKrm3SkjYR50PiwpUK3Y
oy0URYsKP4znIajJXOe5LVb8pFDlaaLdKCIZMNFvVW1RX63r3nMLZ3FBuWMFHCnp03tUw9yauaK0
wjeY3sJOsDlEuXSVpWVhIH8hDOLIrR3PJ6zClkf9XuljHfziXQwvOIDYEr310HpdquwEYR2ZqZlm
HdoT/kIr0Txa3oquiz9MEr1ZAhWgYFkZGaG93Lu/uTtRZ5IygMWsLPhmKQ6qOQsVeaJWhMDfO8hj
jV5/52ddOhaLEbSumdI8QzBsy11bpfcpJW5PCiwgMBkdOkhNfepRGoRDwn37GFkHVeSTpAPIGo13
Q8uU5PBq/TUIi2S1oBiGa6QY+P+GJJwkqGS3iQ7M44MyAO50JdoqM4Hpqgq4Sfruh8zSYeWSbdZU
TIJmK9uCIyWS7mJAoagzMOr+kMprwcNbg+91Q85v2G63gH0wrrR524iJqhBSlzi1UzcYkKTdfJ84
wr3eVQPbcmshTj1ZnTNvryke2bH5GG86MteTQ/YszeTGJHNsiamaIeJwg+1IJPktTqowBiq/GERP
ZrCXOJRio6UNosGseMOlXvcgQ3vn3fWRPzZpSaXcmB75PSwk2elmw8QU//QEQxuABWNjn76Q7Vfr
qMgUmvrkKbMFJ8MDN6LiQX1/H8/cduk6LzFXLjy5jER61tBinHJvVzEsWhZF1BsoLuANb83att7P
gtYRf+uUoUV2V1QBYPsOXNs/86P3KGJl+01ABsJfWmInhWGyl9G/cTwvVbvUoyA95wLV0rRa/1nu
vSGkXEE6jVevjqg2BS/jigua/6Ps0ygBaStajVAINh8+NJk71917mA26GLAL/brk622QA9dx/Joz
jChlDB3Rb2DmyFM0kvhwXrZoBGWoVo+fUtGXebUxW2AeXlY/59aExsox8ZIuFb3zTejWbmzx/Nks
FLQCRpVyLv6m8+jw2cUMz9iKYnVCFQUigJDlPSp+ls229sEGTMr+2T6xzVenVpAFx7s1bdaeWnBg
F2zxaSL0WNHbcHj0vxmuD1oqdOunbHOi8sfN32O6kQaNXdrqdHGYyOXgtfaNbK54NRy+3t7AUPrK
XiUx6+PCeGOvaELiIm95Yh3WQpHQiOeMq31KGjThYOoDbgVWcCcx6s2xnFzfcW2MKWm2bUVQnvZt
gJfbV9vJOVDaolDgrjyrEKz9KNY9/xbr1JKy9uH9ljllwQQUZeIRBZGZyrXJjo61QXIPmBXdAupM
0JRoIOnnJ0jymfDo9qaC9P5Y61+Gd7OAPodNcyPhu05fv4F4QCnp/ASfTNo9+fLiHEoAre7cWEqF
+cKvzXepPZ1lj+vL1K402n9WfsrKsiE3Ykov/5CP5nDCMMlhtt6krC8tFgpGzQrmhs2RoGOsFOL5
7KwePSwagj8cA/6EV2THpR9K7AVU9O6soW3Wns4Q6mQb35z/dxuc1WaqNvDwrEB46py4SCVjiKHY
IVZmnG4qYEi0mkLiZU+i59g00MTXdKMjBJDrFD9EwQ6jwvinbf0LHRx2PvBMeBpOiT5S+ZkGY3Uv
O4gB7n1NTQBWzt2N8v3OKPYXpPe2hPd11DoIu0G5Sb+ivjIokMJD8PzA76XF08586ysQvvLQEQrb
YX6evnW9gDFe7jPHhZBv0nhgDE+zGWAa5jFj9I8AXfV6ay0UAgH5SqZpbs2+HriiGNPP13iHNAvY
jLDFCvBERxA5/ah0nVg2sF23rtt9GKwD45xsRIton4s7gE8ECSt5LNCEzAraD0bHI5SopnmUBCid
KanBIKoSJF0KuHFH2s1wJkifHmXwO3LZqm1yD3lNqwqZaDHkvIEsXh8eKe1rvpPlNs/GFjhX0i6j
3MNK3zWZR2KN17WfhIM3dUS/atObUmn8pRb8R7CDuIziC0l5W/JkE+zRkvyAQ68QVjjJYvEwR5Lx
hsyqzpnHgGKlE0U4dz+BGiv6t7IP3lfeKOqE4RLekdxSx9lqpSTK7l0HoM4CO1/nzKmuuzsuP73M
aZNgvvATKXASqXiIP7fZMe2lUue9l2VaqoUmrjO81jmmDJwk8X49q1OU8DbDmjcnZmbODpOOCIUS
DbsHPVjvcjem8KtY6kc6J+pl56ciW2aOkrl20JR5THFNVKVO7Krn594HW+dphc88alg90lR/lzF3
pZ5FjXnmRpChS9KtvE/qWuqPydCB3YA9zKBtHgvRLjpXuDrO/op1+WvmpxwDc3QUmdDO23sIvrlq
s+AE+nTNa+ODErgHssvVspAfC1JBoaqkJ+vjx8hh8xfswV3s9XWXEu6dhqpDIqOorzhEVQp1EjeF
Yr3WLQUv36KaB+JU/tFyXEfyDlfmNliEGAFvTPQoTy4Z/MKpeFEltgc9A+fqSD55zk48w5+95oSQ
2wCacR9d9XiBqfc9d1k9+J7yzyRl+NW8BUG6pmBq0mtLmds//asIqWSdvKwvtg1WfIQ6wr58ZQrP
JItz15siKaXKQ6a982hPqwlsZv723j0SJVDX4+UJEda5X77cN/8EO9Y0KL1MlQjqw4M2nSKdEJp1
fqWn/ph3puCFUXo1t5oRGmUZhXmZ0bjaED5gP8iX+bFg3fdVAaSCDBXVBQ3M0sYvQjUEbnoyKRmk
skjcHs+9qhuPFpCHoPaRD2Q3zOxgG+n0Ba1L0wa5MkBctqqcZccPCYmOJ3c1fL90F4kVU0kDfbrj
ZFmbUVLK4S+3EsNBFmsYsLWgzabfLMa2dBL8a7/SAqRwwuQhrzIvauD8v+pr2+JOmQ2Qw9Mur9f0
EvCp7lD7XzR/jXzLQvbgjFRDP+y52sZfoiLbvbjCJoGkqGNA3k+QQg7V5hG1Tq8AzcbATUjLBDd2
YciywiBvhoEcDAA7c9Xy1mTEuV38yBYNhJrT1Z4RMJqnebo/UzOBq8EQTy+zuuQkyxGV5K8h4QJ8
DUl9P6j3FOYtJjMyyn6RK/pWXp/BLz1nd/WLmlfk2uwcCVIPB+pIPKW+ZU9WFo8styAjuAAVrpT9
IiQqBfe9gPTYCq4GdmAlC7kgC45oq0Tc6nki4jHdcCSmmcVjGGxlgwK9hs0wy7JEDXMLEO8W2ssU
DzregFVq5a5XLJIEkfxd2ysiLFw0T0qrPOfOPmHLlmgsQc62qYmvs6/1nykZnjzx6ebyxmSpkOdg
mAkZ1ih1G9UoUFrUzjaKUs+Lbso0Ga1s2xcqQExuIlweE6LPe4IUAABwiNtamT/JoLCKqTJ3bXB7
iXQg4g/CLYn6sOCcn4TwsW0FlicJoWeVYI/aQaknX59DPVwkti3yHl0V4ckOZ6knPnVtZI8mwdaF
pq/PY9xA4InXMWRHNVndr3hAiWtek4CY1jAF/ZydEDSmYFmMS9/ctlrX4ZaK4k03ku/JjFacPLIn
SbNrgiTL47Bweifis1tFOmc86spsw0uNjXwx/QGGlDfPHjplioPnm4nO97wIToz7GpJK9KimJnmu
edAZJqa90UCFLfYiM7p8XRpsK3nRtdrtpm3rVg1jYqKMw9nkVdAPue0MOLyrru1AkwkXLlew2JTO
vHcki5s1n+TkkhUyLQDLvWPBHhUlQ5jpRbTCVwtxftCYnop48nNRkH2ygPDRQzH3wIx7Wjm5xg4u
5MoE558/KspyGAGqEei596hnGnVbb2bK/pwDaaeYQoOLHVz+j+XKo54yOGctQNFA1E3mHpHAzxP9
0LaIv843eP++Yj4DqAW7GJc8wV6StxROhpGFMolCPmwZ19zMlEK7WQ0ZI9AtYY8+qmscqLU5Ebok
24rrRCmJibKqDRaG9tIIx4sCmrhJyIoWxD+PGuo/g1NX6716uP7ZO7c+v2/zgszCQPA130ZYtP4e
LfKe9pAG2maIg3snjKu/9dQg5kdvTBwvWqZbiyNcuhPX2/8L5I3hARt4oz9DMHojLasrAfN8V+u7
Hfpj1GM+ZyGpVvlMqiaIief1dAGCw8bWTdCvbLxONkGW6K6WbwyudIEUjVaSIrz25tkX91+S36q1
boUu+0LFYxPEKpGldFxzlDKuO62/5pmk0Pa7COAeaosVmmv7XPehEAEH0woBEpHFG2EdUOKnnZIQ
8dyoZDSMuWdQuWMuCkv9PAVy7+tMCMZpxxnuOikCXh0KsVdQfi3o/BeyN3/CQk042qYhjP0WO8A3
Anq5Fkrec+aBdkFYEOWlvLSSt3khWgZjelOiZKUo04iy/5rt8FUlL3lRT9ZsNXDcld9a50dWMqwd
Z0Q4kyvH1vfoP+l8nHI4N2TS4Tknghksfoadvv/JAbLOZ8pcy28JjNqGBcgBw6mgJqDq00GAtZAa
6+fAzmBaqalIR+uG095zGEhYfF06fALXcp7k9PIKGO8UmlqZ3Wg2y+r+a56gAqqezoTB73tDfPHC
gwldjYRUV2Ut7RPMg8e/w48EzNwQcDKOMV+FTeYT7Y8WvPyM9G4tXIkc2DxLCx5uCFVa7fRWmsG1
H+UKceLwH54Pt35SEqL6N4LqsZmawDTT922u+oNiFAOCmQZJM3tDH9oCbwoul60fGGULycOt0bVy
q3elFLwd0APhpMw5bp/sf1CSU7H+08DMyKkbL7y/+fcC69cFvB195lM84ioHbihLC4sLkYBcVf3G
DY7uhZJXUNCBY6ITHv4lFeICSg9BExAJGk2BHrf0fOzmBKkAXbR3VsOmtnbu2TpoJPSwHwMgM2w7
8UUPJCwEGCH7oY34Wi8f21n4kAF5oOewjuYORaQorF2wTaGQyvJr+O0NSvlj1eJAXG81LjBobqqz
M8wKr8/8D5nkftFEw9Vdrcyc2WvjlmzjAzK5xK9ei8Tmx7br3whBoDB6mGYIz/g0uHMyfnRbpwCY
Z1uuiZPykVgTtLABEShbR+qOAHFMzMdU/cnS2hKa7TGIQM42Ye/jzNd6mo+nfQGgBAMBpWM96ARg
9ByFBMVSppiaDB1KtNXe7do7frpt9XODclrI5U2TbjQXYD4pTcFHLT3KzUOQT31Jyexp0XamP2AN
UVtjSoy/xeCgBG93ukNROBgxTNDrbYLu77pRgyPfqXVh0bZ7kYAsEwUtkLLxPW29ojr4lElU5GNz
0KmnbZee7Sqv/SNt1AHSIFRq0D5hlKrI9CVIGG4DVm1h1rxz0Gzm6gpz75XisKdaa6nz/aTJxi4L
DKCwGmmloU4yIz3pKy516B87WGhFOQ8QbDmCk7dCaUnqoqiLubgXRX50/dLiVWMq/OYja2/nVTIm
sVl8N+BEzfE+Dc1l04YiKhX3sfrnXxJ8QVLxHKbx6ueV/uRvOkI3C4pueOILSeDn4I7udoWaZ7jX
m7r0bwG0Ezdf5N75HWUSF/yS1EHh/kEm1xG13P1S4szmjYW/oKAMr8NS7XTd8VCriPigZDk7VsLH
9n9oVX88hiSMUkZ48oYudz4hJzGuO7N27Q55/WnowOwA2NgM2plOK7bqrm5IXk+H3JfIp24W0mbh
uBFyNn0U5xLARbjBIoKD2d9CKi73KqcqRHgN005Pr6ALSIhn/G/36pKjQngtDHkDHuqf1F2fgOZ6
RkoANra5u9gPr8psrqV2qnoTkeUnZqXYsFYpcQ2p3G/G0uDA1EJZvOyg1HZvqAnJq6gaZJ4OVZyD
R9XX5fGUVAFW0Hd6Wa4Ve6XfmWVJg2xH/yN8SjuuyTvJpoYH2u4kZJRgdPqjIvj1zn+/8Nd1dzbk
8/rv5l+kg2FAiYE3ZIoYZDlcNabh0ywwLwCh18o4mwg9yflKRUCz13ywaO9CPJ9Z91X2FgdMFIJd
hjlVD+NCdrskbWHL1OjQ4/RmISqGUyzXYPgjqPZiYVrJlZnBM8+DXbeE3AtLejlH/dVMdlXOROOc
UcbeADAez4n511WbldZSw6df64JOlxvIHQZ0POWOsX2b2Ae1p+8oN7gsMZlCnbSJKO2XhDpI/JlC
m4gJQiCpxDbj6K0lbNmQK3D+oxPr9zPF7F3S1OnZn2PapkO9yg9pNtIb6rhm7Ic568Zfj1fw2Dbl
DcsmLqP/5O4yhnI43Zam7da2063Yx7oASWInWq24quxJ3K9QJPBy9poLz+S9DcTCPIBAXTmJJfV1
2DWNqSM3/lh/tIYUjbYbbZDlPNI9OlxgVOWn9HlQwsh0aK7+vooEGhOWobaqJnINmBcBEDle7kE8
BrGALExfgBjZuis2p51RulaGplxrOC5BGAzPwsyRZFEuWfXEdGZfirIySfhucroAKNCjb2bC19eS
mCfo6BCJ8wyPYcok8cYUqEebrhK0YFQ98Bs0Us14DjQJ+6fizd2P6TvdYMCHfrVvbxxvieHky1yY
//9gl4VbOZ+8F9W+LTKc9F3IfraqP8uURpLroUY8tjQpcsULW98q7w0plzD4Bxv1oOn9PMI+6tkp
iU096y10dVWi1bI71iALk0qtbanMM6Uf0dgSvcxBV6mLiZfc7XhEZGdYU7BhN9/ZDzlbCKMPRrab
VoiJWhE/ZAHyewzTjVk0La8x2W2BkVCqzRwz0yYhsXdrr3INGbmujJNhyil1SdQBfNRMziIE3QUh
/u3GpAf5lLEGIQTVzaHV7nlEBwka4m/AwxuZUbxMLULdWTneIkPsRul+vt1TrKIaCrtDdZiNHr9X
nKNPZwxAuVLTEL6Cc8EB53lXaumGQ9n+FHK9pHtaKBAKpMq96SjAhzPr1eSgvLIhkOXL2n/DzZfM
S9cNMd5Uj3N9mHUXabifyrb1a5Y5br13wnteA52vLd/hBcytitFnsTtoj/zp18mDP1y7QVAun5Ba
6oEaWD9tsPByQlFkSAUSkjQEaf7EwzPW852Aw3ufYRxEk1kexsUxdXkqL3k4I4IqAQvGeP2WbS9O
Ey4O0tKmiAKq3pPvnKVJCdwfN6Y1WY4uJvc7Uj09MIcM3oxKY9aNHoLmb41KMToyqtCV9Cic7L4a
p0v2fQ+UnDShDkVAhDdYoOntbN7mCuN5EhDj8NgyvMIHVq3QFHqDS0SfNPVxzflpkMvjh8ePBfvT
zrtvsKjwBoGByX2j+NohqRscKsD15dj5OADh1gGAaaVJifM0F8rBYuTwatnchXzsZcgMji71yDBs
7oUlJojyHjdsV2xTf9WcmiRvD7R2auTnHm0LwJmaFm++8SdHXNZf5lUSKOzT3oT1jDe1oxBravDn
gwObpLVwhVJazkTdaFCgLCW93Gq5DiMYGEu9zSc+VoSP/BCEaLZ528DhDH9MC7jlh1dFplQt9OCn
8+R1RuLUDO5dSv63SevrxcZyNi25TLHIrSxRPL+QxtbdWFQwhQ62dZmgm9xNMCwxolhntNA0BreG
EOqFQXrp2fodNuvyMtDOnGQ9isvCX+NN0V6b6FmqVCGp+Q3A+M81Ufeg7+q5lvGH0qSe3rEpFzfn
2s+s4KXSV2Fv8nSuPwvITVJ0kGM/nbRNl2nEW6aySzWd67dLTzIlXLLmFOm7LCxfUn+9gY4KSp6L
IN5kauUcbTNVeCMSFHPozJpvl4bfpatK3RFurtWkYb/F9QW92l/wWrfEHCuWjLwxPX23xOklW6NP
Kf8IiG46Vg7Pq0QromquYBDYzrXPuGDthlu7fV7QnPyj+jGXW75OfgV3LESlmRvQvP0sVAxTAhPR
yH4wntvJeezfmpGuzsGzL/39o0TZ9dCaUbDnnixtxRSLUw0jdvJo0JxCqA8cyDr87OOOapzsw2r3
tmLvC/vZUnvoGS1QoZ1+aIr65EMHryi5XU49nU2A4Kxebew55h9PtCDTP5IRbc2B/bGsNT0UTBUk
/KaB8IfDXaBGntwTDDnMNKR+IcFRY0wcHtT5og+y5PQYOJAjYLTw2qfy2uTn6jglzvYDDNhYsjz8
RdTTDT+VUozRhcWuk2Z/mtFHDA1Cw1H93NBYa6BvK4uJHV4NhxFhRZAIP91afOIcWGtCbG4c/1N3
LjbZ8n3+bC6VL5suaTQupyvip3WTpCc4f5xF/c22l+ewG2h6Kvb4OxlWEpquxxFkFkXMfvayGy1M
fu1X6Kzcyimbj56J4UpfaVFsP4h0y1F5Yufiq/IuZfHh5K1nMs5F1sF93zo2QkgEipWEYApEGSfn
fbLv37bLOgdkORJXdEU1YX0IMwOLlBwYSYBMKUQyGgx0y122D8Rrtn1E9uDE+bCc0d+k8Ux8XZt9
m8u9XnQeiXFS/oFSxB2B2CR9pYXQ+Wi65CPk8Lsm5X3VKAXEfU65H1LLAUkcSgfGrLy8Jy6kZlUK
stYrlH1OlcmnShrEuAg6leQzDCsrs4VgpeqIFB72hbpni3hbCn4PrYOsNF/Ve2gYjwRbpJbD4qZ1
nQVny9nu7eULrjfmDxB3iQisj+ifCNJXeWlOxS+bTyNoUIemo2lHVtbuC6FXrDBgxkLQwqoEoO10
j2hU1g5YdV104qatX3WHGnyVXFP6NFMsb6f7ZvDYvmytLpISEPwtZMAQteia1Ygutha/h4r3aKKq
IP5v4SkeuBJSH5DWkpX0DIjandM1sySAzfpuYVCN7dTYSYzTsjfx33Nwpoy844tXuYvqW64addih
zuRlzNuOyxdsFFpPAcSrJMNfPijooZ3KIE3SbDhKvm0BE6RZD3Oj08i/HyEAqBEd21WT6yJoKtmN
xT5oskCwnG8pGWPqYGLVpREqTEVM4Kq1+fYRUI2vcnfoqgCy7n10/1vLevQGhvKFklIMF/hC0Rkp
NuTL9m+IAVcgZWuMWqggAUksJdEYetdtM0rW+xuOmimj1jP9SeU9bHmjdbIIfWTEZHa+KFczJVv4
YPjGnJFQgCobtXIn+rJPY6CG4/vrA4fKc4qNrNfHLwcs8ydWc4bICEc/3ffR1wMrsK4E615rBRoc
gu0JPceGE1iHJfQrDAr3RAcarMRin/yxU4d1ZyuyPWKqgiCM8wuIiOL5dGppm1g1lWF6AKZYmo0O
UvqzcGTisXqJ2SoQqzhgvooAaTmUb81pK8GYKg+pElIRDwoKa4XLExPMLyuuSvPyqk7w3cePtnfe
OiDtZSY1FqUwSkFSd5ZgeC3a5AtNuydtjxlGPOX1qEKnsjNW/qNnpd3hRrms0tU4GUeTdHlfdiRK
9xwovW26E1dVA2XjHwNnyl8wWpicNj/jkVU4eGokZp9XZIGCr/FvXM2yZi3IoQMb2DNLIB4/Ouj/
b+WRH6dZ5zTCfsDmEJAjWJVtfUpr+cogNI0Ofb9EPS9aLHQVTcyIi4b0/Ix+mXOl1FRZYF7Wx7HK
19uZgZN0fLPlDGWLTPwEjFFC20TcMioBfVePMzF5foHG+QP+vYN8zznkzDn3zCoiK0LIB4OVtaAz
GkDPwmNz1iwPd5vpt2iCeOSzkE04pKPdH9MXflkAZhX8JvnYw23CfiL2IoEouvs+zzfIeU9HhMHm
WG2vqCvDrj5+vw8j51dYH7N4RQ3OavSiNnYhAOwkVtdaGlEETAsgI7T6CO54tOmHMfD6KYLf55gH
E/HcKIE0M9i1XtcAntDXECZapW8P8YkxaGG+3TzBbMSLs6MPpn7ZZ659MVaSyCBCXTKCGDOiwSXh
SXgoklkq3eJcK1rU2UurAz9BvJMjJymjwary7pKw8S8Of4/RBbkyAQ97k6o1h+qbnfQ4n+wzyv55
xCVQY0w1TCxorRh5BamecdM/GqweI7TE3YyLAKA+ZzNzgFnggoAKoDe//EO2XjYUcpwaKECUVE8I
Nwfgy8Ucsc37rCP9TY6o52bW69U6GTljacm2zi54Bv9gGTqhJVRos73Igkblx3swkZU5MKRWxtun
4ayPo5yrGryQOEKNxHfAUqRbAv11UnXFb0glEVg+eJXjZ+QMcEU/yJc6eNfVrtEHKzjUPfI2e9MF
5KoPm3AcwfCAtyepKYeUAwDkO4KxpM9TlqZja0TUpSGy/1PsTHSDUF1SGsh6a10Yc1QrSLP3rsXf
W0507aIPJs+X/1pQHjZNUUITUOfUcI5XWkN+vkHKBhuvMFJB0c8moUVMiAFl1og7MRKnwDw90mPe
EAqwFi4lEEUk0NiE0Q/1Lxoiz6DXMxHzgJNbVcrqCZ4tsp34CYhsShLEH+Qr34goveOkI0ky8AIE
Ffozup23SBGcktbXtmoT6cSPlHfPKsd4Xh5oKItXdf5spKetTushlpD69JvPWxNoXpweGGGVgSTo
zIdNNMzURgBIKixmiyHBywo8bGX4JhYy5WhilV0KvIj8tJ+L+nG2baCnHHboM/whHo6hXNv11JNH
n7oBwEd7/zAsGwtcNhLZe+sgRA4VgAww2s0EyN74NAnpsO85UpySnw8K21BtiVZcp4llS1ShNxUa
AxZD3Z2khbu9cgegWhddsOHHAW7c8HTikCRjghe/C1HZQ5w3daxHOq1a93KwG5F9WssY4MXHi9KP
MwL8f/gDO/t/BWwVdbnnEVEVBNQipkUqy4FFnipU1b0qPU2MbJt2Xy9q0CtUNmEqtGFPXlGjkClD
XKeEmhcd1IMvdQi/Br8IonXzYaf1mLfSfwGrfcGK4yPuYwWRvK3e21czkHlecgcRfd22fM7+lCBM
ZAVot5CG84xE6goYzHvIA6QahaEeRANVZWmsxtvMmbCjoYlr1Ncz/FHIdcLKxQqPZYLvKoybxyQg
4SF1di/2wTK415wFI/7/37/DGz+EA02AK8w08N9SbM8P+XiUSeyGxbuD1Nu0lEl6lPm7K8PLzgfi
Bzib8PI2WEO8PxsHa++4Gkqjy0tGWfi2i3p4yvoX7Qr0uLYKNWWbQoS+UfOaek6+e5kYOph7/7+Q
5wSrtW3kBf8z0b8Mls5FvryTYegyuQPeR+RW3GZRSHa2ympS54lIVmU4Wvt6X4aRHrcJOxeGLnOd
NpjUhFZM1iMjYXLZd9oJEeloHU7SYA5vDjN2Sckuhy4+N+2FSFD2n6DbH3etZQzvue2B6w2A3kSg
EWNVeEqpe7msijg1PjzFO4/DggP2Wzu39eydAgZ+GA22mStDBIak6pZbBvOB0UOJr1JMjJUV9x5y
LmdYb5I7Ok675QFb8ZYYr5Q+CYdkrW1no3N0kCFTjJ+tyuLwt3yH2++2byV75W9v4vZqdKOsl2Hs
isPrTm8EkpP0YOMeJupBkSJjtaY/6tuttoKDJwgJ5BFTV68WHyqE4b40nUD5twQN1OYlWfJis7g/
HDz+iaX9HpA35Ls40aQgGJivxHUouR/Lwb17JYjT5d+31uyJ/BSepYv+H0sm9jxySDXeqv/92cJf
iaVMyrUk8m4P2nUip4MVNXefmkbfF2jaypFMDpe/tLCfn5OQ7FOIlfnEqQKGHOy/PyLWRZwTzYYS
VJoJW5XXaNA3k/kqmgNdHWmvWj8hQmm9BNqbp9cIvla9oUwtyB1ztj4WQLeV19cb38XX4vi4lSwt
eR2BFhf1hwF6ktEZZC/pqZrsyJlyvpE073v9kAWDUwQg107ThBXOYxnljMwCTpoew16I8E6V/DA9
0y479Ray1sldTzpe+MuCx8iTyQsrZAAwcBCdg+U9JN8ghBykks9yAxN4hC1xQvVBG/cztedyYv7k
iev3fpdGrszLJysHdMXqfRHajX39p2CEFdRm81DNHrNSZe7RA9FsLee5QGDdRnxzjpJWbzAYuP3i
Wf114mVDQXw39fcfdm36zmieIgvonO5g+2ehAsdfJygRu35g+b5H8sTtf3kihE4IU22CgW6s50CV
gELSNAAPX49I2rWxaGCnsBBPdrZDNDRcyp/y/OuUsAVBzkPwI71taeQh7y7rg3t+bhjYUkycG8WH
aSzmuwCOi1Nw2KSuA28U3Ef3V16Zv8qDBwBx89bMUzjbZ4YCyVH/KjziVlzUU8Pbdddlx2wNmJ57
PfQEtSD+b0HMwYLquknadDRONC17PSXbSczEsluUSOrQIV7xKienYW7Nbugm9uuPP3vcR0hL4mDC
47oTZNWI3Ox2MezmpZSRP6x0NNN3fcHWT/V4tsCwBsoHbu1XuQvLunZnP/oucQPwgFPwzxNpDjtR
I2gFhJTdwBd7EmU4wvd6GCQlMs6foOkvH/+Q+4Yv7XSm3kVgP+8o8tSDHQGaz+6W4jOXBgkpzEjI
0qOuzFJakJwmeNzIvYBWVh1fF6bFPbvd8DzBhQhNgsoyrPiyO2WhTEDqkMBv/MPA5Xyav+6sOjvG
RcsYV0BkhdgkNgF8xXTDU94GagIcO20CzKR9SiGrXuvaPsBysUmhwe8aTb6rQ0frScEiHBTaim1A
x22Spug1xqpLJQPd3nPBIsqCjDyh89Xeu87VC0vvwtDw+LOfL30JzTYBZqq7tHlJ5NoTu42ct6yk
tLHbUz5UMXG6WX3NWOC/MAf87i8z+NJqQi/fFYFhtwsy7SnL+mo7OF5C/h3IN84Pa7Mcy5NlzvXH
oJl8+x62FBu5aa+Fl75sQ60DuxO5BimWTeT0MSy4oZAt/jmTITglMMgzbajb2AqJORG457XPNn3c
D9KWqlWg7bokl4Dmaa6NjXgyhv0VRfhW+4t+C4JiUmOqQLUYeMmC60WqoW+aoX42ulbuBI4A2nVP
mpL+HsNX+vyc5PXTXYvWDaDmGNiX2q5QRncqJ4e3FicRxC5TyXp7Dj4Yk6VVzbaMo9Pxsc55kkAu
SmVa/SVA1rhmGYH4i54tpPde7zMUxWQoRF7kyhhhlY3+RefbyM3MXcJTFVVGjxPayAyuVsEkebq1
Mv3Ts8G1aHASVbkXg18MO48GF7fo2jxzfll0U/cxeybCR/SIDfTLfshN2gXuQG8ndDuncAvhZekJ
gkpGVdwa+CwdNmHLfBEwhhAa4sbxo20p8tSi9kOugnhvaQRckAW32JpHm1Kgw35k4ZFw6eSU6O+I
tAGAo9aN8a9PIlOPfcg3vPr8DQ6OmUjuFA0PPxB/iOC2Sin09tNBh3Rzr9R/TAwrK7RmIxFOtz1J
hGObAvLSn8YO1I2vl/wKVFDvWoNNDTQ0eOJjQcOBJYItCta0nApLuvfLz3aOH5yEK0A/JOG2BLOG
MV/rzGI17VANG53qmdZ5aZABngN3jKkuIhcO1x10CtHU/kyaqnmWWl3y5ZJvx5CsmhVZ2+uoNUYd
FCVgJ38d9sr8EPf5FAseqP4rCVBQPApeEiwmySnNsZgLf1qQNpFrEr48o/4fz40Zs7w+G//SESIM
JlvZ8b/8fYi6vCzeMzA+0JumhdZrLgglL/DlcDTyIRnafB9rPLSgApq8rQv8Vz/OhArHkymM1uCf
CBX5uAzT/794B4rCth3Zvfu6JBzYFSr/h0lKcmAYPnTspxCHYF3BKCIBQR91AdDH964nHXPr3dLp
GveL0LzHBOJ6+yYrq5KT3dN5QzyuuMR/GwAa0f12Lbumvzx66cxEP1o087ksj+ukkVnyttLAmW2r
o0r3oqOoVbO33DgBr80j3pFz1Kmo8Y+LNuCpAQPhA9nL3Lt71efcRUvIWWW267nXrcoxkFMWwDR+
H5jcaDNW6PZ9WwoGLNNg24xzVxFGhR/GmcwkiUD6SP9sdCSF6Y8yqNtTaxHPOt0LQ8YI/iAdH0e2
WHUIvZRkbl9YQp+5Y6jv34AA/M6GXhygcG3ZN7YoELmHg85Wg3xlywa+5DrCE9gFp0pXa3nCR2F7
F9UNnaxDhB9i+Tn2djZw/ZmsDc+fVg/rOT1TeezHw0gri/N4ytzmXbpDEYH1py9fTZ8Jw9VoT8yH
9WMXAjQk5EYor1U9QKPcFkWwU5yscktKOO9vbWssRwjstUFyerXyYh8G4Hqb/DWhjK1weAe+6T02
vD3mkLw/5EJOeNs3fAGGHHWifu2ZHJ5+zkkVKMhABlQ+E7aIZFaSCd0EaMj0ZKtckGgpLHVlSEJH
mebIc0D6YWE5Y+g4BAayqfdk54kTfNtq/tZQOV3YNNwdV6lZqlz0xfYmmXQ1Tw+CLo9ENJ2tzrgB
CnXKu6DUcL/ErIgBCn3T7bwzPH2522UF3LsEqT88+NYQNjgSkkl0fTQoDR3nOHXK4A8aRkIZsRY9
ohAXnctNkUkk2lHVvD1Y8yjo+bK2deAbRAPX1X1BBH7WYt2mFge7+rUDfOUNgQ3ERuUekux2iH8Z
d5FpipiEw4NYYPz7/QBsiA/3ZKFmQqdERi5qDrrazkCYHfr7oSyiblTDMFLoDBLKHGpHIKqT0NMx
KSHPVvd5MUlBwgxyYcLcDFIREcNYFcwe4O/YXZWCEMi+iF9Ip4Blf0bvkxX3XNeXxpPbPSESD2AA
FvT3Vy6dc/qUsaT2AA8z/uyvVzPWKPP099Azbf/BP3Zj0mhVEJnHDsKBciNJ7jUZ80Oac4kMYvrz
ANw5/cWR26EN9XujyBNPC44r6bW+4tdxKjRX2I9xVsp5jTmUdo5KAF87y2AGWtxOtHRLzmmlILWz
iPeoNolaMTq60CicU9jN5VUbTDIQQ7fSJglskBEKwqiGZ34DRDq+zc1tI1mfsymVnwIKChNs1Xzk
N1tADgCeejvORmvCbhGv4kVdLxuKLc8LrUYvAx/SinRQFeC91TRqe7PwYhhY7xJgpICpjzbcInmf
DGhUvXilAdaYYgkR5P9L6BHteDUhsaz9YLYY0AEgzTUbbMTqVtaogNbNwURoC86RhD6CmY2sAXu5
AX1xsOX9sYp2aTJNMXYbumdS0ffe7zaPHAT0fm6komvjDuOIShs0cJ1mVb683bkf8TVAt0HNg5OK
/Uo+3Q5f764bkhz56Xxq8vxeRzaDW/QgmkSK04ybagQA8r3WqP2tXo0qbf1nfaUOa4i21gnHludY
ciyl0x1/8qi5s7WXEx5JyrfGiHV+MIboIfNc0DO1LI3XGyBAL+4oSLh3W6h8NmbSREgeOHiHHVaE
qCk54BiJGzs6aY0oqL2mE4+Ku2KrcBxRCMnv6s1MDvGE9FjuDYELar48sZdx5ft5fCaRo1cOUPiK
sqtdhOD88O//838ZJfIdoSY3YFdWh6Bg19S2dIHMmhB1ibnZzhz7JhKO1hZJSxJlNSwYAw0QKAj7
ksEDRdESr0QAUorHqz6yMxH9HZTcNV/HcD//R/iizCa0YjOIJncseAlk93KamAaIeVD50yeCoLyC
R1aqo+FkiWm5o+hIUfm+Jh6vhmaUDGiWc2G6eMvE43CGKdEKM/BTLeEap+7do8yCiaQpvqjpk46N
GZfsBYnCI5j3g/vD4LDvq8XEJpclxIdyy9ICIw5LyErax0V5fmAEGM7wtXjBdDRqGcgqpHgwciNG
hyZZmCkX7U7K3qnY21r5c1/FWFmK4C6yePAPAzdoS3VfmwWPwKUh4VJmwO5VihcN9VaMgo3kn+i4
bvKobbsrDLuvQGWaEmYFDqM08IjrqoZi/bzXah6tF0BFAlrHFxCkWf+grfUjUA5PnGAPIozpMF7i
aAw/jvQI2tw4Ku1/As6vl2Sxsr4cy+5J+31iSdeZUNXfECdoQZaYBB9YeKouOlm8leANclLYtVIa
GiMbP1IR7bA+XGAo5/YV6WKlmBN0DjVrLfg1W7B34ox3FeV7EbK60yurU3nOJ5T4LZViKeosWLbp
mpm0fhAnq3M4skuhViPdu/tRE7Q6kjADmqWQGt+5cHC5PcKmlXCFXYgk/IfimKuRfJhb9HTIOvUZ
oA+z5acdcZMBb0gwVrkl5e3g8MGc46Rl/XBhk+lSOnzmTfZOtGu0ZAASUcsz1vFLVlUwf04b5Yuw
XVFoXfo7XL2yO320Agf54P6aMII98oe4hzqUvkJuZgfRB0x5+ipugkZQz4G42v/YnSOA9B7IOYD3
GQbGGt2+KlHDsLGNSQqHiF5LYu3tMnWb5LcINuw4t8iaRbgl8efkKIPMmyh4ZHhpCR4xC5HHrZB5
3vQGqD7Mj4SiTC5Tkubsq0X7iiqxqoVXCezXk0Q+zsDCbW6CmLwV0PDyaClBpHkh8eTl/ta/06qI
zhwoF5ozzKHVVPDowS0KUuiuxbfs/xI0egz9vC5MfBp3YmTCUFUV80ozQervMc28Sq3Op7a8groe
zKjIizMKru5B18WSXoLi6Wj+AMO+Y64tWtfENHx7jut8LzfXHjOCcFPdDUapE5Ol28N1vpmgScVz
qoxFK0nO4GfBFoGKLgz18O4OUKY/A6TxylPXutw141RJ+T36wyA69cPokC0Dtegy6o6DDIH4lwvw
Jb/1j3NXVzIEWQ81tWdR3/FerwX5IjjvyjkTNS2C/N1cTvWZ7tI/g/ENXYTSIMdxYHTGCrL7dWYs
P8nOjaagJrLniE6Hfdus6037f4bN+5gJzahAvRIklF9/bJxv/mmiNVNz/Xt1fn1xgmGxJ5Sh8Xt1
4WSXGpVpxISJVoCJD5pHvt5e869LyvRHzPOY2waRcphFqtjL8i2pgkNrKjD02kN1fPnfGvY8eIXi
lo3mJ7iU7wIi4LC57AzeCQjwGNLea0mMN4TMN6kG9U2/N3+l4fAcyYvpXR/IHJB6s53iPRTHB6/l
zcpRxNWJEgKU3gVAGR+HLqqQ27eXi5TI88BgE69RldMuHIyFRwhiMctAGH97kyXTP2jbDg/iPtxw
mNODvf6MSrN3cK1UB5NMRqNXls3Zaro91LFVANrSbZW478MMq4qMYLllmGniGZ24drJ8HUopGXXx
3ksVZ/tlkNm7/UVFDCAeQfK1PXwsV5IX45lfTqzSs2NDb5E4yZdjFSBIZUTUmzfO+UKXhdIXJ9pJ
W2e2QAXR4qVtApENjZrdMHIGJ/WyM10m4exPPcKULeBuQvS2S6ruoolgfYMKARV7NaDAycucsWLI
nKeUIdM9QDHIZc9A/RyeHhAMFKNpXpMdETAWetwOA2aIfRpDZZDhqYfl4roRgyjGHCsc3fRM99WX
f5jt9mBnNYM5t1fopSqF22T+Y6jmwldbGGKz37bL6uSeo6PkfMHkqcWMv9ZM9NbtMPhOswWllU/D
wNSMqQm1mR4k6nvJCWkq2NgyBJys80oZqzQJFM2k8MnHvD7YHNPe+UIVjr7GwI34G/T/1WK/vggI
4pKFCBDcPv/NaTUuvpRjSLbMfId84oKsU57Al0dFmm/wTWSGeaQfkc30xUUTCncgL+x8EjqW/W2j
csIMkeUDrtkuD7WNUm7lBsuhIU8Eae4I/L2ycF5TTFEMX8v8ke2WEsB4ZZMJPrfoiewz2AAAWrFL
Ke6TY/15kGmxDwhisi+vC/vytkqRrQC5OthD3t7bYgbo1U20C2XW3sbiFUjOWgFYWkWdjYFrONhh
80zeIxt4Vd8FlwS6b8zmmnT4Kvb4cXCrg2oaET+Xikr/t7jOvcS1FNur/Tndw+0BsFuKt0Y/9Q9s
aSM84O8GuqPkFmBck06UO9mX3oU+Vlt4RIFkZxRSHIH/tWkBLPcpg6CIxGKyg0LIQeeCs/Ju00aI
YwXI2gAFnpgzpVJJdqZD3a3xqxBST77+2XeI2u6pBgRAHBswPjzRpQTzWLDdymgcD+kO33HGDcNc
fzkk17cY0E+EhibptUdXMaIyt9rmtLhGTI6qk4zDcoqHQ8QBX9S18139IdPgRR7ISubVG3AiTi6S
MLbXTixfWdni5Ub776cFSOx4Uy6WtBmjis9puvVm0JUAPehSOkFVQ0w7iMm/SYGNpRs38apptA7y
vPi8NeNjkRPg7rcdIWUnvq4uVLvwcUcHfuRe+Sj4iczj/Y6T7EV90G6MI0dB7bxmvqPxZL9HO2CM
FVMrjulApj6Rup2G8+GcPFEmGfBCidimbMjJ3gsxglEzcKZhX5jEOJxqlPKhkNbO/EtPpcp8pFkS
Qf3PEBBBOfL5zFX5bkuRIEOrp1KwFnoUG+sKH2vJZuxLKes5vbuVIDb86bP9Nm0m9K878iRgdCSZ
yWX1wpvx4KYPjbNItx7qgYhqLKiFHp+g7Knw9NrBh/dVEurSHi2gOmZj5D8j1MpN9vwReQl8W4XK
70J0aBdftnJ3D+G5DoGblDIxbGl2oYxIpp5ze6q0aCa0hKtLR4Q7xuYqtCrg0UWVNbrHtsjvo9xC
STV8II019jq+q5cZ2KKgH9f280OVRLquT+ntOCzDI679kBzt8+1mclK7MDxdVb9PJ5K6n/97zC+y
Bh7ODXugfhV82doePIKBJJ65mw8oTSUbNLvUNJir/myyOAHKHwRco/dsAZznQtev4dBop0SvTWJA
y5YXQjCQTNWd7DNpt+Q0iLDFci4FPxSkVhcE+4uT9o1DbX+m5RKMlbO3aS+oZMGNoJfwOIetZ0r8
VBSS4i5ow7+EUIabmx48VLIdAdSbXvr6v9Ecmp9hFop3fPtn/HZNI16h5ZWjGyGvYrKkHjcRr57o
APyPwhOSpMpopGzuin801qMo2D9zNdQMVoVtNcknpOhqC2nzPKMSAPcsbomp0qPHf11D682XhJpY
ktGdaCYCrq4hPL2iXJWNaCjCDqqwGfqAEp7Pm9WIZ0Yv0wvAJJ0RWzKy0eI83alTsTVAdBfoP2On
6Tql0k3KZrBPqHiE8N312tE41AYfepsJ2doOmGzI28DsgCIgDE0iCYLPjE5JtSh28V84nhfRsGk0
OcdL52Q5ogfspsQqLXQw2voudjfcs7nVJCKCwglDwNS0vRSCmY8Ic4YWWgm+TynGNNgN+/+oDXqH
7GH/RkRlI+lRQX/7KYVP7V2usw2+EZ6r3cpRtkHfBU1Dg2Drh4mNCa6obfT0QLNlOHX/R3pxcV6P
dOdZkoIgi+wCT55OIWsncB/fJD7IgR+hNcVXcl9MfjI5DHJrxYCgDUaJomgRsdm5OJsxqz38uYT6
zUlB7cHtDL7gkK6Xny6BNpp7whzUCiXpA7iWCiZElGLgAkRxKFwQ9vJsGbFAgaHTu97ub+jJu31n
A2HGDb8nBd49l6V89Ecws1XKr4k/G+bqheQ0JhvsJNZX+/3Db2lyXeBc9TIqRhpEChszvep+W9Fo
8joG6v/R0xkW9Z+wsdQLveQj8wDL+JUauveUmzg4FufjUNqcD48zCZqxt/SYvkaBF0hVcRE0keFV
yJ7odqG4HOnIXnVWMSdnMfL2++4RxBuVx8ApUcyPPP/R1q4D6rJlxrDdzLKMB2Aetk4u8k6MTTnP
J89FuAByZThY9BmM8A2agjewm6OBJMZA0hr84cieweVZtxG1iHgg5nICcmHZv4HKti0EmQ+CNODS
N7NbryhEsRlxJXwigv4dwYZ/s/WzJ11fcK548lbnhzP07NV6PsTZi9WT9CuntiM3MsDUc3Zv37Oy
A0ZJTsLfZl4Uln7Uafwp1/c3oQCUGDh67m0uR/8/jBpt4MuLGJbKFYbXZyi2Eotj2H2Deq91RkuE
54PD6UWV0+DxNa1QTjyVCLkCi4mEMmKhexMZ1G4HpQs7fpiwlO4XWRV8FIAL62nUGYyZCWc5PriT
t/x0Md9y9Fkl+Xa9G4aNIp+z87WGKkY2S3nx2+dRKWxfIGk292UmJpunMV9u6Bp5k7YJifpn9pu3
3dfO+ET/zdNbrrwOieEo7LKl1jo7YMNfG8wQMyIgLFYTotzhPqZb0GVEDoXjIFadIWKY+cQpoPWt
cocFJOB8MXTT7jBKgz2IafD2my96dryvC7aNDbrh19fYWaVxahYtGQwGdpOdkV0N6rYVCeS936Yq
raGcmzv17km3DE1XR9I0aAbBEZJqRdB8VYkBKw7/fQ/ok6i0Iv/CiXI0vQdOx57SjS8/M/Dy2vsm
ZPCPfgH8bSW0P/mtoJmzDUyNtOPgp282Y8NNAKr96L7EgOa4b0vIxeMqg9SqhPr8QBV2WsFxfojf
BnH5TcpQA/JoHeMGUrdSgSkmWJa1YwR8h6vrRxvSlCTviQlsFcELAl3SrQjY0g7AoZ431KgfbhaH
yJTb2QQFt1/x01dJ052CEhgYISplHy1yy+TGQoA+vLI2Pd6uUC8LrDHp0f6mZdeCGCfmHT8HhF7g
Bn1EoiH1nSPYvVjbyAWXyxubAEn6Wb4OXuB4UzJb8yqRQ7a7O0O2PbP2Y3YpD6uCpx4MaIM6FF0d
w/MamEx/MHw/9qu0OtixrbIzwRMnzRxi4JEjO72O+fUvE6ih0hIhpmTYQfeOoRUNf/XqQ/Jh+Gx1
PlWvAwOehbqQgFV59k/AzB/zHSKc9RGKUUbNQRGwP2XJL89EI/5yyHbR9osZrlgd8hq4BzRBBsiO
zKkvnAxJRTxv+MYdYQmzlE0qpmZa+eyobqGbpyXevXUhL+rVDgIEzUel8QEUZfPvKF8EtBcBXnt1
RMn/YUpbzLFHEPaFLMgv4d/0XJDhAQw91bmeg1D5tJdGoYbKlIxcRSJ8RSXCqc7vfCwpvjmPqQcZ
q5NnNk3IvtH++xU2hNT6MPp3i8Up/ylgW72EoODlWZiLaBDCFW8evshV0lXBXRpHsLSlY5rokvbH
HHWMce15/bRZCGMwePWHXuxTWIP1yFYGcaChmMUv5ZLpPhjWb6R7lAbGZDUkSi7Fbe7B/Emo2PK6
bQEa+Jz2Np93pBuW2kbWz2IGBc0MLrOnVj3n6d/22vamFq2msd2f/jUCP+xxyFuiaxIEp25GgxFe
Bxzhf8w8zJDAK8iuwUkPfmyVr3gISb0mTBmVy3qWUEkjFzqurQXzXLt1LoNiOmA1uzu2HKJQZ0Z+
yO07GQsFyBav2Z4ChI0BKHk6+p/sX11xtTdFrYAcw6xisajCMwCOl5j6QExBw8YiwV5hW/sCli5F
mNlbH3v1EapwTw4pBpL4ab0QsL6mY2iN/UZXpK3H9IDYlSd2giusErbGz1Wzz4sj6+RNrM9BrmAc
wVQiD55FsEPojfqtsj5QtSPhwwH+QnddNOIsMqqOsA/u4hA7Hn9Xhb+heGRavdMzJWTYKHsjCizo
/QzxnfJPWHp7DmD/RLPLCjrBNuSwdxs7hhFMub5nC9M4GSPU4OvHMoy91cbkCeOCQhTf8/goSiR9
8WiqWxLBhxSgpjiL4MRklLNO9yrnz/ne3kbr9eSkB6HZvvHS3msNcfSvTP3Q2iMqbSgViX1FMst6
zlGz7cC74Ba6/RpHgKkztdcDC100c9vIQRt4+RNlnRRaXQorTA6FUe8YzpV9F1RjGH+oUq+uYVWP
NCUUAv9e+mDZZw6A/bzVR4Id94vykrFWabbbpdSZmBRik1JNvqdeBxAr9JC1KNDYkUSAhVhr8Y/w
CNPpbMF3QVRGLlMj7P/Xx36a9WbCgQWL6EA1Q/PS0wZZeyyFheie+HxmpvX2ZmuZeponad9Fw3FL
2NQWyUdbdg9T12BM40FC+fOZVMl7Gh9s4NjlTkPBtLg1tZdiywiIe4frXD9el8rqTHy4CRjqiFDy
HW5pvcn/Pk9Mi+zv0h56ymZLM4MT4gGiu1OhEmNs66BqFmmuL6KIBUSbAhPcDX0eYxCWlq/0oMmK
LeZfTmr9GRghWJIKO5DKhu86ZH/mM5vucOOlBHJ9ez9wxWhNqEILfydcBJmHc+lu3dloOu+qiA9P
+HIw7BdYf0LZRQcP9jYF+FgxkeXdNvZLoML4FH031KGanqMAzAOBd1thEFI0rOJyBpGXjrk5uNJy
tl73JPSEJ9zRSkhFv6S1BRvXR8goK4eTlaj2kV8OO/aQonFrH/ltBkW3kstK3nX/MfKwI+yRDS3Q
8CXb1rYMewgw8xsahL6gktvpKvoRnRv7N6S9148fiYDQw11ljmPnabvFG1xdD4s0Z2gL9yINxOQe
+p3/erEsVf+5wT9Ae/ulro6rCdbxi7KsLqcoW+SwoVg82DlUmAhA2y61yK7X/fgF6rSoXtarC5Ir
1VDEsbtYYsbMhoUvmx4eEHxanic82xGFagPSN0MCUHRA44u+Yvh8Vl6W+p89L9mEp06boyA0l5lM
HVaDlx1Z3rq7oTLH3HlXhR1nc722KgvI09R9lV0Br2I2TMDVTax2qKZQbWFaCKXfZpM9n/TEsvAt
4TRoAf6e/OsDWtNg9kQ3bDwaBEBeH/hd8QTmPE9Dpb7b/0espkb8j1H+c+tBuUAkDm7w3WPDRu/b
gkBqCepernwdcvOit2YBdvbjXNGrooWbpY4J00gbXMwxlgIi8hoZnfBBNcLdF7LGs0umPSdpEjms
E7K7Jo0/TLStBxYDACjvnB4jkSiGsShf0lTHAxcls6IyM/a0PFphgBgXa6R0WxabxKVJt0QnYEGa
tkAtSa6ePOEpwRA01zLu9i+lkQ+SHdBDfMba1BRxCX3zLA0O4FBfpFgeApLGIM72UwLbtVU31AZi
99Cyw5WAX6k9ngKRtFUKsQVbY0VzDIRt+d7M/+ZunD7a48tDnvcFLD/KHMVuwuXhOzyHLwN0CqSH
K+q/guXtBWiyIZKrQ0VsmcsgTqEzSGa5U4FLNugGsDwPOIN4P48hHRYYnnq8gn6T5r0IFhl5Na5u
UnZx2G8Z0GylWqcmDRUO+y6Yj/KVbqVH8gzrNNy+oswZwpK+jy/aiLl1wtceUjUClKalmLaILk7T
KXVKZgRZwBjplXr8L35YLIZrLZgtNwag2tCxcoSSftObB5a2kBsJHZg2UA4hRrQIp7pEvU1yS2Q5
iVKMwZP+ZoFo9oPpdxMTizX658K30yPdu2Tc3HcKGJwBeIFakNQJVddJ6Gpevv4UF0PSn9PEjt+L
kMFnn7gJFYOHvGpcLB9EcM4jmRJ8d7Doi17u0afJ2RtTx4KEQnN9DQdbQKH0LJnRF54wwUuQlVxn
IsqzROyQE5M6K7QBCv70d8S5FPZapDphv8611zNzkwLC8ZQz4qHW/1cDCPZhFu2Yhyy1t77wzuCx
dQySe3om8fPuOJMaAiHar2tiPq2S2flXk07Ir3S5tL7K0sYHXQXcOwQ5H0f71RjJqcqOey26f+v7
WWrkibr4bHkWl5JlpqCQFP1rlFXXs6pTGw5wbisiDU+aF6t8YZtx8zr3OhfvsAnj6DF+tHJWMAk0
5Rh4jFB+b36QbG6yDqUUG0uGq46fWeZdK/xhaB9ibm6AlO1+Dch1S/IS7N1stVbkLqzPEF8K1qDL
eYWM0eUWOqfHOcWLeVzYXtUjN+lRspNxRd/5DXQ9HakQu0FSiSlgAm5e6CWRMdhW/wDxnCsbOiO/
VeiEzilMlMFFC8Jc9QbY6l3d/rs8yZcQd9vKWNBik2dmNcfrHsOAAUfDKzamS6x1k7lbKZOfwFUl
4+W2UGleNMCmFcPocxNEfSH0qyKlOdOeSeVHNuUOgnLdFCUO3zlBhZTZv/qeq0Q6YzFNUg33bu3+
yPH8ugryXDGZ/qhm4QZJDFMuLCkl+dNgj24Q9O2sxohb2XR9og+HKKxCHN7aBTbZ9W3Qb1Ga1REk
7n/YAAxbFdKW33zE2llUh7ZZiX8P0d5i11LjCcE/moQo7xnX9SyuFPZZXweD0xTLIoVv9UFNcxu/
DWzLlkyaT3vX98dxD2uHbhT0Wju8jEeHnffh2ah5vr6A5tv14Enu3hlSDEp99NkNCMDism3fXdUN
B4bzA1qAG04CQHgpD8cf+u7LUHryDxyZntGwWIy4rC+xi+VXIzfkgqdxIYlXT+UwjFENHn6lHzxw
ETPK1ny0HXCpvNdM1b1mgmF7nEfwdMiqrxNStWG6+SgKB+O7K2kfufcHUs9LQT5mm0gPhH3wNRyt
bFvlGU6ZFhT9Ldy+utJJu5DYCTmc76VWdynZUXj+FbWpR3LhnqRr/LwVoHRqHxjf5hrlfX40TxM0
QIGQ7k1lBvn+tNrE3MIw5HpAky/mkB7oSP6VGs+Mvk90+7QQ7u1NQE08pShb7/ai4PzoTu8M9OHg
Kf1N2K9xzSw/VvL5oCRLfu2b7ti7LFyAmHwNXKIAqjL/aDZ6SmwbTefTOv8G9QwWodpft0qK6PQ/
t8HEajRrsiwaVdEnAGw4cIhI8IVwO0mmzcn+REKOU5FBmn7cP9II1oOKaJCDRqNvWJ2YUr7InWN1
inQc/JuJG6g6lkDIQnCxgCkBefSNMtjfWHKAPfIc+7YmgBaKaoYAAXy8qV2HU68EstiMQO788NiF
cajxnDmeyHE9kezgrSNyBHyzY14OKrviIiKCK7lKp3e6wWB3lS24Wl8qoCa89hDIZg6uhekz4tHI
41l7ilZA4b5z8n3F5bIcw8gRTouAC6qF+4+RmDZN8X/i47GWTqS3hr5bj9Mx92GwiuGSTVE2GZlu
BsATIyFx5Su0PAtCnKa39BR8dzaLcqfuVyTAH2NGWBBT8UYWT+sUl2DU4e5L03qdr8Fg/D8RqqaI
WN8pceqWLPj9ilTXziY+7/O/q7zpnziY/Ted2LzfRqeJYhGVdKlyXOy+wCWwCXVVcjACHJESQeHM
nHzJNXgzs1Or9mKiySugzj0NWTkSsfZKWqHB0T5txyAJPtQ3MaCGbXFMfsKwedFlltcs7kpN28bX
2tj+uwJjdqpbTFUB/z7e1SDmy8Ma+RokR3aRAuze1ALUXKW6i8j46KNGM/oL9bHj/HFjavhRgHbD
254jIFqh46j5k4NOBUS5xqQ2/lSEvWPtUmAmCDbD2Db9elzfJyZYSWSgYblm2v3KgWOKckQmD31X
oWLwUoMPWm2CwKZO+GnQWKA5L+r9HK6ORaPXf4a1PfaaWx96VMvLzLBQZEOZz/3OQimJYR2MO2S8
yWs0I1vlFLCyRJ21qYANyg0OE3lexWx50RhecSNZb2WjMwLmV7nQs+Y7b8eEqqeMkvkNrah/azM1
VwreFOMScuKOlqUrvKEkbirdQMcEjJvW+KEcMNKyzQQ1PlrHGHdry1OMdOfdodroRogEY7lYPcf2
AMScyMX4l7TD/N3NzQoBXVNqValKDQhTGu1SrTGdtCP7QkznF6wBLuj08a4AkgEwItVorpcx1CVN
qg8kaVI3Rj10jRusHguBPEIl0xpRZU2ailK7CExlz3ASWpTw1JYmRXpZgxvBEsjmCNHvaLDQYB9V
ZBxtQ7S1d7jGk1VmFEhhamiI1Y7zcnsY68xtb+EdQm1l/WV3AEzlB2HNQx3UZQAgh6HQxj4ZR/A5
hbATVO71r/0oApE6ekz2EfsBFr4zjaBZnJHzJLv8Q90Ab3ohiE7OGo800s97v02vyAAjloe8RDh0
GkElfUxrR1wa52aALOPadKgKnolGBKMxgAHAiccUZAf8iRBuwyj8idUvn8ifWR11uy/9qPN19HIj
Z8wYQ2LWpFQbnBKJf5O5UgTScIGSB4bqC3pdNWbI5v9PzrSUj5hJBzZjmWWu5a/KRmAK6gK0rO5u
QJrFDHZWgqgxPvHnzXoEz6ZerLuOhaD+8Qqm1dDySw3Nr1kYFkrOoPeQK5P5e3E9gNGPs08L5qXN
08JcnihBSHWR1U684bT8bCN9k1BpDeoVgd/XarWnjTd5t6oD3OTCkHsYdf0g/ZEGuOLboZqv9usf
D/vH++suHZ9gU9kw7PGo9ggmK/Vli/smlrYSSMz4uwoyC/ZegDyOO+GE70OzFk0Wox2GhGfFR3ot
Qd/VtVBCD8Xy+Z8PMhpRgWGLvuC1FW4AFu7jMK171Bd5vjwsH90QngKto47A9zE44WjyuH9gmlMt
pJmUuXzEtTX7E3QqTvDFOTulztNaTdXdb0vEPlZ+ws5TCiG5vgLBdyp8w/BSmxUllHuOZM+BIOX5
nEMCcqu+F88MzmZyEKJPONjnDrf7JRAHaEuc2zrCgDA9fFo1fGre11VuCZbPQf04hVggfYMMKV6z
1gjybmuZcwXHmfH0mDaclwBufr8o89iD5/kpLVbEbf74IJYCw2q8Ld1T24Lmg6jf+abg+BzxPXSJ
+432ooj7ttzeOY7IXawVxszZl31V3iBPNCxBTmJWNWhq2jAaSGFvWVX/3MNIU1FT8Q5VTL7J5CuX
y+t/6jTVN+bqS/IVlXBIb+d4QTx3c3h6fkLEbMnjR4JJRCUEQwBAG29ehfa7VSw6pyXx2p9cEG96
V63JgnVCFVVwxYBryTWyuqMdaoyaKa4XRSLLsn43s42yeXkFzmdivf2Hx1VAhMgLEgjLXflAKWep
tKsmHOKveBM3fl4nRijxUzQhgJGfzXMR7KCz6MS16PBtIKMPDwNeIlbWlT/rEAby8vwY2Xx7ejG0
lEWthjaseA/CP0kf/FtW/69/JYuRIPzDapRzhSeAZm9n6BRpxHKs0PDpQQz6sJG2l15IPbaTqJDy
IDsTVlExUu9hqejZ93HZa0P+SjXDN7VJXmD3LFaLwLsEFJ4eFP6U1/Y8is8p7tz7+ffOwswHcn2N
czQzZoRFhSzBrgMyC9eN597u85QShq0HvJYV14EZiy/2KWFfFrdgVjGKzMsSNJ4g9wZaHsuIlNeV
o4j7jkLZAYGXrc1sscjwKbQBSYF7Kv7RSw2kL20cD61nJBgOn1TEn9M6bFqABEQZr5sMXYXolKPi
7YOyhTyPG+PaVstavgAVmN1ElLwvmIbvYnokWhf1CWy4ttrLdrHbO+nP9bZDhX3xE2Or1HhlnHWQ
sPvTmw0/vCX0aaaPF+IvA/iK4C6Q3Om+euwZ1CtB7NL4IX1hMOv4Iy0dwCmdH76rj8MsyOvFzC3b
15GtXRGAbQefaHTwwBVrBHeQJQAdMtBEYdUs27ed/YA8mJ8k/unR94fEWghjlMJJn2AzwYLh383g
bK2YZgpDJasZ107HU1wweTfrL/5GFJGxvF1/D4QiRkOfR/F5dBsDug9KlFyPqHod8mZ/eA18yg2b
yrRDUm7WFkIygrzZzttY0qIJvemHl7z+DJpWA4ws2NmYZkdFpyJFSFg5vhJTgu8+7CxTXbtHOsi6
tOHPtP8Zy/n6Zu98Rk9C2apsuh5RvJqVAi8xOihmogewF/RzaVCsHLWZpVky8lul26S1eb1je7Bn
QOzUgPzIKVs4zaWqxvMUwX3GWhMaaep0mSKld2HzhhQvRJmvYtyPu7d9V6K62G/7AHMVy0OAKc/v
P3uiOJnaS6Sy1trOdrdrnmY242Wju8l6QpcipS7ho7/a5ZOGs8Csz+PGsk2CA203Dm4nNCFc5V9a
Sx0Vog+cvKga24GcTnVZb5c6WmyUjWmpT+W6nVTH6haunwrv/uNPJLGjOqzxAy293wQEXIcCJc6D
I+Bkoj5uRE7X+BS81TL/QtgEPhGcfRA54ZQaW8n2qvhObFhJdZRxgX1AUSySI4gSkRqlw1aWsy+j
uoswsaJqtg4ZdUNHlgRjFi8UQFNXtw656VUYQ1Ld9SdR4qJmQGZ6xAmnW+WboNn/SkkaBeUwSOpp
MG7sKQfI1O1XrHh9nQz6Xq647seDIzQEzvNCrGuUWBgwirAxgHooRHEHAdIS8kOwZmicSVXUkTcL
RxQRbxkCasSdcDKVMNO1qgIolxpX+7vGRwaODfS2JEUUFUO5h5YZJKMMp8341+q/zgQcXg8AU3BX
eemRNFRPOgz1k8uWkEfvVod88iFX133IbXkc6xqWQh3MiIXRGxiFNQ1xEMsmT9+jWQZueirGn8ZD
JQancu5qC4+QefDVfoTtk7T6S+76eMI1v3MyKCaIfv30Fi9/NQdxJGxjGMAL7YAz0L1soMUQhnCL
v29B0JC1lImmEb63RsiMBPY6wTdHbhcOU8D5um5vkNbqoqjN2Uwo8YWM9mRLBBQ58ORqrlmtvC+C
DJvwdwM3hkqSQlHe7SVXWBU1Iro6xuYsA1YOPp2/HI6I0IV+r3+vWN7tsprH8RZIKXS/LtLqj5xh
w6EF2qu7pASi2AO4mw2wlopEBrIf/A4AHWi50gWuBXdqHORwlN6U7PrzYX7z3T9e7RwWKFlYrMZN
BquUG4P1b7Nhd6iXdRUQecafm87V/UCD+6ONR/1sg8wcBSpkSgZDf+SyuoPo0ilVR/Y09c4mm259
NAjXCyzDlK/yWqr2X78EK9jf4TmCyDm4qunj56IUBYWBWV5GQUie8uN3C3+21dAirPx9hUFIwy1U
gF+6Jd8kH6C+n7/G6qqgHO8n4ZOgQILVhIVunX7TREgvqqIHTlA1G7wElN2U9WENCd10Oeg08kK3
TnguLUpQhNwyIZ8UDp+49/J6XuY8bPN5GmcvQ9eW1IMYGNtMIrdrVowqFHCtWaFoUOWsH1EZZyF7
g2vMajPJTsovt2LKFst7J+5Sta5KqR6QsLFPwPx0hJFVDwIQbpSphkrh1lYazjkK2ce9i8zcd7xf
XNMXSFzrlWAHrvqJo5SqbN06MDmatffbOVTCuZeKBue51QKf3ef02GWOY9uZKTixk3mX9hnPnEyG
qUGTO/yUEYBD1fBQYpf2On8Sr6uhKyVkmwXLA24AuLLpFFt0SYlbGJ+zZbyAfz03Co4pL8DSB87N
6LTvFzgyQ/txx2Tz69QVVC4mGwfJn7+iLyTZ76qAI9JeTpjW1DZjrmyEkoWiFcENzrvS4oL0z06Z
dE55dq4g8dhVsDnLtZ+eEVCDb2zOA/3U80oDsR8CBX9/buazDQAz9j7/LF5hrrapyBKGz5KZTTcZ
w+vdAUNfmmpHsVxBnTaCuy800mT6PmkKYIGtLUvYq1tF2usgRfXt1USNI2klcelb3zGFJ8G72CUw
VVQhU1cHv/OHSYyfCpRqa/cD7EThWbxXmNcEtKNnMRm1SdRdlQhbscD7+saslohg67o4ng+QAXS9
o/M/GaLjHm3qcfAAE3FUv3WQzdQ+miOrM2yW2BgAF4+0r5cpPXqj9M++LWlBPjms83FfrRokxk43
gfmQ+wk2HcKSjdlilgVZgL63zGeiibdfnEN4mXqnnNnR8qo5rDnRqyW2KVWlqUFKm7xyAP2R4p/k
/YnzE/zmptsa5WQ0wawt/XQIjdwx7RY5SCOz/JVPW4fzufVoJzognI2o8F+Rxm083fid8hHcwR04
kc96qJTFhQFZvRrQK9BNney6S3S1AIPguzOwy9ME8IfqmP6CpZD/Gj2dW2HsVUgK+T6BxbaNziBG
hwjoHaAMVw0BhjxgHeC7aEfncb8pzV0y++vwi2f06zB8mUtxoeQsmCFNYFJU3JtSHzGk7GOnw8rd
L9jm/SZ5TpSdnbRu7+UqCqZjZupkiB88R4InixmvoEYzUCb6B9i74lQIyzEfvTokogBdQJZn8l8Q
SWK33ail/N+2L2kuHIIAXGti3nGDtxUE4ecSZL7axMRHoAXtj1yeQo/6gsP9+ysJ2oRn2c6d3ztL
1XnM4sVh/83uOMieYgm4+ReP0E24Dk0yE4uhiykLJXalt+l5YY86wiHQUUxHXD0X5hdXBWuZ9KqA
4XZrkP3bS78OH7Eq3x5QFg2Ugna2IMNXoO+uQtqxIiQG5XG6pCgZSAKBthX+3B7GtH+nPPXxWb54
Q2YogmdlvZJcZxG19ee2vJgCw6SZmTPCBurFlbqLw7TYCBQxaFtqK0jVOxWIx9n8u1dHIX228HG9
2aHG8PC9B6d8M6NM2NE2GaQw/vbf1NWdjvKuNBDhOMDNHiTkM4Q11o/60knXK4jJdVgjC7eRpO5p
TA35WJYHxyMPYbKEikO1L2mfn23s4NyG4XiowhQX8M5f5iSNxBPOZ1xgi+fCwn7qZB6xzvYaV6Da
OF6fIqDXlQgNrUiCTcVcBM6Z/PyZOMTVUl/+4+rBAqgs+eY1zhTpMBsKBJJ8UgZoTpUeBjuGf0B6
xdDBWQr/P78QsnAK5bzBWtRJD1jT/TF+n/soBeWREDJqtYxXbU/AT/HNlFSGKG50Tuf6QTtc4h3/
26FDeMYD0ynNNv92FLguN+Pbwl8sQDDWcWTVmM3E2mUSCCeUZGN/CeGl92UzVgCvRik4GtrVVtvN
PIZtp58uhlYNA3UdJ0nwxWW9C8lSYLwyMDPPXjXpoWKzRFUhLYlU3/Ls4bMOjraOegpPmpj+H32T
YGpNifX5JZ1PnGFyuhnBKAsWVBuO6HU8ChOYcQdenjei5qajhmaHe+1Nw+fMtp3EZiXMSZt5wq86
Kdf+p3pQHD49XJ1RAV1hl0rBQpKbxuDr2IIrBwqd6pN5u2aBPdtzgHx/KqErEllwDpB/ks0BPIsV
FFppagiXDoq/VU7skElR+tSiCNkj7JBf5oggzUBkra4zlOhDtyd5YwzEbmz6QmR5MnRbSEuZumIC
WuTtZycU+sgpPyEEsCrTw6dNFZoxZh7+Ckn8fA1T0yfXFS4SueuZ79fn+2ksFRPf9drAt20D9oRn
GVh1E2yl1Bc1r3xQR28GqxlN32Dg8qEOFp3eWC+Pu0HGDbMDSryknXIOQLjqgYIKqc1+0bFyCTVu
Xg0cEhH+GEQYPMwft6mlziEoq+9Sb5SZI2JkfS/0ulbT5xKJY+YC5ZeXFsekbULpNIzZ5lCC+CV/
cStw0rephuMG+n62mrRmz4Bt/SgZgSjijkzNp5JpCNqUSmWDqHFSPDQgn37CCWpN6/fM4TPw77bP
9MZdrVjkH1bhpH1VoQ8QNQlODabIZGi5rXpfZ/nKXGAs9HMlNAjHGnIyFNd2tuh/NIdREoprYJyL
guqhNNUroqiQR8tKmJow3DF2rtSNQQchqvuduSOMFZTMexAn77NGFR9w9+gcRJZNXudAUcB364qJ
nLyy1lhaLiodVr2JqMHgYiitv+DgjeI65D+lezhfuQ2nTvOMDhFAWMwEgoK2OB7jK58Wyjrpi9Y4
Vj+dJ2ywXVSVhGNsMsksr8XQF2E89QvZ9XNQvW6oop/D0iLVbbAf3eDh7j1dHJJuR403P/+wlJSl
uY56a6Ga52I8a7VfKg/KWKo0HPK1w+Ocf1NUR880VWPul6IAVkw7CO3kPDYoe2QrzovvQM3Hm9Xh
BDaYQ25q7KB5MUzfmU5O7fi7Hb/KTyKkWJ6/pnwlrFL+uxH5Vs/i1zLeKCLgl7UGuX9qx1UwwFk4
feNTTBsgBEMpsD5TSocWNltWJtfxUZLzJlhE08Uuz/RXUdyiqQqjFj1jcQHSANzKFkOyswltzmhH
uOnLM9LQutFfDNxAZ/P1A94waKgO4Pdj1tiKO+56/dvyHGd83l3psxmMwXeuFnvnRm8+WjKyK/sA
nlsNKavE4lrOgWZcggvjvSIwLaX+9vwuStDgtXAt4TnC4L+5mkgtxJSBDD4vqlvWIC4r8M9n/Fic
ZBAb5UhAqYFYAJWWPa30GiH3iInCGa1G1FgLVo/2CVpZcGrOp+bpxv9+3fQcbfKDurV+IrwJD9X3
jPzIW97uUaymBZU35oyB6BldsJ0+8NO6oohEkYVx9TGgqs1qNLOyNbZOoT/lxICYcUY37d8jf3PG
o9TUMVv+VfNSVjTrRnHe008HHfdCQhoswyyuyOei7uDwWcTeshs2qhWEmWZV2R+2G1IHk0cHjj4o
8satnaVpkuokFSalW4G++KnRWotxSXgnTSijGAOdpy3kX/rmlGLKzbbp5jRlNOJezYNx76Jo1W2/
PzXDdnMVl2T4ZieInni3ixWcysGnAvJxl/2uMUumAaE3Hakx/oTNjPvOuUqOBxfeingR5GEglX83
1/Z1bW7PRpPpGMMsH70L7PjgP4QMtlFKX8nzDX79lndwRUQfwdPnRpCYGIB2kLupyPBT4gSpPJwq
81p1ZYx1yE6gwnnaIwexZw06YNWn4DKMJP1Hr7CbQyJHuCs0NkTOJv1DrT5faAgj2oXKnV+l/c1a
EHr5iFTqqG+uw/1qyUhczq5dqZWUpyxtXSY2KA1Stp1klmVtGYCy27yA/LLwpqdSjHjysUEuYaBM
GahZxlVdpcNJ04FscmtTnGwtuyVpHIu8myCHk/6xOi5pMR0LUxDAqpWVd1Yj5zWFQ5bkVet/DygT
1xPwXYIi5A/nTkB9zNnM57gDEPdbEJIZF9rqcDHcGHjIM4ccQb79KpOtxD4QqaAhzwezzypfNpgH
M5mGBC9+jk8gp8nIq07a3RzBfzMN0EM4ds92GD+vzz0N2HiO7lI1uQJhZ87XukvrljC882C6Tn6n
FEAd22N/OT5KNP3to86BuJdDb3zpZGg9MX7qHBhJvarhl9rzD8MtakeUxOdpdN+8SmhM9gfRyM55
5XbDrAD5SbMi1j6sntLMUgyR/kIl+jHmHtHnJ2Ni5fXiHCLb6lJB9Yk4yzmSU2mmFAxYWuBXGA1Q
ZFfHKKX8KUQxwzmszKc5LUdnWYU2rFFKNpmoxoK2AZX8fNwJLlWUIWahlMYWYBpOL4CJsp7cgpTD
pga39YdR6bN9zpq6fRBjjnzeoPtUd9uimYP2ApLF+fdJfahufB5tj947vSZ3H56Yloits5Zd6g6l
kXZSi1o1rrHIZZFmM0QhFXmdRwZl2GJjfj8cwYLf/GPchJj873qflYL+ZM1eF9D7YX3L7mCw+rxm
WGDcWFL4N0Y5sgPH/GuclMZ1pSHUXITuEwwiesT229Y/s6ugE+Xb/3Kpsy0FpzCbjFT5lJqPQ7H8
wMnLT5HUrSpdVlprFoXdPxC52k4u8mUmthhkw+GehuQmrgl1CYoZXhEvPnC3vwduMDr/YTJAHVbX
Swi+104oQtm3VVesnc0n++k+GrI0uP3/UA+SLhmiUBoEky+xpGq26v6DoFStlWwNV5fIXJylPFYm
CtheCe8nDbkxK4CPT+H1T5YdxwGGhdFH0ojZdUkiQmtXY0Zb18HRYc4I+yyBbnllLwmgutHEzLlq
q5RAdvIm2MDh6dQoClLwFo6hv2dvmWBlNXZ3RVvcOM8R5hKzJglBocG+PFGr8P1IuGNENmd1XM89
NHG/HyDFtdWo3tmWQzEE4+DJ5Ty9FeZRzRu6aXmPcOQ91xRBjfmvOZzCOrSKIjeBXPoUySQ65NRF
saczjSTcaWahvy92BjzVmybOiuUhGKvwF3SI35hM/EENmNF801gV4vhrdp/X8FxPltyA4b/3ZMBg
WiIeoV1WBJd6vdUGLin/f1eAPWvZIsFDhK0+cvxgWIo0M9v9/VejjRtz/jVEeFJfe0AIOfyT/kDj
Hjv2BXeJ5o4EtnAJrNfN8YuFcVmyrt7DJFGWB2NeZO3fkopI4L8iWJOife6+VKIcs9XuqR6C6+ph
FRqu8g0DEcTLXRZJ43PBW/7dczf3TR2VxbkElT6n9uSERjdMBVqs5bzrNrFLaBjb+rkTvPQSqS71
EyVTiW37JbUv4rPjGta23kcBlrCA9x45u2q91YVfVKEpKo0LflrTOzYVlbmrmof3ZbgOPMgR0Kg/
mWII1IPnoX9b9oN6iaoPKL88IQAHeVYkggNdV3wORwmtAeAjK9Ny7GCyZZ+PEHDDU/Q5kte4FXJt
iyO+0/hVCXg4aGRIOTRSsO+1eGmXs/PNeY30S1qt2x+4DucW5B85mRqXh+kJ72OoIm4kvXvTlkbu
o7tMd+Zn2itkhcrMnlAB+KLi0K/K66xYa24BCbimHgjHKXzPqUsBIJYbiafT6Ue5hvMM6gURPYxp
fS7Ms9ihgvSvXDr4HmdpF4tvIAp8gLkyhghzH9B/l3Mym07PA94PGfBDli3za4VD8Z9IyWaMR8z7
1Ium5q+D+MzjXzIAYJCABWlsb0afIMc9kx2b6LAewQsBAgxAEYTwyP8BwyGQkzWtjpQ4rYt2krGq
FyRyJhvlLTAVjdDU2wOZRIWxgBz+5ql7RlE/JOnMCC/ZEebYAbxGFh1xN/teLzlL7SYejkKT3xep
sHJq4dZMinvAuLd+1TDQzPZiE5q7k1ZGsF/w/dxFTxQkJ1GG8uvRAhO5eVCQ5IJRl9k9hIiTb0zP
97qzc/yCM5TQKowcOV37oC8EDQPh7x014BV8RjtBbgFPVjl69wLf3v3zyogdOoEcuscAePC6ax2X
9x+plfUxZDpSei65luzWvijd6wy3Zwzy8O443ak2BjHE2NnMVhqErsQDrm6McbbFAzAIL5l/UW5C
yF6/v+Ntg+77XQPtC9WWypAZcRbmCihluRDfElmz7+2yHFfJoghyeIxjNsOYbFXYfU7fMCxxRXdn
I/JpZXsdgGw4rK30QFoBStfUzuISoynrDb9b/rX585bGBdXmyeJU/Q0NlqrXF6Fr2zoLnFZtd0ue
49r6RHaWIDNreJnN8NdabqoIiw6WFYBKtSZnbAdJI2xhRN7mToas1vOXzUBdd0VrH6s2l6Hf/dhE
xpgnehVCHvFAgdNRO7FKD8EANu1R+waMXQSkloCXxPLO4pNE1Fg0BMBCCtxiuY0UEvvmCLLMwg+8
/CteHjaic2AbtAwLY/rKmFQbLTE65Ko2GAU6xSPdANVaYt53z3O4XtEd1WmrUExsz4l9tRnYQjFJ
q0xNUUYcG5G+9X8FwgGPh1jCqXkvezAdUF7k+I9PLdFJ/aeTQgheGuVPuzPM8h8+Gnl7vD+ttlIS
22raViiurOSPGLxzTUYmGNRZXGadfAyqjARQ8zWTxt7XAG3RCUgJjLHsjcSTfo4mLPAAHtmP8Fmx
H56xnSajlv6BH6IOBZM4H5FuJdfA1mG6waVdt23CQzMZsifm1v2krV8sq3UDLOzzxozgzSt5UjeA
Rywtsm+hCDCrHr5ccjGNMggsql/ajRHg/FXM4YSQHdlHAJ+lSmzycd9lkFGCLbFe+e/sm2Ch+k16
Bl/UysQUrvWH4IMXUNw19uiP3y1NrfuypeCbwtQ7pD0tYYszuQ6iEoxdds/ZI09vWbOfegLXIGql
/h8khnFXUnQfIiJSsg5TEa0vVNLJxlFua/ZkNwse6PKV/uMYlOVU66EC3FaZS9brNUcyWjOze1al
8peduodRViqTPjRBms1I1q4pke8/hyR0UYjw7C8OPiIT1aIwbCeWy0KfUHO6WlSmGsoFkIZUA8hx
P9C8Z/LZQ00/nWG3wlk/IiNa9cD2hwg3Hx3jhnB1OLIjdWL0QfXpduOHqs5nj/LSpl/UCSIifW8G
Ok2th55L1nM+GQ6v5CAL1a5Sa48ZB4Q6HFrsjyH6a1Kyhn0MevrAcsRRkBPbfquvkFtUiGUGfZFw
25Xu2a5Wt7iQ4BSvkkTUlvqHJzPH+kQKH0wfpbS/OzAfapHZ8zQKodzpNLajJzkBXiB4e3k9Olhp
n8OGYJQtobg/HHxVD/pAxxl0W6GgKzLBJ9d4FtlbMv0LzRpX5MmuDBNnrannHB2sPhuPdJZ8cyje
A0/IHecCcPN8PUJ+JDoiXyTi0qcjBkknMOB+TsfpCpO1YPYRS+qaO/A0b8ILLt23cZEMlYYtoTyg
V8KkFi9uCOFs2BTbrGNgjDtwWc+3bbgDQyuXRp1ke7U37t68ZYle/J6h3naL8xqjx9DaDcOXkYA9
7aO4QNIttn69EFhADyky7SKC4Cp9s/dVqL4bhjquIkZD00A7c8zAVugwZT+8NwlQGrB4+6GT/0/L
/AhxQxwci3KsXm+upJ1yhMfTqaySAziJdXwfV4bzHc7IUKIb/O+r9RBiRoJSoDwbnRkEC0WwPgZV
M8oW4qsb5NOsk0yLn2oB3BpJB5s2U29rJYyDSsJ8uQ+gTpsp4RSC4TM3Xe1y7HyKWAzRVM5icXVn
xvlucwEhZb6n1DzyAdFIcZFJ9/4bxexveIiVGe2LtokSepm+tVSGdX+puYxeieTLmGJAjAk6PrQF
6/McdGk6geVJSCE2AtIGGgZKcOCgsp+DU/lP9wTux1UFI7zcdCfXcknv0ioZ8d4d3yulvNPjWdGO
tHdNYLcpDkugZKc3DY98qVeKyFHxmC7HTIObXw/lXdR8vlVxjqPUa46is9XhnMzf6iuXKggtPWVw
Y7IgvJ2M8vt2iiZpq01BfbFRz1oZyv1oDVJVnV84+BcpPAD1s9fB7fTl1nmMepPR394VeqCufPVs
byKu9p3qJqNDdwvNfGBlMU+Q9ZRQ3fXpfrIyT8rqCeBQQgysAKCCoLIIEe1LlAzsqmKGCuP8cjem
vpWDxkoeTmU7iok8qYJQBIr2xH1E0FVf+1gxztQCyl9kwgejKMDAwU9Y084gIZqMw5uQcqo5JduA
H7HF831vndBF0dk0GGRSWI9SduIrIJSC7JmzZruzLGFwfXGPrn2hPkRIR8qDuhEWWMN//eNYEYrB
d5xP7ptnkvJQNMnQdsE0SActXryxjs97SZzwi9wOOTxqNh3h2STKmTIfruML9Hw+k0aykSXvgHmp
rjGhZr7CCOwdFzB5DDWahoU1QJdlowq6Ln9onC3mkgpJ7MXd+SdNYxUEqDMe1NA1duy92jh7Fste
+KZPcizHTm389ksfctN7K45JWhFs7QrtE/LJ3N7kL3HOWxYgZC9QO6vbJ3mGwbMzraJsknsAUciT
r0NYQ5TreSYEY6nW1EhZpLa6kLq/Kw9qrE/rnofPi3VBMUTDOt4Xzo4CdErmdWrai/1Siw4HS4tM
SVrhGsUyqgp/+s4Cdc8TLnsWf52fQMoRdfuQBs2gZDnY58/qnvkj1t7xj0FbMWPYFYfv+VTbkjm2
sSEpApcGciLh5SjcIncg40BDox/2A1Kf/vgBWdkkutH0x0hy+NJzBEgv3NnK3A3NGuaHANSL5Vh6
q7biP28sGXYXalTfzICmiq5ctVO4FVMYo79rHTkYrE9yE7e2VC/xo+1yeWjrCftXOzc7QIVKagbb
jNlciU6BD1DUEi97F3t98Z6wE3AFOruJGsEYnzfzcZ5jQWLCWHrZgAJCwSrQNEl/vWTKe5/gtTzg
CG1Mr+NUFex7XDfiKhxhVsQziCpUDOtvOwmHuhZYk1qOIhE2q9j/cgl4EVFT7w2Yq7VTjVj1TAug
+x5zTFsQ9QerjIWfkiPylivVk/VTSPuJhTdy+c3viXX1VDk8zm5W/qlpAChN7tY26AiimjBa7hhT
j8CYGLcY0wcCAsFsGrYd0U2Hp5voaihvkQf1O7wa+G+NuVyQTBszwnaz72pbRGYoHqN7xBTi9+2s
0fyveoLPmenbVDatkH+jexoCjBkx/FAah2SNpWwE2jU1Njk94ABaj0wGOcgP3yutx6Wc0oiPGtjA
lFag3mj+FCcoLKhEPzmmYH0n29aXwyZ55YYIVSLQH1qvd4V4eYAoaQtTy4mQiF/u49vRolr9/6SE
xT2e7wdp7D1Rhq5FbeKjXkGMBcLFD24zg4nrP2W5bA3k2DRtohEV/do3VpuvdLJttzCB60G2wz8k
hhe6ncO/ekDLA9OQbzmYTfMyspb8HIWtr87pKBwX58C3wgKpizGIle1TtcgU8kBChDS65Z6QBbmP
ayKIVV5mrDdninQInyra4t5Oqg111X8+WfpuY46AbdN5e0MDEengLlIrZv+3hw7hr5uTkVnmmkQk
opuOJ2HYmlYcrdMb6Q0Wlep3ZsnNZqeAwxHAV3Wp0KKGcU8oQADahkmG7r/und33DbtN+WE6tiZe
XE5vNGjb7XeSm8nEYz5YlUKWhKmJkcoftCjY9iLN423LmrtL6TwSkh+cM+RsFTuDIBvgAQgjitZ4
X/qMWb6S4n2Z3rECL1g3B7Knhjg+MYD/4OHJsmZRn9KjrNVpnYkZC5CuwNcgYXsIART2RXITJLXM
1GNBIPFveHK1yVnYUBsw6vIDIp+utUhDS33hFHw+DnQ0up24BtI+cPVXxICAG81FW0u6qrSaXmoq
5yI1G0dDbl2euH89SixiniX6bpNHYg1vlnaiXHxyj+99s77NrKD9psP6FD6FlAkKZATfJLN6sIxP
tuDjz+w7cRBL0zyVR5TZoGy+9V0iA1uQ8EMG48mdKomYxE1J7o9qo9jGFOoz3SUHhjoptna9OaIg
H/vU4u62RTPaO/QF8PruD5lyImUqTohdPuC22OEDqpmK9bIW95DjR160TIXWn1pejKjdmWetaOGR
HMjqw6h/PfP/U0dqGFG4pPW0v4wMmsc6QkrSDLFGTZf2kpnazPKrnJOHBuH2B31ub6Paoqy4Ls2h
Zy3KitiFaBjRdvz3sNkXSX/IlR2DvCXhzBhQnFoSRMTWU8ogeCeBB+FjOu4Fs89MeQTtcBCUOWfa
FLPFRZGgWbSIMhfvFg/pO5GSvQmPUkYwKW9zRVOOs7lLz9KlrDRraGV6SSplO+2ZlmbQOmt8Cuda
CfzXJfkl8WMB1AOsas5brRBPxvIU2gvr3TqzoO9bCq+6o9SZ2nE+BSSPf0e8KLJP+cediDUdFvQ/
Y5Ez/UmikCuLeOVZ1x7V+gQ37yHKVt7XSXZincg0CMcE0KLOgvDgPsnyTj9V/Rf9AXLdFBGHbFD2
cJ93DpD9jILv/BGMBhUGQlUjd0O7XUr4Mf5FCNTiMa9WBVYLxs33zAYK6XgkBd3PlZenOSM1bv8O
1n8teRN0Lee14FafrxPbi+H+HUnvVmqz8qiyJVtj4/VI9O85DiNH5VHiLAg/Kold1SQzekz9sg9k
PSQ0kGq8wlSvJqcFNBK0drPEoziFj7PLkiNMre+Edq6eXcjFkIPBO1YtAKrQSSZp2hAwJGVRN3rL
PzJsphXhnZ+mqq4wHIEQqGzanEtr2gXjJ1u1pbfd4fZCu9pSUhbQA211Ezn1q+/C7Zaw6IjUpLQi
6NXgsnhmzobn6MF1Ty7LbCKZJh83X0gkumwiJ25EuPegSuMFhfXycKCk3Fn/PErjq2WJbYoPgQdY
9SloOetr1ZZdJxcIdWDpNHKIrIXdpVEQHT2hrtyqbWAm7jNIgwbW8zrQgyEcURoNTeNxgca2/B0q
l5KNPux8GPYDEdqDyiAmc4T4VWQD0tLyi0sUbm7rOcFY7J0T6G0PQuFHGicPy5dIvRcrUj8MMEEc
+Ue3ZD8MCDHP4d2nZ1ANWI+OQ9YEZcjWttAx04lryFZBbkuQYkZEuyzeb+D5lQkJ1+IZACsfVvcO
OykbxTsf7mYu348vsjZC4U/R0nmmdr/HQgd4PiCj/KzfzQSRFtcT47Il8rZNBcpWKccc6qwAPhPt
JieGiQbic3L27nzwF8UZTGxY2+MI6SJiDZ1N0Pw6sRUf00bYx0zwxo3hGzspP/auND/VTxN9oZjf
Rz4w022PiwVlz6v8iueFiZeaPMrFBwEzhQlq78m119SYnGuOKSYeAlKuPQuHaigmSD3kv2blpl3w
bJ1bRea9GRXe+MfoRSFZQthaWgBEUliAZel97KWoDmO5xOMZxdyelRP3deyLKAJMvYf+8uWstBvN
ZNCPBdaz+pLqr9uud/+nXIXvDiQAAqZFd7CL8yI7RVouF7xh02F7PQ1RjsaQnxV42fHN3Z4W1BBZ
03B3TgW/0aIA0aMRwg7a/iDDgKLZsyzRE77O0RAR2t1pGvV0CZdtYRtKkB+0JNq5eoFxueHIYM5j
UfOb8f7aTP2ikqS0pUhFynfLMpHrlaBUORcg1P7Y411w+rfCZQMJ1m+anMqT75PXaYvv9Xajd0uH
qKuZUEhCcnIzsfL/X9Zld4900MIGbfynO9yCG0O2yASMcZy/GT/b6sb69IAUo+jFLb6rDEsqyTTL
1MXAOd6e6ClxlU9QSePxjzyC7ST3yHEsn8H+Kv9oC/s4kLf1UnB3pJCE5qpC0KHHxONG8keSk0hl
4dhM/YKlsVQEE0leaFwq3PoST9tqfvbYO8YMKEGXyiACUin7QMZh7pOwWHBC1G6J+Oipx6kIRE4a
iX3cTjAi3xf0FLcZP2OZfFNwW+OT4dhiKnZhlDBGhUy+urak2utb0b1QYo2CSPnghrhl4v1sGYy/
wAVKNa8rpqTqmqDs/2I1JX86aRyhwKdiAtFriWML+FxjpNp08MLPxaQx2rX0Utuhy5+uLQEi3l51
PM813lgPhLWgduFwN0dtFBXdvk50C8f5NKkjOc46yn9TBWCHFv9s81/RYah1qyvpZ5O8JUhLiZRR
64uD3aYkxcbhquA9zykBTgHAJgnxoefng0xTxha5FB4nt88wBxVlXC6ZQpWmIlX41+WZhnbKAIJw
gq7W/dhLLOYTxD32Z81l37Uie0llTZmzRZ3/Ro92TTtB9IStJ+Rqi/pCRLFXDeqhkR5kHtmsrnJU
q5RwX4BjYRi2qvD6PJRsWlZHe11g0wOyYpNW3da2ysbUKvlVhmqKOfz7+SAjwIprZiuQUHJBwl8D
apGBU4Q1WcaeuM7zKUDoiIfi42K0TVVWB1jDfSQWfyY3HDzpRw7we78+2vJo5l2EZ+0i/Qb81pRL
oHghlUMS/+DoO3I8HrHL0iXlKGhkUxTweAF1mQiBwbVqu+QZlZ5ztLqUv2LJmz5a+pnUZ++wYuEV
vL0XNno7zvgB7esvwxiwy68WRQO+9B8n6iYxW2m/ZkxvO6/gETqGJMj5CWghom81qOBqWZ0y/9X6
HCF/l0ZPKX1c9hdvu/IBzsWs/CAywlOBUXfvarOdRLG5H7T0XQ49WUKvauQMAezMCUz4vIH4tCrL
75hm+C18WvHRXIPAdDW5/yICTdbhoTAOFRuKgFnGlwTlOwUGk3UoiS3XO69bOXE7fdgRBc4hPS1m
n8W2eohGcWhwITBClqmaD+luxRYE59H4NwJTne4Y+9YPqROV1O6uLgx2rhTzirudCSLx3ntEkiz0
98MUjnktlq0J1CUAZhLcsjx3WcUyTkHVWqcLkfu2QWAr2HoJ+lPk13hpq+r1j8fn4NaLnzHlkMGb
63KHwf+AmkmVRU1O23KHFX3kuqFhvexrSq9Bp5vIM3ZpeF8NyIuc2cBu5tinl3uRVmC3A+H3CL3A
WxLN/RV/dqeP7cq8Br7f04wpAZUQbqVovF53GAPZVOBRlCyF5JC/6rTColi9CbI6FOjuMbj9Gk4i
FmbmInZITARDFutfcXHuloM+2uoJFTAiYQ31sV7BPGuu6qus1pjvLdL+A+XrIzOYaM7Q541xWUax
UD6ljPNMcCqE5qkkCBsWtx6LErLZBdxlHlcgCGRjg37dJAKz1PmKEoDZ14BzKueJictbSm/p9H+/
PCDhdolcefZS0Zx0j2VXdB5632RoutKzWtrc29rkI1jN9b5vD3gN2HqZdE86OYRNSQDb3Vm8JJOv
X3jZa8Hvr0+Zkh5KWlyqt31o9i7ioc7Xi4R6LZS215XR/QKZ8varHGasRCk6+d/9I4Kf4cpe9P0X
NevXDHX+3TpfAfhaEAwMt7uwS+uZfzanTTK+CAN3DowcPRS6KAJV2Le1EBqmLa56tCgoHi2As07a
IRHmXqpLAvz7ULc8ZuEvqCtzgJN+ymgBmpMHbzrrUwXqGHufKBZyE2vF1qMS2NgdruXXbFIV31lx
Zu93sTOuX4otfEMCqt/GPfKbEGJW/Psgwonkqg11hVLok9RqYUQfCCHlFFb9W/t6LL+hmz0Dnhbu
HWR0BjWqprHlM+0CFHXRBLzBxU53DMU7u5mhQ5d1mBHivTPqrc0R5H8gmYwb4Gza+ChHfmwiHvcQ
N9hkeH6XNUsAu5UOeVxu7wzWRe++F+UkpqUpZbOWe84x64gXywoGy/b27/IL0DhEVKhb0O0pL+kH
/w7vqTyG/kG8lPuwep944dippTkJR28PkvsF69o224IeifdrctkX1XjlQuqzpjmOUXlsX2pOfNKa
+rR/b4RlqXz8BFD4a4mfJLw2ASIxoW0ykt4pajWYFuUkPh2wlvlGtAGXMQs4miEfH1I19j7KPlsF
PBIVlTCLfGmBlV4Lf+VxjWwi44Y/b5xM1DxieKQ1zK9ay0i0lXfiFwfGP1HVcf9yI/n9adSxgoXZ
3NCHFgfuLuWZTWFrtm/TfmkRW5tXr8a1ms7r0dQX6IL7hwlLbJ2aVHneTtkcEgW2cmkuNMy/q0kO
Zkdduf2krndURCKohn36+V9HkkQhwG+9rVtxgO7ApHKornnleQHrxsxXYyYgVIvzNeEW9I0YYiPP
c9x2DXatCpX7+obe/TSds65IBLEM+9w0VC0R6Ts9JV5d2tKL/2ds1gjIJOVFM1XPg0OQbVYorHKk
jngUzDm+I+q2d9rktLzARNd0q49qMYdpHLeXZu5eXrT9tY0yrZ9FoI3YwgVGEx9EZwPwcjsY53WA
zb3lrzS2dj5IOmdP/nyl5dGWIfznMreVSMVUZuVpFUHtHM3aSwc7FVBg8vW8w+Yq8DZO5uxf1ypH
R3LKdFcFPhMOUNVrp5rIACU34ykUItyfyDaB4NG0c59v9Ov6bWs8zXrxQIAAM5a9uqcf9838iS2m
wDAqnc9iJ6WbhbeJmfOdIF82ivIGoc859qdGS7tbPByN3SeyOI5N/pw2dm5LPAo2QUz7/8c+Tpci
BRM8Kt3Noj88zeVRBlSzpOizymXJYhHutwWBHMRdvuRC2QXKI/BgbjV8ueS+eB3cOGqyku9/Weka
RIBMLTKb2SsjBoylQbAdbZLjXy+RNqGxkcLdhMQhlf3GmHC6X5gVjT6zgyDnJfIl5+QudaQN7sGN
anCyJ5CdoGBjTcx3NRnpFdqcU68ooB7haYW9/jfMBnyu7r53o/13fipI9Mp85/m2kL2wX7H/d8kb
vqM4UyPM0X4yoFfk1DzzGBY99CsVhli2mr3ODZyyiZbqKhf18VyWAwrIDhLChDSpP0CPqgdSWf1D
or9nRoR45S2VIkSw1OG+NqGEV2XLQ0ZZvBNOKr/C0RZzqIYCdSiYxaRD0WLtml+vd+nEkntcnkJS
BlCGZH8uhQq0H+ti+L6p2SVfGPounA5qwBeOQtZQu9VwxyXCsxmc/E4azRc7sf4mcQmZXISEtTXM
U4KlEdqqujV58im/O/yhZL0zHJeUtjML6NtbsFb88kk4wXeBj47Cy2Ip/zEf2n1sVJESfrI8cK3n
fzX9J4vLrvWhAScwlunxucgBycSf7WFjSqmA4wjs0QCB5HHmWybNygFUqHM81PfWVXTsTGDydcT2
NW4+BwmEOa2TGtj7TXyscaesa768+mxvKgYiau+RpRE7IsSNVeXcD3C+utRIxtw/vf+YlE2OUbgM
PbXTA/WJHykogNwypmNyTiEbZmvqjI48NupSkpP1aYqtJzYkQ9G5wfT+7URcfvyuM0PP6ayQYkSz
yqUn3/uRr/Fqz0DJC/pwPt60HyKiKkblNnvU6oIFlRe0iAqxKUmol/turWmPV8zBrPYGN0QiN1am
fSYe82g+xxS7HRS/LI094/1Wz/DeRbfYuPHayCsr4jH15w9E4Got1mF7Bk6oxgfKjQfV9rZzy6+r
nttZGQIgQo/G1mE3WUGm1YuTXh4wyVR1F7aUAo3vbJlV6VntJFIN0wl9sJF1pogpQYlgpkylUhZf
wAjNogM0EsIlm3Mvv3P27ALSDyZz3JPi1dBjsfHLw1JePfiaSeiLY0UGBbJkOtnIYokzUj7/jmBX
eZxaEsjhkIqW/Pb5tt2nGaw0854L+exVuXT/WdpYXkuEa/tUls6iWn6rdJgU3hIgJaaGRYDJrWtl
5hSmk7KYohOjzBdpS2ZL5jlIDfZ1fnbvIb64bT2Nm/O3+23i5e+D6s1BC4zHfVfnthgPtJ+CZT9y
sd0aDaFboTEoBAobKwMVsPbYZ2fpKb0yR1xyvCpA7qnQchn9bnVlvGK0WzX8s4sF1hWrYKaznk1G
rjehP4zQUxdDW+iLCrJYrBnzAaXfZUuYyA/b538vI8RlswKTxTy9bgecPLZz79K32LebJPIJwBa/
+/gyfXj0LCKB9hmerye4Ywy0hRGf3JbRfURobQTm3yDf0Aj5XwQHu1JaJjGA8AWbNSUQo4MDG9CE
1QwZYpIByL+h8wlpLbkYAGzYt9Ju0PLLt6ijCHwSpXQDSnjGG4T6mjqd6AgvrXTetSM4TkAMvxK9
rYaywlRRYRz7yblFct4VBs9IIpY2H3yLEkjLvwuPj1dRb/55uJVpoDkmbsf4nzo9FU9nDcwLRoJd
0+7HxlxAy08F8iUt3G+3HmqqP0imgOiYgq8DMDSKM1WZJIY/wzgZNpGDVABW5U2ATvWfK5DCrblW
fe+DzPnliyYGoehM0U8cp5gvkjI6wqLX/3dXDvGcHJ9PuMjan4SbeiLOT0qgVPrv9NKvVlBD1wHY
5Ek3Bnfgy7QJifLz8PWn/DFfkxI50+hxvr3hdB/e14aZKkmNiVlSoJ/ACcvfH1bQgmsZdkXgGW3e
4Qo65sr22lMzkhFZInSMe1QgAvMc6ULc2R9hD17JBg7bdX6kRDV6qwKhP+FPy15ytlBweAi6hVFe
E+NrLjOctZx+T8zc+J5yW0Mej28o/v6njT0FGFaLrdY1xJNRn1CMpHh0pZdBzOa2JVSsT/cMTJqm
ek1wVLok99gkwFgVThF2xG+jnvXVwY3sIq71Hu25iZvzK2U1Gd/TAjC9Yo9rT3G76jJPUC3Wfjve
2qvkry2Jasx11oWYgHDuuowG4U14jukzliJ3bJwd4e9hGEB1BDZ4lwfoAEHKpUhTHA3qhKBJTITd
7ENKiMGQCA1FXU8ah4zyFK0NB21LlEedYO18kSAJ6eMAsOumhfqmoQ3OT93WR/2t3O6KhfNycEYA
tMjfm4gcuXCa5ewDS+X3am0Zfam37qYLpQH+5I44CAW5xy4bmkRdQrus2gsJ5oV1l86X6MKZwUIh
rcL1TnDcXKmFbiJXODNSfU41KvQWg8B3QOX2EWqzv9wKOm/0qg3GsfjNFRAMF2npoBfG9pdPciDf
NN+U1hH26mVx1/ct26ugGFJgBct/9WwJ7gH7gpd4bw7htnnzeL01Zhi+Hjq5ejlPJnZKYFRA6yfh
1Pxt8J1JB98cCp1+n6ytALsw7P7yNQG5FyI8TuIJpbJYPaB8UgZQG6yH/WmNEMvpXHv0SCO3YsfF
+JiFomrQQPxZxdjUfemwKjp4jZ1eRE11Mqb9ObN8zC3IfcFj6rG7uyz7/N277OAh2aU0kblt5WBU
VydtSADMmrl3MBHLbRw16BW775Rn7oxBe9wz95b8QJlCmJgKg49xqdigVMnb7XmVOqtLT5jB3nTO
iNOaA2sgjL/50DytwAOmcffaoFeWgCuoIH1XOjZt3xsncVAgsQNTUFkScRWDP7QNsv5xMvFrP/o2
ZMvdSDlTZEuJXx1v+385KI/MjBKLN2L43vc1V3MWOoB/2W7Z0TiGhNc+wCODCUlSNlU5m1f3P0Pu
XQrThnRujQlDu3hPnUBqa8XuR9Ead6e01Fe9qwMja7g/5Sp98idKHj5f7Au1qVnTNC+nmLqVm9wQ
RUSYM3vZMgpnz0BE5BFBTEzlp8+dm2od1JRq0HHIhFHkyjgVPwY0q/RCuhz/+3iBk9fD3PVcY84l
j07A94Q49j7Xba7VapoK2vNVPv8940lb0/tCr1RbTe3mWTcjLFOhDjF/81xdm0cVDrVUmwwvfIZR
UyM4M6BH0fU1QSaD12oiPQxoomVSDUq6zJTqTQzKZ0WnLS/pFbMnJdRPD5xT7GSayDhWGTh/UHVD
JFtw1MHnn8ElZENOzXWCF+bQn0V5hhKAmcL5DBcPFy91+iF3kW+3OcNZc+rxdmR0SitdwUmd7Hs6
Be3kekl2DvKLOBvlX6lWe/polBp+G2zvnOMRVO1pn5HAhwaQc3BUBQyHseD47GG8P3CqNcVKwCF8
5xSnEOkAGas85beYFwkMAnmz1A3iPmXkCI5ryMN+nzMP5zjwF9Uhw3pvLZaIVuzAmIQRWEVU4QX+
Dq07cs9aI5SSibUVHYnBQ9Pa+oFbj0h0dLUVBnbR++TNigDHjyav6GfX0syYwek0Sfi78IxT3j6q
Ljp7+w4pWkIW9ZYQxCw22OYB6lGgnHbk9XeUH5GbG/zyI0bp2F6HUHgkD+Ii8I62KNlGYG4l1fNP
1UmAWGxONQqfYmmJEOALn6mZrzSj+roZrDfbhqkF+68amJXXIer/RCIxS4zBic1oZX6fMinA+U1D
1533BKf+fxnNoEaEXXRpYxYunlWnKXZXPXK1AL3RfAnnBGUPEtpsPgX7sF/f6pcroq/vZUbPdRip
SKZJAB9oPU4B1ayUPXVGJMx7Wj38aMNbwhL9S1NvViIh8RYU+aPYwbXkhKZOFoBI/9RTNCs3vF5L
EDFttmb36EmQ+ORWhadkxEOiSQV6pn2aWDe2XVC6LSEhfiRD8K4e5KEFERxzr/mkW49ripCooEsh
JIQgOfRCnkjwD7xU5HyDPd7IlUg6HZcMOAoJy5DBP+CgIqv017ejep6noMZQAjidy9X4AwbsNDuE
YRhHK2zY2YpBJqio4vjgPwz4f41vlBaWE1AeRnHiOpKtxIqpCcwCsIh8GjE3LvNVvEyqenBBRyuO
pZdwDEEK6mYbghJzoG4apV/U0kS8FSmOgxKBskMGxBXYSJe+NZ9sPREPsF5K901njVFPqjn2MHFl
sZ/n6xSSnBFtjepwrmxdf5HEQu57UaTZ8joe2771T38WGaXuFwQu0aZpE5kY+rrkUJ1X/s8lthvT
AJvAVpOrgq9WE1fmLNSCUzMgbcpqSdwYCgPaByyUD+E27VQChDqD6rKS/ndBkbgv2SmAtGCo7Mpa
kbZ70L/AymvsDDXJl3pqgNuHlENMY1iPer8+cHerwSvf3QoxZYiGRjD07yDmRzJ9yphV7HlrE5aV
juLzoBdEefJqBRyxQ+wYErJAqGTlFR/KWC/VLlsozfNs58TFrRwiDEKr3oC77lCKjwwpMW3CTzuy
LUX7fQqps7iXWXb9XEnudzmH1oqnGZIgwwmL9tV41PQwZQdhrAcZVD0g1oO0E/MyAVWPhK132a3H
Q5t0KXD2AtKnDEx7o8rntNB3nUDtPRe5RjCOB9WYx5E9V1qLtoYNmJ3b9THULPqJye98Og2BMDVH
+XZ+Bgd+kmMysRLgrJwsOYhUEFYUldCQDBpF6gUOyFzm138Iuuljz43hgVzYc/QYWS1iqKZksby0
rsSJpAlml9PoPrlAzzxMhv9V1paVjqZFvmjqq8YLKVYVO7kq0ey1DWZbDtNinfcW0WUZDsVm8Oub
jzZ5z1koFxB2NSn5/cov0cviqiYc+RpuDBvo4KEfNiWmEs86cqLwwn57Pi58vN91+4k5ur56io3V
9b6t1PetA8VylWYxgBCLmWNarBJ//Hlur6+wHYeV63g3CK18Q52NoL8bxK4Tp1FwfcKtJfT27Dpy
EIFxNmwfKKu0TTnqFAcgmBVBoGUrZ2TS4EYgNF4chrN1GZfEzueYvIaZo6AUozOddOeZMe8EDwqL
ZXfThNt8Noon3FzNNsx4nayKQqb499RpTfwmVdHt9fc6DPEj5wBLH8rtFPhnCh/SlT2B5Ww0yqaa
65FbprxVjd/v2goMWsIAGS0bZPtIGPSjfadE8zrCf28MsIcWhvGoC+lUYdxw2SSH8Qj9nWdcGPyU
BT9SrUEzjRg3YTSFHgEFT57HpkCgmmDoj7aP8bQfUQ1RK2moJy1KXccfzXsXAhqu2+0A61Dq7kP+
SUV8URm6zfHa8Umsssf94X2uH2nzonimft9VbeXWqlFY/25WIat4mRIgeC/bN1lvplTi0nsqfI7y
iTuBX1ZhJHyJbsjIiUqA0o/p7DCNPVO9ztW1G3bYd5LpBOmdLWzNebz5a0ROyyBux5xie+rUztFd
MuvtEerynvYMgRoFsBwUvJfBLoEiVJT2BGBMu6APONGo15Q51Tt70IAWS9TzHc/O9oTvZG6hM/xV
3Hhi8kWOPcCJZO0YYPrxaiQHNayqciMnlPBdLYy+cTxQeDNm1f+pCm6F9V9Np/HR+RJ+c28CBtyD
BMZ5KsEsHb3Eiy4h9npTXeNXrp7bV3bnV2E9xnMj5b26/Z0ipzYqYtliBQF1RXIDkE8T/jtR/vYM
WBi4ZwP/rbmPb4bRusX1yWZQEhhJ00UZlXPj7E+6M43yeH8wKY2F8TfGRP2D8f2Vo1s4WELOE8gf
T4Qud7J2QYrnXHlD7qHWpmvUT++adAy7/1/KrG8nUPb581GXhK9pZZzuDP7ZphePi/okuAPb3+S6
uG5zXSqu/t6I7qK3cEdaQAipHdjhmMfLurz8zkIBYGNl0HRkzcwuhK755Z17PmzOIX9lQyWYBLfR
jOumOXUKDWNpe88Wjb3AjSlnTaWlHKrb1tT/SABPLcPIK6TpWYEpkfGHDNgB2DsicTytHtuHNZa0
a2gmTKxtDvmI2g38BjMvuhu/NqAQNbqJYpm/or/auBPIVlQWA9w7r/Ittz3NknQvmdY60AAcSO/6
aOYIu8/otcWK6iuIPUo/gG2cCXGNPM+oTh8vZDD6imDeY1pprmVaGCvBIpwOFXGK52h3WlHYpu9t
2vfNc3OkgQoCEgU2Nzdk8wxFbKSYYF+mAirE0jePQE9J8Scxgp0MR647zv/V/SQQwCdx60WRXH0x
QopdL8jMzOnUSc/BWzSJyXg1CKaIFxmNdpuZVdd3AfASpzOMs1uctVeOwPlDlLI8qhx5UBDM4cvb
9ixHJNPvmWluec3j0LL336TTHSdnZ8DFs2XFngybCG4ApytbSjV5S7mWgO4TJcYsbdlITGw8YzVR
x5AAOHx/ohqOVDvwaox4ylBR9hlRzNCc6SHGvDCuKQuii9uCURV4S+FsDPuVyISrfNcKrxlviJbx
Sx8w935+4rvXvfCkMeH9UP9VtZ0B2X57G4zHncVijTKWUhv1j3Ai2As6OgID7qBWqRHkCANjA7Jn
T4kpGiIzqQsEgeQbj1USN16QC61b4/LCPhypJVAS/WmRoPYm39NB9UPLostHB++3lkf9j52B8d58
GZmWu5UNRsfoLLGS4VtucWi3bQK3x2my9zP1GSdJpfEKsAEQANpFsmdkof3Mb4tgKUfcxJJmTDos
yH9Rhv4Gpg0tSWGb5cszlEJJBYugqdDul7/t8EBPg9JepOKJMDG61axkph/cvchWSER1drFX6iFa
N6f8ISvIgYhJQqdSfS1bf63DJF4ORyq/Ztd6HmsbJzQV6M8RLy1kQ4FO7lB+qkSvPimkyqm1pATL
wOekuIAu4M+QioTerRzVjCBTwwYOCD7o5mwGcKBmSp3Il4VoQeGSIP6slmWzabulRbwePks7cyH3
Th05tvka/aqeEMAzdMk2RFudj3DMD35/w0SvkUXooHCAGoHXV9+r14xad4R6tQbprnIZpgGF7Pux
v/3+S7asoErTPTZ6AKw4UpGkk7vwjQgZPu+f8JWpOMf7bSPEzKvXNddR4gqYDXmSFgD7eUEmPSH5
Nw1XTsMWvTIRLc5Q3pJq3J+IK1KZojg+L5Lm5NwebL/SIQ6z3WF3y1jvYLMhfRzHA5fPloA7LcgX
DT9zWH3Q99RkYSwoYB3AzwqdY7bQvVBc9ea8X2DVYsdydRj16W4HnAH7EdCy+KL6PV5urYoQYAs4
qonb1Vx6fTlYf0e1wrlNqxGIPggekcYb4qLZ9y83joF4M7u8a34CoZDawkxwSpzl8+vMOT7Rb6h/
IUPlh/qRDul3FE9d4VF6hdz2V7pV8BGykpFAOpCfAZ9fh7PH6HLKhr8HKFz22RzCZos1ARqMZF00
gmReQw6knbCtyfZ7HqlpY6eB4Rbs6/+CWri3uKpu66qwoELssHnqjv6iljs+xfTtBMjKnWN4YNdo
Ep+zuQGP+YPgHEn3GHFJNWjxfd1n+fAPHX2tXwunBMKcuaqCQ6KYWYVr29r6HjMBp78ySdkVmbRe
tag+YeeciYlTb7/bg+66g4bgvQCg0ZIDgMtPMxGBuoeeyDS+Hw4CmfXhcPBjzoH/6cUDf2iZjLW9
AkgbfUq9nESXjhJPQAVzJ1WoSPaV7SN6gwzCsDX39ZZbg4RHSTKNpBrM6iiFX80fH39O3aplQJM/
d04v28VmveOkGvcB3MSKhY96eTix/LekQ+pwLNEPIlwAKjkmAN8f1ccua/QZu56pDMo9mD9Zo2+o
3WCT7Ns2fo2LyY6KPe9Z5W8xS8gaf1ZNdsKMs6FlzQpeJ1gL/P6yDM90NtD8yxnfZSzs5bLuV6+l
fzV4QQfBVjZxjVcjEGNrFYhbW/dHuX8HwSflcZubR+bwlwfsoJiY4GtFlE4cyr4i6vfZLAGrzvuM
78BJ4BLERevsP/jU90P+cVgY+Rj0WAHPTkF4g6r98DNa9z/NDyjg//yDy4ippTcSvp7yTLnQfdkN
mrjV+Wn01T97rXsqDAo07yoeLxJIy9gnqV9nvgnfVAP0xfOT0Jf7z7fF9qYj7gw9q2i98/LbJeKV
FGP86wF7IWJDbCrqGsL1FeZ6R8kvqwAsxrwaZWtoXe/uptOR3O+leOBUQ7jd5+vcOLaFhkvhE+FZ
U7uc6U+0/Q09Fa0/9qmojcU53UiQ1U8HWkKDXTV4Ri9s+2WiyRtjFpTXUhVppfs4hxv9ayeUJcHx
nIJlK+zDe0Co55s73nNYZXmt9GcfVjQvS08sQMOTVmw5qpFgiuX80DMJn8PvLAPP6MDO0HNV8FmA
HpsEET7GBPRIOeUKDwTfpHaKrSSoLA/gkf0pZwyC8u8Ao4TySOfPsn3n4uynWp3UkYtiWPJWy+lB
Yqab+JXU881RrUNN655aKkNjpPOXhPTj1ZRBXdOYFV/ozfnCD8YYhefPGagyX/ZKLvN7TL/eQlkE
zeAbk02dzpOe9KUVh8MqxuCpfKO1R8xekSrChr/bbAS3ZuwIRd7XHLESXwSyobZDoib2Rp7w5ve1
gXp8SkGYxrbCYi3VPQvItZqWsNDgtU4hKacFZtg3aAAqs1LW9E5Vx2HK+DJkWQeW1LW1LWAY+Jtc
neDqY1hhgb9y8ibRh8SDzvE6ZnHNhX1H9hikPR28h360LklwXTJiQ7vfQ9SVgubbA4qJkb27gPss
3cgEm72AgcVCUYHr5TM597FUGhlO0xIanv7G1AO2KgaK3uWCVKlMpajtyVJFouv9jP5LMjl2+yai
ffTxNXt6foYkkLFfAM3NFeVMxV+rvoigKS4Fb08mHq12aPMC/7FhfqyxyvNkvWDh2gdrHLlbZ2DC
TBH6StvQTNFnMyF1QAd3Y8jFz7bcxsuzY9BwmSb41DhdmbHmNqC5yNoA0elsdwJFjGme6lUwae2D
wd9a4k8kTe6VGncJ/K61+g7Eij8XXyS1/6u4zCISy6DChbDnCE3de27TbDVwhKUes4zQo4C2p01n
KC0a6KzKcHNk4q3tgJl0NKu+Z25xfc4JcYSUyx5hT3ZE5PgX2DLGpmfOIPwgE3rToKL1cU/iuDLL
uGoyvVHJapZJcpdkpfd3B2eu5we+KU85e8EAEDhgUu3p8N4rI6+EdWhpp2xG4+h+xVph+RbO0msE
d0lcFmblbSmzSHic3yuvRxfzGJL1ZziOUR4HDLLGtZmU0YZAScAfL5LoBhrzAjf4BiUYFcyWfHcb
jX9WBL47CN26qIZaNfT+Pi62Y7wVZS7i97M4rJVCR/2v//SDVw+OZlFvCvkc/igSgvgb+QmS4o3i
DSSReFjalu39ngsX9e994Afxs0BWzRjhqpuqW2fEI6UN2yd5dfGuxepJtmw3qWLhXB6J/6ZqiTdg
dpRTcDuBDMIlgOtv5UQwOuKn21VXCJvKtenhKn40lHFyvx0cQcfgpOU1OjB0dyEqo63ApFkwgXBt
Va87mvUJCrParQveUAM+s8iVSwWeibJ+2DEB+i34qgg0s7afWpNh0YKPdQbGZzMCIJJsGzMyJ6d7
Ua31aKmdKNsg570RgwQ3LqIUuCX3Nm5zl+O4RgkQYsVxt26ThBF5Xt29js6aLNvV+kkqZLy9PK1f
7QZotZ/BVrdihBveo37xYm8nuUIusYmidyt9mk946UTS8w+FhiJSv7JVetpK0lLBCWTks4ZqQhEM
b4TJdDT/Bx3dLdCHVOxUQkLp3AzfkCjuOm/nGvIs6RZwzgtnhc5bK5Q79Dg6nT2efufYuCrPuivf
LcyX/CNhY6MwVJWsPN//VqF/xgvQDEI2ZRiA1oxS+whv1ibwBJBaow6v90UjFlJ74gUa3L5GT/OU
uQwB81mNaYEyupAXq6goRnP5ck3KPnctzzc+cEGYyik3igrwbATAmcAt/ncHcbQnLLTa+33mklvN
ubxREQhFO1ryPJwuGaW50/9flV/HEu4ScH49s+u+5ePAqo5pzLgpnNo7NyGaQJsT6QW0ZMI/IFp+
LUocb3zTTKK16ySQaxSdHdo+KZ4XOumOOyA5MsGI7gj4eIA91Ljrf/UXgSlgTcB9zB1vDQLeOnUn
XWW2yOXo72OVfZg+OgTcKinkl5YXxmN3G//l68gvJka9raSPmZ0nUw8k5gXUfJh4+V6OUtDZt5kC
NOk1C6J9YIKVIY8cDOjOo7/MB2PYyJggfNIm4lJz+aX2oyy0YQVAOy7PyYf05PbOfvCoKWecpo+X
CTzshqOJCLrvRjqB0ktf0fWyvKxp2Y/e5dEnYLVPEBeYlIC8D1YmWNxtAoxHnXF3zEif2JgE4DJz
xnrofiO8z1cMcoFi37kcHxx4nb0am02PPjVwlkRyn0k25bZ43gDkC8kjbDmrTJyQIdSi6qOlnBsX
4dNZ/u2uyT/UdWY/X3btyEzrCoTUY2hnHg7OmzPtuvK5T09MWzwaFJwksZGkwpdLOAc50ZsdKFUe
06jUCRNfP35T5tdqsfUDdA60bG335k0AZ6b/BYLGTarzLZPvHHkuORfhjU2weThp5agbC2S1B3oN
gRCg2t4H0aw2bDOXv0EGHv+LV9qlQZ5ICyBVxcfQXeauAPbP8opDpIhGh8QVsEsAd3Lsu8AhQPKU
XcunSHqAWQtec12HW5PsB9ohT/Sjp9ToAXxIipBC7gJvU6B4JWfuXTaBHtsXJmc6Vd0ZCFCuipo4
KwBUHQ8Zoh2MXlfRvh0jLGw0YyP9d75HLCpFMXFZ5qNqUVlGBNBEVBjcYJbbxfKf6D5bIrkYIdZZ
vFIuXwNSxLOi6B1DHI4jUz+pRtazmjUazR3s2FNPUGaKFpOfU9TArxKDvi+XGTZ22w7rtCi7BGG+
1yfwa03BXRyzfdmtXH7+nYJPh++quvPwSqdzrE0Xzad/2IfI2nVHnFuyXLr/2uzvPjfOdWCNgYXf
o3VyPOGTh6pph4oWQTt2QLYBOOPmJCx44FpMMyxNuBvRRKBpPHtWmoy0Vwc/5oJKjRkdxRUMk6WH
7xukF5NrHk8zX69hBW+C2XLU2s+pBJ0fYaUzUvNwr9+wJiAF7Ami+HqDp+H4rgwPai+1IKf+QKHI
ib/Xq4vtd5DhyGS4hpnssarfO8ZKz+Cb/fBTGQvE0I9yKOAdxKrrvfaoVoJ176pS54MuP7/ZLR/R
xVVdjJh9AXJTMa+0DQIKFzE6e6Dgt0DZFVqLjEbmuInVrAgx6oQUzUV4Gm0Bz/Q/nR5DHBgZ9i+d
mi6MuxkVNiA2cG59fHvLaiLf2JSIyddGifCJXlfukbhYDoYvM3gE1XEQbOY/aM7EuUD0WGx8N6aZ
V6ARV+jk4/9LdU6nCSLkw7Wtlcml5K3JwvDXVPdtTRKUi9vO2Vb6KEGFdtZD9hZz+ne5tZiSpdh/
rE9CqYq9xoJLOSRg+5vo250NlLm6WxDPQGcISmZcmlPBv38rrGemINMqhr4jhRco+CZ0KCgizFhw
RMZRB3fJeF1i4njgdhNDiioWRjv1u7sPlph5MA2f9JLdQplI5974kH33XFHI7ht/I5734zBO+e6/
5c5456jXfpnAC8tvRvVoxGRj0CXCR0w2MG6hgFFJ/sxboNAo22aAGgduf4d46YhnW4K0uGODCg9U
x7GIZtTvs7+75haLgQuM5484Zu0u9/zZWe8OodmA1TRWPHycwPtO70wUwbp5cPuYtYaF+C7Wt78B
9VEa4rH+2r5Lo1gflsELQ9F48g2gcNsGg4U009S8f9cKm7O8j7wFjxxlbGn19RiLQQD3v/iPXrA1
vipd//CwN4JiuF8mJ5InBGcGUzmg31w0Ei9/QzY6mtPTo1stRzAzgy3tNGhLuRBvlDXKp4hYa9WQ
8Lw8cX8CFoCfv3ZZhxIiTb0s1dP5BccZVl1h7ub6kcQ3KkVSKwa7CQNSAD8h8e5x1JDyg/NQcKF3
GTQlqLyrIOt6tJmkBEtop4BtYPZ1RwxGqvIxULUWfq2jAMzzqyQkZpirxr6C0TCsHy+N1S0PM23X
m5BnZwXAbnos5l2KgscjtITsUheYeKgZaPmryJnOLCp5P+vUL10WTu4VUBHxAdzS8VJJUoJh6DnN
Ja7wuDEEIuYj/Ruw/9ln8srey3i3a7yuMxgksyqKg5SN72mmrf04+WDH3emwUkJ8AuCqHJ24Q6Gv
9TH7aCKbfapB8qbKfj/xj06vSBWmJB5etq20gHi2Xrwy0OcFs6bEYVphXDJJcrdwng52T2VXiBVM
uulQ1ETJ5Jta9831DtUgMVTm6SUAfhpJmDfMbofdauOJjmv7iQ5tfob3RPIa2iV0LMumSIO9dBNJ
AzI6HHu0I6g1Fvd20QfZEiUDwnnzV244k66M47HE9LXSTv3T+jQrDhHYfiWrOWqiXYbVTkCiV2il
sFIdJt5LCI8vunw652ku2NXBkgwJ9c9qTIBEk5wkTbHZeJmZQXPxFdzASJYHu17hjAe/M4LP/GLh
589UvzH3V9p3+I+waHGiNV8R9M4rKTYECeowC56Zg/WXX+FbjF0vRDwAdOacLDvG/VVd0jew6+5s
Oiyp5DSRBsMecu4aFyeZa2ppJbtxx7dF6Kthlo5sW+X2EC4DvXp4YWDWCjE0E//VSvPI6m0UB3C8
ZxfEmetO36OA9U4gaI+qkF4BEkc8/hywD78w5Qd6osY/cLxrGS/1TZbNp65bBJWffbN8TjzCzCxI
Bq3TIlTG2HL72WTFqLGdtitAQu40XC48SQB9fpAcw7eOcnmGz+1zawZTK56RkEvBI2UDuedjfGPL
ly69NKDD+KF0jENl4uOYT1v74oYJW6/6HZZrJrh21D/aCOWVpe4k4LEsyWY8HzkiIxi9LrvMz5nf
iKn0qheRLXEoDkndeQHk5f/pGB/istKA3f8eAl2bKQipFd5G9mYLMz+ZgORpqYJx5sKy7Ey9yzjc
Ei/hUZhKJTx90GCfpxma278lb2YE3oHx/q2P896vXjo1AT87ZhKYCeKVwmtdIUswbkQWFjmuIINv
KU5lijMxpANgwN4o64FsapnVtQrGDTUYr2KxxsXwTppoQ8mIlS4OhK/q0mFjVi8D9gOwh4rvowHL
qiIH9NE5fJqOTEViJGF5tY6gql0yz6BykgdUH7jifR286BUQt0wgYFR5DegPZsf05pKPJ/tEEJ8l
653zEceWUbM3rgzH6B0o/qAx2wZhtDgFwU+yro005FAq0pOhWF+migj3s3y6K6PPtdquHAB4OQ5Y
F/Onk6RUXoDtfdPl7T5OTS+VNtkqfcw1G7AXoPL0+WVnPslvJEZ1ss8iUsQvtfvCFLcGvJQSwTKs
8OsahOwfaecBY8gl9uNvc2XkhUhkLwkYOtxNR34FWBkL7XkYDwOZnB1hPek3OZXuJP7CEn2vc1ng
Hnu+mfDz9XImokPy4QfFo9iGesmycPHQ+KknPbWqVVsdghmIz/MWOMzUR+5sUuuneZ4fZPKE4vsy
j/e4wwGCTwMntHF9Tko/wvqiIkA+fKm9To+cQvaW7Hq3xPbbDCwODnFIm54i3BI+rCeRy3YLNi3g
5VjGYmX4EnwkTQ5ItQMdCgYxFp4CtbWobx1aKNUhXQCbgSR9JVxAFH/JRdCk/RSGEllckWdMvffV
xGxlT/aJUylvofbBbw/K/uuvdLyIjTl0ntdGh/of2ME0sWlHWFr3tmnszI7j58yiDIJU7/bmbUIx
2kXWKz3dkvA82lbj15jKhLsgMmg7Th+04oCAqI263W2fuxBNHKP52EaBFQYV7xQnTPB0sNIM1qSb
LsBf5L+TYNTBRGp/PT8bmqsBZotQXJgfYqdpU02SBBa+jDCs3VFsmmSH4blzx61P11yyZGT9IA3b
AXoo5IgWKQ+OXd8byZuQ2GyAM0O5QtFcdUO2medZeF2+XeKrMusQL0kSk202J14eh2MZOEeF8ycA
UYXGV1uJ2VU8QqcZhFEwMQdODQ0N2fJqJHkkVdbhlBewnxZ1eGx19KW95RelgIZej6BlUhEoXzeV
ybqX1spx/2M24EU6/MvJwozrjD+t4BJo/qnm6vI3sKpqfQOyfkVyqeMyh4LWWfL1f2h1gkDKhrBU
/62fohfm8FNUchRIgV793PMeA3ar70eDoiq37bKwnbwxIoKD5c4Qo+1FaxH3DERTrDN2jySdhPDD
eyfstJ4VoG13EOVnD3yBXQ4UYEojq1j3GW2mH//9V+MllmAcG58Knvoy5DEwBRW4q93pcjME5NZO
+hPXI3H6oIi7kUmd569SkV2ga7d8TgzJ/KsvD93KGB6fVwu0k8HqfP6VQI1WzXbWpZ1Wd2es550Q
PZi+pLHt3+wt0kGdHAeV1lUuTvhsdntfwRpSdlU/grK/5w5y/Z+DuId0ifKoAM6rqyjHsHurDfgI
aumewFWeVkkPtHPg+AV1FD5yHG3e8pm5EmgA+JptUKQB+fj8oVBiyPNxnZdpFHT1Z2eQs5G6w6pB
a2TlVvPLBMbI05IBi1rejCKban/gbE1jBviI+4acH4AJclHiuDdlAPNwff5l/cQEU2Vj+lEoa45U
QiGz+l/mKjl2VNA4b66oL6MT1MUq+0Be7S6UAGZBZGgeP6SGpY7qBfJTA/L9B9ZsAkAiXi+OrGT7
Ycf5PzktPnIxcVgOXqsWUYW1uCqDAcK2rFV8TIU9kBpuvmcf3PCEipes868dRDFjnUNhJ5clkbyN
IKxxQvZTssrVi76DjDL2ZHQ+LaaOKDcOEb5jy9PsPsouiQ/cTcj7z3uw+Hf7UvMb/aPfO5C/XcAD
mUs0T412T34blmhKatrknG/PVHe/iYiuxaXM8YM/httqSPpzQYqPE/Am63tCYDdGVrbDLzpoziIr
cwQgcZVsxCRWKkIxnRc4uqSWtqTgkrE5yhkMx6+3QW0x0ryl5Yip4azY0B3nW00xQrk3fMzqiS+N
zuu/I9rrMeo6MmQ52mW5qaPKh+Aae2WEduO815Ng2wxbuYaV2zJsCafVHlHh5a7UUghc8UfHYHgV
VoMCw4SCYhDFSZSF4cxcoYx06pppJJrhBjyOW4vv2OBsn7r/TMdEjLkmOsORMoHHBFaiAU9KD7au
kD0fj+OIJ3GzqZKK94q4RtYWU0wfrhvC4mTy/lj/VhEEfqxAiiiUmfV1Rh4hwJxWTVMD8b00IDdp
DH3buqR/r/TSiU4Q2BSjQJS1W79HnHIFA/EPD7ViXR927NWS+yO+ROSuZuR0sULgY0EzA6Z8D8Og
3BsBwEJ//U5YFdk9i2uR8OAf6GB+UxLZuAslOJtDfaeeJbxujOQfnMNhjp5VX8VkQCHiMLmwtovr
M5dklzXRiwu+2wE7+GCizXhzebVeSsAxI8SK3WfsS6pADY45n+mNxtGMM6Q2vHps939uZUfY6Gnn
csPA1dIrOA2ITBqqwMjIdJAcAGYvbDnkY3IJ3qYbrjZRVT2XSq0LSaK28Dl6oimF5jpF7fBvBJnw
hdA9x6s2IWR2BzBCXBzrifcrFjqdtN0AKPkoYa/ldPaq7ieNGAKOxdgsGn4Ti/8EJN7wWEJ/wTFF
UnV5gHwFT4naZWuA/OwEzVrQaVpTmXBmuo1jhG6ZWOP6uDdDQYkyN3LDIJpzvF9dDj9f3Cw8TWWl
GcUjebnUmEPPj0mpkGYEXLr/LQZ0SPKiFbBoVrHs+OdbHXrc0NXCxiWfH++roL9LTgsVDLfV2/Zj
SZ4NJB9Kz72bezh8Czm1YsGbg4qGIoe5Gew8tiG8gKuck/zf1QRtJCFoquHBgLVY2iUMpqyxIfvU
rg3qSAsCQaG7vIsbffGOodosWd67ePxDy0B5kLBHMj4Uy58BWN2uakZYuLygtYneCbRx3lkPFWPy
cl2ojqYTV9InU5yU6wz1WGeJEewa2qkmwh4mUEkYVwu90Wipnks611vIr+oP13mO7kXI2pDszm65
BMtXtzuEmpnd+uRPD1imK5Z/rk7UWrmlhDxRQ10ZvADE8oYQAZMTjIQX2/jHk0T1wITYNeqxIflu
g5ruB6kbqrU2+8EFYV/DRW5/agDNMqL2xMLcJd8K5J89p06AZ5o2uF25GIkLU/uwHXFjwkeDVVNH
yFIt4qsCwDH/bjjd2/6Jtg4FZ+dAwCaig9TL0N5PNNCQddItBB9uw7OcFM9WV1XLVrK8K2P7oYVt
pIIAJsqFcDoF94kVmEYb9UrsGt42w1UhKwIzEw43ZqoHbqnpZhbU+AgzcsCFy04DSi65k74K+oqS
jsSVpY9FXt4kSOcDoGCrLr5JHniMVgbFK7a3HqfF78Hm9jWaOQulruMyhVRiLHyhQjuW1zoXw8FG
AVxlmERvNMnVsdoeXJJW9ckpvlMCV3xueipUflIMyObTJFkKQgvm9DCiL7L4PdlCa75Ij3PkQR1p
5bDV8k9G5DdOxOff18L3w+JVAwSpvyDpol0XHmcF/cMrcwhL3nh1MPAbeCHziVMPzCMBylLi+075
GUQ9ubXqU+F8bMcr/1JZBzked9pxGFpfejwcC5vOL8JXn4Cmc/CeFEj2cJ4IBPbN+U95vlTcHR9L
kYk4Pdyu1WRviCbBM/+r/4cTm46CQthte/OnGWiv+Ac2fOuFuFn3cVgmDoF4xTO0NBIur6ghh3vO
N4nXWjikoxFV6hu+MMbC2K12W8fjRHmSVMM8sEjJEGwIri2G0AsA0cbkSHdRP/68SmxsnTT1wvDX
4KZysJicM4gM5YGVZOP/BabU2N9fSA0Pz7iVDQ+YDt4GWMVZED4a+DHTz7xEi28tAPUh6bFUrK+L
YklwJPA+vQ0I6OPkGxH62gqcAziPmQvHwtpnTo06m1c7FsXM/ZCwQvDH41+qapMsVdcRMktwSrR3
6eg/KyHqc8hLiCiPLRmc+FYhgJvY+ST8AJcViInpcnp/uWJbida8pgYMFv4j0B1NhZME6kvSJVlb
usDTjrAapaM2kjo9tIn9pzTPCtmRsSXEQv/PisueRtvz1paVI/51jcuiVWH3px2HPWuef7rpTROc
9CLKqzpOaIl7+zXgjG2KDvLINY2NrImPYieUg/cHUDzxUL8oz1RpnSB1krfAGyJrhAb0TBbN+HqV
DLGVSuXRd1ul7YWBv4FPkQU1u5EQcG21We3qMC8zp3w5FSDmtVDeQv8N89xHA9lHN3urKtuLuoBB
Uq+36fEXi2DnhnGv9UnZBgz+6mZCN0qjqJXxSWOAijbjAY3ylK8NlqPSGDQE7G68V44aP6jKJkO8
BqoVenakWM4IrMp45sjXIZCxFsxIXcp4lCBRk751cQeK5V/41jdxFSzjDlFINuxGaJbrtUlgtJja
Nz+m+axOckiR6aSfkoS389DxLWarW22v5i/aYsd0/kDNAsLSbkOkByBDfF+0CrgdlHZZK6sleQgu
8nom6O2yZPy7I4eZlWvhFHsE4UFMhjz6KJxDgFd8ut9I/6LHQdPVQqEYHJogClhLkPnBukGa/Lcr
Y1EKqj2QvK8wwzrKauiKRGbaVQgg3zzbVA+GZTqqexeim+q1Sgmkzsp7UeizPsWZhaN5TqxAkn+C
hBKCf6OSxrFEKf1Gfeedgk5zqJZ+kn+nJBrIb6cZ1CDb0hRwvW1TlCdyWnz08aB2blc5KBGjCAKa
7fvLEUUo/9l5OO9KdslUbHWgZpq/ryDfVdtzHj9XN75IXmtnUcHTVvMjEdkXTcAQRyR3CnO3SnpE
HiEXjjoLu6m++1cegEXrn9SIB3j21CseFsrD3IJjdi6Cyjpgx8tzAELJJapZgBi2hAUV7tDTgN0z
ssubG8oQ0cYMvYlG/Al+RVOfKuy4TV7b1YKcdhHmvkxBIMONuXBdmePc0AfiqRVj9Q3jB1/Cx0iv
1KsSL0ocAJKmvLJen/croSy6RngIFr8kgCSZK9UYRMFYkmUgUqKLjYAR5Dv/PcSuZujIA5SPKTsk
nmT8NQJJ851/geNBBUmaX/rVdOSp4KXQWjZELOK4MAxZiTTJqomlD8xEIdpwa3DXqa2xM4+kcGEU
W/+SPIsNkeAVILBUBU2zYB/weG3eWzjge08fztzxrgEy6OazVzbGM/u+KJZo2VhNDJ9kV0jMn94N
t5osMToXJQmXvJVsejzzwPU1e7d2H3pD7AvOR+h+Ru2f3ZYLM3h8ZQ+z+goAwsueSeJeS83KjelT
np0cth9g5hVtYhqd9d6CUxCmgbIFa+Fw+uUP3YwrTNKuIFnPFlnf1soss4+ilMvViV+d4nagIZRm
Ka10SSAqBpoMZZK5tYVMYTmjVTyZh9mrJKXcCyqaLqB7sdT4wMy1gGSEdZRba0Q49XasuxA/l7c4
6fUZBd+DU5kKgf2PgKeRUhVQdf2/itkdRX8ORJtfjHIdPZKCJ/81FoM1pCjCt7npqEEqwbfhdiMF
zUFtk5Y9Lx2NwegPSoyDLUBLfB7ic57fCott2TlMqjWptEcrJOODAN8EBW5Dn955ptbwmFimIYcx
EbUPioALwVrhpjTiP1zFoiLN352ofCwtJEU8r9k/YBkcwubEZAIN33Riox1wi3I0/CB9RoHLGzzz
N5dhMiWz5m+ONY5JcyQVEV35+fTsdPG1jOslgX3cO3xX3NZgGyD3IUZleOYsF1/g/l2PoyGXGYk8
yHr7DTKwNmAE3jJDFBBdcTyakPMdVN1nPtUVBDkjujt1cXvbmWJzL3j1NNaEOfK+h6So+VMokW38
t9+9EJy58o/ZoPfIexx+GZmiFhwlCS+AefP5Jc1MAn2YP285Kj3obP7Un0CXLWdBKhR9RIohkuzG
bU/swfxYAuD4AM6mpiyYxs05vcA6ggJzQ4ZUWaepH9hipmcbgYT/s/fuKlzTCeStrJrSXdKdXHgt
U0QqPUbK3nyyC9g3ggxYJ1ygIWYHqGHiK7N1hMY8T95XB1Nb0PETc0jPGWYJsn419XtbotvZF8gS
kHrMXG5mpz/Utpey6We8/KQ12f24TRXyLQwSAxGKIKsr/I/1fFy/y68MBiJffxgWAQ6py1Bn7LBV
xRAuQC4xp4Ad8+Kg14Hw9pioQAQ/ABkBnKGyZPUP4xhBEieO8ajutJyasxLlndT4Z/r0uwRSzobx
PqtSfg+Ausx1Qo/40a86Qh2xhgmhewEVIq5jJJ5tnsOtXTbhFJ1jxmTKb3IS4vp1olgrF9ha1EOk
0TN5FF+x2gtJEdfBHMeVXt0hvsM0YpCd+T4asg5xUTovwa8QALQfMISHIlK+MXRJObIzIVbvk/Sm
gE5m/4Z2TEL72xqD/pzFYg+y9Rxn/S6AeQGTuoLpWm22Wqtya7kKf/S+hW5GkTaOEdNjNIJSwIKr
XSSzkUm+kBmNBJNoyKSitNOhyy/VVAXQjIhYPMJvNGJXG02iUzHfSZ0inOcYU1wrT0O7F/10rHOs
TtS7duSSytB6g9oXDvGZ7LwWmoKcSgBOsFlMYjvLKS2SH4D1/5w9bydfcdHlErji1Gbdy6gAIRpg
vNgq2nlBvoiojG75c78K0FrYJGgjyxrzG5QQ5SP+JJh7Zf8yoEf/+7lKBo86p/rboetcIY7eY+V9
1KpI3SI7Sjvu582LYJDJ+P4BmALv2Xq/u4JHVgU9sMo8YgpNH86uG8xwGBbri94tIyzfAdnmFYjk
UhBMlUcbf8bP5fRipbNZC4hpq3NrkGc6C1887lrQEkXJ7Xbsij/6L4d/2K7fEBVO7/c+98MmKkwa
5A2gXFjRfcmqUxZmw0/kphJNMqugsAEihuA+w6Q78yns+ZOCIQfsTkR3DWAd32HzGxs19nD1C9St
QD/IjgyFyf69FHhqycth7Q7KRqBQZGksoo5jhp0iNNb7f9FK5t8cvHw4UixbTx0t8DBvHky6oR7I
h0yLyhu5ZtoWo21NsQ7S664M5kijFNo2PxveAam60Wk2SBiPDqF5RhzBVHuwYSnNq0DuQaWz2Yhh
q63WnaKhZz2VGAcbdLkh6PDUqqxfskxRdqE6VsPVifWnPn2gJthWzGzKrTOI5PWvnttFgkgsvzAq
8+Jx2TwQ0k8UqOnqa5xtH7pyfxm5g8vF30z3KdpmFaIYzE788qkUkmKM2t6U5w9qgvJzSX8Eeqa0
mrWJwxK8RUJegBBq83sgB9ts5/vykZTgCP5ZNdmjXRzqK6JTyotJ+HIY5Cpccu0yKvTHFhT+Mvvl
fRnC6kNIazb3+QS8JlNUdQHndMbNqT4sX2clsgMfhvVi4hmg/veCTN6Yz6FV2NgA1zpjoFjFgD2q
zZN8ggXc8lanW4hv9oMy59YJ6SVpbP7RpJJITDhLJCqAgNtGBJvZeF1hpvZVf21vsjc1OYKbH+At
XwNjOH/eiEwFkCSB61izVcpLs65WR92XOwY8ukbsVaXaOdBzcVgizP+AGgIsSrbyFQzJ91xoqqC3
MPzFlea6xCKKCbb16V0UPapSVSKOOtv/RXGO0oaqUXj3FyhXGs7SZDt4V3jn2agTLDj9amcukKjb
eFEeeBfIMC1kR6r6EGAkJBxW6zNBdiEjso89C2Yjjy08XQ+zo59gsRMJh1/SwGL+mlZaaJIOt0ZA
cKkjHxmGjsXd3hsydCC/nSHPEOeBs0Xk6+ljetjBS+1ct9t449Bt0/6Af7RDtk4RbxexATpdQtij
rLWFs/gzSXOWbY8T8GF/ifLu2czoplRUSXanz8pyQF1bvQqe6uFPA51DepCFnpRFXVn3o/7OmAHo
4WNzxzrb37w1SyqAiVkQ7YOUzFItl46sy18249EFgTodxy/eTipBDsIUPesr2U42yGl+eIss5848
zgAFxOpofPWG8J2O6o67w3lhc2L86ah4YEB3wGSyIyS4fGaYMH8BFuwnUp40e9ziN5w9iQG1ZJEG
NvvdhGs6wOft0GXQIcsXwwMRIUe7JH7MCxiSepHVE2F4fhIj7iXo4lvEd3x/tJjxvUtE/9uQE8MQ
3YHAyZ4QQSW7rudzVlSHbq5kWR1yA4/EC6NQXK7klpR46/vWY48ZJkT43J04a8xNvaGWSWCmlF7J
GQKiVM7NnVsuvv3P8QWqTQ4v6LvfxVQta6egfuR00D8oH9jUqDNuQGMsbQ5HzWK2vd7HRdwk/A2n
x/z8ox7z23sbu9Ol3Dg/OjM+2ADJLuNwdIl9xhWjThfHeKitz1mlgipbLyNDoZwHnxk/0QbXN9MC
5BvpdV5LGYFw7zUiUJ07Jjf8REezqPKIcenEpCcr/1J8qmvVjbQ++KFJtSDidzuN0g1ekHOvbOfn
KzrIhTHSfiZIIqIPC5n/d4ZFMW6pJKmPlTq/vuKb+lQM/LfHUV6NXJ8V4KCkZPkjys7OHA4AOHcv
tUDWftkHS8zbsxWIWgYTkOTt1vaI05pXthyWSoNUHEycep3IZLXM4qSIT4/TvkzyFEX9S6TU0eqS
DY6bUxmeDp2wTwqumsB6uLTtmCoqOLuBevPMwQPApZ/SQJfGucpROc4A251rso/nLy78bmmfeAmS
CxX7gPLisbXN0dAkZ+7pq9cpByl6Kpe6hNL+Bmd+4XPpDgInAht1+q0p6JdxTZwuUszkAXMRtn/N
EHYLrjnG38lUL4xFEfBanngmUiZzsl3zcXP89swDhhksRJr1nvUidprTXvas55MKiPIeCtVtU5UD
MMWFXwWUTqz+J0XdcpSoQPtxixGOCjZEMTLSpF7r36R+Z7Ii47Z7afTdqhXDDhSv/mDIQXOyQmIM
tgc+5TshIDpRHLPRifNoeb6bD5hNuVjBG46xIPUMFpPG4JC9tHzlDKSXUxRygwaFqRGVA7VlHGcW
DcH9D20L9B7f5LCZX2AkrEcuq1+Mhyr3Bw63OyVfzq+uq9g4jhIbSeVPg3DJt5i1NlS2B3t9zo3b
L0U86WkVlKROzjbScyyj64ixE+C1c+80ZjMMq67Jeap7U/+7lgmnr7NrZDHIdJXOP6M2K0m6+Uny
vg/iUPFJ9cd2iBiNBuLrKpTfPXxSDrjOuvoX5RtukGZs+XgupK+8ZkPt+N6nV70W9txuhEp6sbgm
ryy5MyiKh5cS3HGkauzWbpQ1OX699Kwp88E0gr9N8mGJKEtd3jc8JRzov988rVUFGulktaszY+ld
j5tQaBXpXlhroLh0oR/42h0voGRJf9f/7dmEiPHYmTb6K4PvOH9qbPPKQ8vISi/P02LfhZwZ+vpy
nfrK9zYzjNXc1RmCCPBntVz6PJtzPr4yZ0uu+3VG+t2z790MhYVI2zE5Lf+/HkYMGU92QOd5xQV6
NB+CQ0g200zzrXS8eYFdce7ra7HvFQeDTtxOGZSq9jXLBxcQNdZx4tGEthXtyH1hQhk2xjzCHyyD
Shpr+W0LMj9aJuiyDofWXhvM+SUwr/U0oLaaPbNU1SYhiPED0Lb+3hKx3xiXmoepHcwurZVkiVnv
c5+MN8XE8utpt+xiCiqG9dmkBL6uk9ufkF9UGWR7QjMiIqQAEOSZ/fj9kXX4Wokil+SAkTdDE02f
MMtR0dNzmhw03gVQGHhcuHvLGRy1qj/i32PCexrx5xpcEcvby9B/5TS+6u8myL5s+rWTg37mKPby
HhULqtR5aulcDZNhpX6V0xlec7cQOQ42h4KM2f3Hcgd1N2D1wQat/UJ5Z6NP5AQrCe/OL5KQ0XWP
KYo/lDo+9TngpIbT8zH/RxW42pHOJ3f3Kzw0TTwjxfmLlDJFKrvqmpuV7ffFh4s4QoajR1n4GJ+b
tjCvYpk82mKw9dTLCQ80/ObjgGG/yzymgsFfGEGlZPkL71d5649waX32NOFzWjDpGqyHtffPK0kY
q2FnEAoek6teC7EIdHTzhzwCPco5Iqsa2DGzeFBMAPcjIeU0ABJLSUqI8Zua3e0oRuSyv5Aj1LMH
kNwtn6X8ObCNmYL+raSIpYFIM02dgtpXpaA+TdU7AqbP5OoHdNJUUu5QlL+NX/hUjDaiauMxwLkG
R06pY9efjCDqM1whvVfOvCOZovEVnOm5eb32K6UvuvkydJwbQlvIhlXaVKElWnqSQo7Q2OnBKtQR
5SOMxrGrTvyzqAuQUh4kqh8ljxWqul//IWYBSK2n4XsMA8dvZxZVY6qJBspQMyKp/k1DtdI/G+bU
5rUN852z8nUV8bCZXEr/bIk4W88p1PA341LudwYd7NzXgnuMSx89J32TAmXeMo6Ouy0XrP/83Gph
/VOHRSTS1ZUHXdembKIOnULUTr7ZNeF0EEwlSjfpCYPoM5PwD2S4AcDe5+zgyNoBf+Q8Pf+d6v3+
fIdCpF4PSB9U0Cw0ldyjdiIs+O6QVoZL1OhYDtkaxDx+jpZiiRulwXzJcGWUyjAIzIkzR67z9bl1
BqE1vhGQ6rQJDXe1Y1FoZseOHNuJ0z6zLD6+1mzXIO/EyicHs9gttB7v3P4gI7cbihdN7c1CyN8a
oqcS2EaHi3s1ufe9/spdwPwIJ6OP/SYD4IT8ygDs/QWjQmA/h/2HDk7LerQWHjsRvJ7RYDD32vRr
kj9hwri/0guHP60XogfF+A12zvtilD+t+wubMbeKk0OoHob5bH3ODJaA0nhLIIf4WFnPL174sBHt
9Yrs5pE2m1yZgxzMf6prOCxXvjYnRcWSW4+QwJnSffVQv7fki8CZ5Q5vst1UQPHMJgjwURsmxAtp
lnI5/JHO44Hyd7Cw9XvC8I3QkGNNt+V3SS9b6XntmBxLZxNI+nCoSOEO3r52TVeO5UDd7v2hdxzt
Zx7uWCgeWfO/7LOFO0qZic9jzaY644e6QpXTqxFg3DdagL0ehavW1KFWIr38jEVxZDhHm6Tq1KSN
4ILrpDJOZZ3CceoALHKlIP6t27+oI/sp1EZZTIMgHB+QLh4RH0nxX5IjtKD2D4+8uiW/upJcb5ZT
WZWX6hR57V+/Hfo4uPLYbsBxFGI4TtCBWe1MtS2l0j+9ZbdWFDq2YYOJJzLgkHzc4ZMaioK6qZFm
be+WwVH29Co2osAWFBBahtIYUZBgt5AA3y7PETWUTW8F81qOUBW0NhPB75qPlmPpjcChHLvI6rgj
OvZwGXpXR8TQVyC9DaSa8EdFFhZtcMpOZxY31CxiK35SzAN0/dVtmEN3cYCGpWC8F6t9KpA3Gn5D
I/PhuDR6zCybFigfG8shAX/Ty85WzQ4dhAqxVpCZ9QpXB1ThQXjKtmaJOtwrFdASVA9cFNWOaBtj
giAQcDz1lBVQkYk2q8eTkZ3b3OeLDtz7aCiXklVFxTwkh8X/h//f2xz8O1d6PG47Ga8628rQ5dsm
JxnOEruE4xUkwC6l23Exo9moaNcrkaMISWvZqQaTM5A3adqUgAU6v4bwdC5/fTbiHdoLjLA/A0n1
wmSgo9d3AoQ6U0E8csP16wg7OO5FxUfuilVRNxXmHfL+K/aclfTog2rXkH1CLK1MUgLb+ccDBXtJ
zkythqX/wbBwXcp0Ti4LsFH34RXdItyppK1mRZf6bRSZp0ExZ9u6xul6X7BA9ocBzlUbx144JuYQ
THUF+5yC82dyPY92MBij1CM2w6fv3s58mfv1WLb+AJCbp/65vRJi8NQpTlNXCXsgPnVFxq4Ykrme
47LlzyXD2Mo+X/uxoKYFbm5XGIIFlJCPJU6+nS9CPdMhrs5ob37fJ0sFXrkC4xjFPXcug9Ewx1GP
U2F2K4aRl7MkblmINlI/Ld02yZDuWMx5h5UEJmGQFJS1qeDsypu+citxFjSlY/EkI+f9PuPB9Tny
gAX/gEJoNhmGn3MtaE+gqPtTwX+Ke2naTyDJJ62amThKqMcVQ+/nooPpLyWkYe+9l/1zmNynQEE9
DyDkRInhwI/yVm9GA+7taYlkL70lz45znCeIBa1FIIXP5SRfY9YOf3R3WEGM9/7AU8aO4s7UGFwJ
PAL10pHoyxGri1cOijhd2PfXeOoWWh5R8sATbTjJxWysYeitspVtCeykWTTzetXGxHQZ2OXwF1nv
GLujlfkOUs4XpUu8E2KZH2gJQ8ztAhrgr5bj/8cW6V1fycrRI7YegquBuURI/MALZkMhUMCdIEWb
hfd1+wpeNxndNmc1bSL4xgVtgO1yFJiQHqVEBPyLXJ/8tV2E3vVJk8YLILdD7YLgH22nGD/ph/mj
oGldrkXt6PM8ccO1AHUqXfTDfN3CI+T0fqXW5EgTPFmPI0yowJVCQR2vUI0pzq84k26cGC+iD6FE
7gHyKQu3Zo/b+8Ngao23R3Wwamqs1+JNhxUxktb8s8ZED9WzC7hHVkZbIuzC8XrPDnNQVNDQty6x
Q9oos/pGgR7+/0a5j23lYKCnKndM2MGqSAL9pIyyBLwsJJXOE7Cgvc3UqJAS2K0enbtb4LUpOhs5
rpvjktYkpSb4oFFt7OX6qT57o2x+nHi+ArgZWjZzGNo2axABhHU31AULWqBCoF8IKDvZEQuRUDkD
3diUO38js8SHiKbnLPcqFvZTVRTuJF3tuGlm/0Sl5EPQ4Xfgpqral5KthHOqgdqawPR2gco7EZN2
FFZajKKlurC2KEsZnA5lHEQFfaQiRwn6cU4U4knehqWRe1wx/AL5d3U1eAkHSZSHrgqQ9+xLF96j
gdzmpzG/a85izBe00EuuHnNQjpMDamIXWyaYQgHqwPeRM70gf9ZsOIBeHQT+1aHHJ5diINsPr0Gx
y8hLWCgp0FFvF5Icumbdi4oOlIDwNWiv65D0OAgzHiW5s1DD3WtvKiuIkzVZOFIWvaG+bYOMgJ3P
s+Fm97nmUFn53u+1+HOBJi84CzPQQMxSkJwYhO+NULHXI75UKqO5M8IbhtFx0c7xVy7X+Yfw9iBn
YBdbTVa7iJXmPLUXEY06T/PHWN3QKWEijH5SnIlRUPi3hJgj6Sz+UATAr/Q6MEc3hFHRQoe5Ovwf
/3sSLXuCM6ggXMEFk3CWhc8rv/NfUp4Hh7WSi8Bx2/ZryEm+0u8FspbTt+d6V/sYXBCnCe1YFRJ4
ObZYgc0nbIL8ibwmvpE+p7Ayk0V61PFC+vOZ2DYDHj3BQ3LmjYXI2LOY1Ql0uTxE/KtWkzCbu86R
9gLpXBXLqy6qXjYoAojCr/DOSJ5P7htImI1LXzAXaWSUp1WS0Lu+Zx9MWa6LJKSjQvisMCYdav7O
uhz1pbH59e2NyKJNqMqweuT19c2E9tBqcBQaB6sucI4FlbdiNkFNFB4ANXrYhJnDQuPua+NitWN9
QXBtv8vxRBNldVoWu/tGZ2BXG4fEwhrre1F3ckZ0qWUPYdV8EzVXY8nmR0fifDGTzY61kpL+ZyWC
EStt7NkMwWonovB9dTlgKt1D5mECOK+f+pExF9CqQLlIRroTMZUWhjwy4c8jdOeCxu0AlzBnXEwD
JEs2p+ND8oMRZ//IovsSGzIaMbeldNs0/5ycdc+Z30pFLTfZxbXddivskCrqB9KBBqpg8BfvtXqp
wEEvp8vT84LsYb//IXLqBZa3uOuSss2nCNc2wGDRh3H+GclixmTzENkfmq90wmi6O+770pB+ryt1
d8P5UM160PAlXX/mTOB/t7/6i8M5nmAcTjlsYy3mm5wfF/6uuloJbOPj7BclcLEThdWflEdnzHhb
3YaGvWGcklOvQCrsHseWEEK39rjvSxFdV5ZED19V67NslbEv1lQGsJs9Iw/Cy3XQMYj3+miCCH71
Mz6Jd02EhbAej+Huqnbqw9dqi9knQrteLDPgjGHaaco2TMDB845OhMyx96oPLBzJVuj6nCuDVS15
nREZ8f/dy7WWDj6YMjDO5/nI233FZWuCr65MbBd+Xa2ULg2dyApeVfcbvPSZI2jWG4R2x2ybEj33
3hMmHHpUBeeES3wKJik56gpmg/bY7FskZM7SGpSDAQype4wkd6Q814Kjwwd4KY54aTgjoVPue9gf
ul2R5SwP4Y5mArPDELiZfb83RXkPmmmN94/+ewvgsCTvsMkY6GOLuInriyxoRudKhOKmuObSuOz3
m9gQAXlVX+d0zatQgtZ+vGl9vxuBOLSph+MaCjXOOOiTKuBwRQ4gfFzaYKUBtUTmNZx566069+hp
3dY4pEkgXWHnT2KbZ8dWEGjiXNbggZoO+uZdEnmnP1xUqbreUGkB3jqzIB7kke9EhAGXDxdycT/r
WSRSzq7Ck3SPJ6EYvJtpzgxNP/WCdbND2F3yMlRhTeOydCocNQtMJq9V1zpvN6Sn68FAUa20HBLU
DC9IVoDYZua2pWMpFbRigEFzvYJ1ABdAUoFn4OeGKXfuvz3JtIScdmXwV2VscTEUJT2n47yZhV1g
/Ti0XpmOaOYvofw/ie7VTVJC7d7EBWX9SZ8Q4gjYOyDZqw2lJpxy2ABOHfvf9W4af3xqN9ceoGVZ
6I4FPynXbrdW33bTnCuAtmB0mU43U1yWQ6a5yoaDVtigglYgI0RTp3ay40/8TWsi0Y4vIoBjmRPr
yQOe8LNRrbe6SyLVHCo2zpoKs7JppuhXAhqgjoVD7Y5Xv/lL+GHPGOGE+Y2hpPPrrwjajpk8g+WQ
iJvjBX+YPeGVEaxgLgcJVZnJlvVTOaWWHHAnBWqk1r8nXuizatpAev9Hz0mHy/pGEZragOW7K63Y
rM97UQOXflnoXgplVONZC+59QqiOtEPpetpoaqbyim/ap3Uas4xbJvee/SGHJiASMH886S/qUY+7
6zV8r/rhMZ++Xo2EGbYaVhgFfU3QGfPZYtSzmK688+EvENCXUudwwupmMaWaMGLdatIKyFixNHE+
5pbVTp+We3cDuQbVIFvsRwohuLCfEFWPD+6NX4B9DZYsm14DbN18kqQlRhIbePmHxnajXhVkJ7z7
6kyAuh6rQ/NuChLwBvaQkuMce/Znn3JDvxizTKvdLqi98s/UAQSUwJJatFpP0qBOVEpwmrl6dVm7
1OlRGIitQRGU/mkB7m/xnabaO9MW/E09/9mrDoeK0dI75NoIUpJxSnuuziScvC4tq0zVxcHdxXgp
MKEuxL7jETnSGoTXePT797KFIU7ID9yLdp22aZTqKr8aNnkmgS3VyKCDKNlYmfPi438ysCd5utRg
fm0daUoHVYfD8lnEF3c6Ypi6PqGzgTiZ6MNyyI3JDdUF71wLB9rHX7bDXCMzd4K/i/Jqd35f6R5E
XIwlU2VhTcSLnJn7Z4EEyxxgk8j5CjnD6m+M19QfXYOT58oM/UeWtYUprgBY634Anl2CMlLnRdGW
GGJyaXV0ePLUCd1kaEaR/J4lMDpIeWCBx8sfdlkSNSEvePzw3aL1939AkrpUXhoIZQqxwiJSKj2V
YPm2K0WFDbRX5KY/TqEBR2jbg/IwAuOyi5PJH5QtmMDzu2dBysR+pLHOw54I5DYVYryCVVq8Nqip
Fdnm3iDWrqe4otmbYdf5QVGtsbqqptbZhf0983FEMc8n4TQDn5u7fMmUXyQfyAm9OxnAn1AQsynT
1rblYrWIf19jrICALIMf075a1jiXIZAy9SLGSuBM+Pu1c6hDPh6ADO8IHJWkw9Eu8YwyYhm+sovX
ts2uMsAQIzZz9Yu8Ex0KztZ35n9K478XQwfHRaBrfQCcIUlogmX7i9QSXTpQd0K3SAYkKox5Gnfh
K/Y3ucU368mPt7DKdFolKHHAKVhDbQCxvfQUFRbZgiwPMZbt5XwRA5tnOkpDfJw6gP82s6AODQ9U
fgMFiHj5KUxv3Drzgbvy0L6kBjNremKmYUYUnQmGCU12P7v+D9VqLxE41ZAfIwpxSlcq0ziLirPf
nhNisEn6dB+fTSHeKcIHuheoxjrcSt2tW4fDESueot87MN2ZUDDrec5NqwNptEtILCbdxbE+OQDu
OXQ1mizCAz6lTixhBZLv0phcH5Me2I+BtydekWf4qr8OXXNgUh/0onNmeUcpEopYr8oFy6MFQiXf
QZ+XjQrqU60IygXO1W7mtzw9fQlnPPuu+mZUB4Shnsle6dTPu20yJkMc/pFka2PFsu7/Cu61r531
xuUtsHy0wW2/7tTfN1HEWct15oxVzJtwU92haZARy87RiTOKoBCizzDCkOYRH2Z26jhlegK6cFgJ
X6RtJJCE6pvVGAX/VIQW1b6dZ4K/UkEDOmxm5xVwRHy5XuNDoUMAUa9fWl/HLSkWQb2FgZJjQ/EN
KXDMTFRnvB8wHU+z66MRHoG0I1tZluOcy0DkfH3xb+0ZPmzhlrHq3lQJSmDUjTOyeK+BXjuihkNM
je5v+DgrXnTSu8coZL+VV/09C5ewzulP2+g/12a4V9jYpI7nRU9eemQkQQ9YuCgmd42yy1a5qr1J
KxpvKsNGTTjwY6fHw+x4GGu3i5ElMlSREa4QNYGHvvnxKrU7RD2GQoCMYz0zBwIbY0CP3KTFxGz7
v6OntQPnwKoZXvhPxIxcjCcJ//K+ZnxQ14Z0+TKqjv7M/d3Stc7Dqqi3u6sXVYgafJPcgPrNTAme
sx9w2ttbwv/+soVwuZpxVm/TbLDsuu7900Rp7NblfiKag1ysd5yCzhFKXxyIdib+cCHi7At4NWgn
3pcUu2OqRdBHYtmfXiT7n4Ws4zaOsME+P3uctYMFZD8YyVA10a0Inp5sjc5szGRCFEioB6dy3jTu
O2IkOg89IsuBzmX2fcPXs/IyC92zB51dNcJ+bjZsZki4gISCnmyfeCR0gU7nwY8GsGiEcpEy9yC+
Dt8fdCS7KTSqCblwaHFMF6ytDi/TYlPeaMLcrioR6WykdC+mvdXzLx8c7/FMyLrnzdONZuZ7UHPo
nMj7Yea0qSnEGiK6dhhcOtEOShEI08hgaDl44PWX1lASzCMOlKmMLfB1Lwbh2D5AQPL29QtWRqQR
q94IIMDhMH+NnGfGVWNkzekr1nWElK1QIAAQGE8WzXUEpvyITNNm6TrqwicDuJsnUxyBbzqTZ4x7
iXyCkeEuEDsq79tI7iR57cyzRwoooehM2shWqyOLRJVa5+nzLv4e3wT8HYzaSQVVLgXncfv/pnm7
b8ul4RHyvqN06xz7xcNDVbZE461nOTIVqn1VKhLb3EUghbUMYch80QafeezsuA0aK9p2pASZZHRx
yD7+gjEB7pnE18P/hqA1umVAkRbCOLO9JkuDYcoAHHe8xihRrh+YdYOZ5jPjvSenFwvY+2G6Nmmd
OYBTMeYF/OrRfDi33GknmfFzKoaWmIdAPXF6miAZBzXjQMbzLm4DndSxF/b6moNGWut4D6zSRBdv
/7r91cIAf+SdaCqizojLiy+AYLyd4FQQFoFUYFV1Hr1xQu637r58Od5eLNPBx0NcAsIuchCE/JhL
O1cLu33KG5wlJghs6Gw+okFDVFWdndop9Gm+yhmLP3webFjxqXnEDN7E1wshA0AcMmpAj+08zC8C
a4qj/BYm2iLtpvhd6QApkhuHBwawt5yRUZYgsTdETq6q4+U0SbIO0bcyKNUEo/Kg3SQ20+kfKN5J
ivgBEdhDyWIp3h87sWrtTTXTtKX9oZkjIv+bVDMur6zGNx6E0ffYGFa2MEeAIrtjwReBsUhWwUCc
YFYXaR2SLbW/YS0jUaN2KqfPWKvLwJWZnkWkZzdsUxJ6eQy/wv+4x+ROqQaZnyz/xemKrdb47w+2
aU1ZERZJH4xwCBXKDa/n4oalfvTMd+YZY1o/4QU6EiNGWr5wkdxwoGMZkjyYbgzQkhnFxwL4RNjI
/7w3wByqH9AgQjxTAxrAv4dKsNNqbZ1IllJGh7vKBpNyzLm+jpyEcuA9DgV3ioORpWwxsysMMvKq
fRKMTtZuRS44+95/6w+H9PI+7u9RHC+WoEZdsvwOYXn34kDeUXBI1bFV374U7RGNpKyUuG0z/s6Q
Ak6kCVBFN4z5VsSsORa9dEWiCIQ7oUXquaLNPjDoJYZ6f64cia5/orpY3MMAIFgbC+mJ9WjfF8FP
SQ0XhkSO0YfjF2DG68DaXT4Yc4TVnYWhORJuEFqjHXVu+6sdlmmgdZRoxr5zkvh9HXrpGwDC5QDO
qsyc6jChgKJDqs6T3Ei2nTHewCRgqLCtV6zyeUqskZ09Nvc9nc8/dM5Lku47QFnxA1ESLmvIon5B
baEIYRTDg5S88/cgCklfEOkwbeEqGJHb3s/Ejgu9GK5rrTQzLc74PozdmZdd/VAax5vdkiSJlKvy
n4+zBhRpc054ZpmR41t+z79Dq78ZvTpClUeIJNy66+mepfPa87tFsqWDN/WVMISCeq7RtxNJArgt
gxOCnF+zCtvjGuWj1QCNtV9vOoEM2YExUmzRQmYqK568zsEI2r1LuAYga55gl0oJn80RqQler091
0V1fJQ86mVt9Mz7WQ7ERr4Dw201H2nFw/lH4aTvsoJT/ahirVFDUVcYIPwRJqkz1p4A0ZUG4SNGm
rzuJXNz+F/kDfgFgJ+rLWM5M0I3R8icATBVt+lICoZW2eiJ2ta3oHdFiQXhQg77e//vyebS3ydLj
ILr8CNtKJ4AXEEImfO1kxtE/9ib4Lp/eRoZfAOAWd2GEAn0qr7Od2uOKHh5ygjgrGlrHs+o9oYwV
YdmatNa/oPNnO1Pm0gECrUlAa3GzPiiE1rdIei0IhSZ7/3xoIp2byHend0gu2+N5NYhmljOQebBy
gwKI6Qq0wxMkrSr3PhBCuiet2Vip2IS4767TfRfKRJbi4TPtW/k5qq56qu+38/OGKz+jGb78loSR
rSpv9PuMlMwsydVoMlgqNaNNjB28CdkXunN9sp/GFXg7TAVbTnsPjGrzu1+quPuLUlfTMeKWYh4i
+9ZxATgu9dI2Gw5eLifx9NBlT1L3XCzGFP/WVnB7BzBC2SrZSe3BvQRkOwGkkvahO1ABLVow5FkT
mJucwuVI71EC9uSKjHf1cWytMBwY6Vfijau7g7XL0tahn7jjCA1UCeaZUvNKmkVdyvQbDrLCyeFe
dajkf8YVHQP4QKJvqZgDN7fJnPkQ8ivy1ooNXrGcmefrnf9rLxAqFi/r5aYzvC+9tsgxtcbaaoVg
+Nv4tuZWt3RwYzTfokvpllQ+nRGr5ZyQpiLD96nJDb8dT2CcAsTzjVVcGDaDWDAO8UHlf8qxpWXz
Y13u+32+RpoBRHVAihvRhXERd0fm9IqYP1TmegeXT9QR601BCHHa4ouorIF/DDsa9XIHaCXPYytb
eC1dqon3egoaN4DWxBLuZuAxwCs3Nctvr4M6o/Q40tIkAtnWOm9wGo5TNolv3f2y7Ifclb5JuYZa
RAXhBsbWGJpKpvAETDNQer3hbeP15WMV2yeEFgScJrweejloFcFLVL9gFl0ZGWSUMwSQloW4ehVT
Xf95rKoxA6w4RuZzVmu9wuh0RuaRRwJcgdXeZgGzwtRslzjJakF+fp4QBxuogXvi4jUvGVZFymCQ
qKMWUOX5aFdjFS6I9mgRXzHehlp+V0H1U15AALcDoxA62BVxmCLsxo7alXraJ5bOD0CDPqwVNyTz
/qyLzJ9y/7dTpjEVkmvThpQBYfKlWPZaYYMTrKTjBGzhn8x32Gnr5ByglJOkLcT5XU+bo1jVYWT7
TuR1hzRFrXKTwSaNY2q96LYvH7xYIbopHhHUR79dwjEZ3eKp/O8qILZkY15BqUXfjeJMt8y3uFY0
YjCXeOD4Ij1//w6I9K+ycWOvRCxcBN7FCkPvzbd4dzmxmHGLE8E0LQYoWHjKNK6PNbJLK64IKe0A
gytY4AprkFrc6jyptbpWkrm3aiNdFzvDIzIDtbOhqq0jRl1kpPU+1EnReTPhQORh//8Ue0KbrLrn
LuZJHuQMjZO+ho1aSRGxwxCTfl4hgSVOWvKsjx1BifbKaHXvzfmtOGWx0SIZ5CCQHSBH8LMX7Uey
v77A0e/n5zc5i3T6ESBDFe8WiDqC+AdNVHj6oKLiENKXwnDdtQ8tPEuiMhaofjyHgFukXdINy5Vj
nFv0sAHu0WZmOb/cNmTByLs6cDCZ9ZYyAhuucVrQJiq1J+/08oGtYwYza/HF6KZBXPMYRpfZ4pqE
1O5dGd60oUDKXNGpxgoaY74+1wdSVNs3+OHINsGBStFOb1rkxDAknXKc3zm1FzJlOAqSKbQDhFIZ
0rjoYeb1TIdhIQko14MTDmM6yOZS6Bq70mWyDG/TXumViFRd35gILuJ+r7BLL1KAKQMc0uexfKTm
5n872W6K7fghdINsD2JGcJawpeWMmVehEs2gmBUPCkRZotGEtkVVlabF/oEJFOYAl6b7voFlInlN
gaRxwr6tQ6LZ0ir3lYDCd014sP0wAL7IMnMbjhCY7fNL1H+uGmFMAblMe9YMiHMriAN566WO4Q5O
AxRdospoWoMGitSSVHWyVCub0jLKfZS8VUvs6HZmt7kxiQk1KeWfZ8cYWWyALrz3MINSsJY6KI+E
10388JT6NqtuYcjuTS7s6f84jnjKNWSdGh1J5dhJxXqcHVwCStFUwzSLQ6hl7Dbj1MgtQBg8XjPV
pMsCOPOrcWpjHeoll33vHd5041JXYISqoGLHvKeKzOt+JDhMr5GB65/3ZIClR8oRKoMadoDii+Gx
4Y6mHpEE4Tv956CICqbiUVpWBdtxuY10DOsfYLrlRhAVZWANUP4uKSyxrlJsP3c6l+NMsbwQO+ib
2SysEw2fy7OUjPhr1cv1m1zcCDFfFCoJfytg1CS1ODNDKQgpupsTi4sfLPuGHp2BiuZkiBzDt8bH
TqywXSFITVvSGgn4HY1vhOZQUEnX9CecOGHOkYxzYIAkbs65tf1wWVRvxojnl+aU3tGLbAwXgaDJ
SIDVzmmP2isDy3Dl4Axi0HpcQa7cBWiVmPY0j+w+3tfuAcHerYox9o8ADSfBDmVPPPJcuNCcK2Uv
dnvoPmxeN+qODthhPTMySeHg61O90fJzFM9Gwh8VE+Xa5bkgIAGbViC2dJeISNd3r4GxqDu/nOpt
F18KztP0LCQZhQOQopo5t+OKLgxxvLRLGsThxaa09OmwLQ2K++TpOgQh8GkMK7vXtBOjLwZJkzI8
/bdeD7JE17URKk9K3AeurxGEzghSNcTHr9C/zi+MLG9ccM9FpkWvoDpcRN4VliYCGxyJB8tOW6fY
6ILsUMiCQ1PfBFWWJjSbJ//OqVhRVsASTJow4kf1CgvPty7a5wW/zdELWrw48zgV+QvIpmFzbamw
8eKIyTpATdsy/0Rxlt0VeMPj1WsDHyyxpUXXL9++IRb6YBgs8gvBI1dUa0Zms9FoUPaVrJJzWNft
6TqnqzONJblfX/oIPRYp+o1CRKPwGgPWM3dbgUuU/I6nh0Kbmx136XfhvJcKJX1YFbshx0mUa82H
WRs1lwovEzxjIL3HpVMPDEc1aQb3OKYXjWdcX59CB+YfZJ5GbQGIZ7lBziTqjB49SAubMFDuxfZT
ibaOtojP17rIuaeHAZIM1X3qCk6RB/iOvWsvWEfe/Rb7nGTDA7DYyO3M6+Cvtg11nx6a9uO1mCzi
EN0HVJuWEFJ1hSc18snFdiR+cfNClJwNs5KtEnoxhWhKXs4x//LpELhYQg/9adIaxr2wjSMcF8Td
N+Fcu/hGv2mz5pHrdvAEtofgG1cttpFnwHonkjS1tGSPfaLiUsx9nznwz0i9eek+Gxaw5Su2rs53
W3N3IZJ0Av4XZpvVSpxppzDa9h5bGriv3V0E/U2+YvtT0KYF11VqT6n0WAYHmtWrWFlGtOqnByH3
2B1P/+mAkIVTl/VB2jnvICnwIa9oLnPyfWRvk9n1NNGbY1br9031X8jPCk16UBtkPk4j/Ww3eRfX
K4dKsAL+FBe0Ii0XMGyGh3YlDnvAdOCiSd4VHNSL66DWZ8qBnNYcFTzU/HbC+NvQFL0rQ24uf2wX
l9IdvSZu87dILSszDltjaWrzElGMERd620507qD946/K5cWe/73DmhKTh1D1Q25WkXGD2gxIuzX0
+xYKIfTT3OvmeSmatugknqzIis4J5GGf+FY+DGFompKCUlp+8SrGBuOyf0CMdyxVbueyuRm/BVCy
9GEFF2ZmAhb8bh7FW/YxLefl2nBEo+LzEAAhBoskHo4SqcLnwPRSVTy3q3pbHFB150tbLdAEvdWs
ROkbqt8Zjkr5jfEZ5bd8lsfpQ/3MakhB4Io6U59QK/4bcyv9NMbhto+NH2zeZWiP8VhBpOQrfuUd
G5vS8gvT8vYFM4m5R3n51nA/bEAyLB7EVtR68le3+4RFUvGISLiUaxzdBbcOk0JzIZIDh8aykUCG
bZRogU52iO8AIDOS188+pt//6v1pC+iMFaIQO47lEDhns7oUimBk9Flab/50Oial1fj+PkQP98eV
0vzPrsjLkNe2nks/HE8cgsYioXH3FPqodj0iK98U0E//0AeCadqsWK1s
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
BRBZ7522CivHLmvslhUhFYQoS567JwvGFzAncA2rwHIAIOoihCOXUBzaLTJDT5qPovHzDlW4yv7r
GP9s6lKwNw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CGSFPehn4o5exPh9VqAEGC9Ban3a7ljD/wFVJ5Wiof4iJo3N7+ltj5Puk2trGNLyOVe/8cwCtokE
C3EHNPrzTVk2ekZYItDjGCLqFEdLTZk767UGKtc4+KFQ96gRMZEqc3w6niX15G8SK5RG7cenh0ZV
dIbp8Q4ZEYfKWH/MmRE=
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
G3aXPMgU2hkC/UtzRmAKroBoUkUE6cYbnGspL6n4cjlcyPs8H46gbwPbC2jNdTaMWd+WSerVIBKD
nvecP82xK8TcALyvl2FLWU2d/GuqCGUybrMythsQT8nDvb13Vy95OK4v7ajI+2gxF25l7rC0Qr/v
j7xd7PVR/ul0ChVSfvk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NIkZ8LYfCM+oWqDQDCOPVNn/5LpRuVnrhJyPER8R+9YWbLwNMtzqu081+IpI6nfE8jhuyqGOMJ+S
0oPzk7GaEseAdqBD+bUmcyr1JlQ8JjeaAU3lLDXNlgY6nO/8uHaEkpEe0mZmZs5zWgv8yzjxqkDo
AOPWrCo2lN+jFQJ/k2TNeH/vSSiVtB6HXA3nFY4e/eCw5rgRjeQzgfqYjdWqry8U0a8jgpzxwf9m
yRMRYo9Ios/T/zVLHR0JYSjOSgxXFB/c0Qdo07KpaAMFIi6+Z7C45rZyVouIxrApxHbtqoyaA/gO
swtvMWEQm4e279gQ7RfqtPd9BLx7hZK7ih78EA==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GAuXN6U27R0wlFvl2eGHX9UJ+e0dvj1OGcZ6Yt/hA0Al5BRoN3D3aNOgAcR2uPo2k3Uq9uhn9eKx
XSf7G+8XNvMmZE+ysfGbox6tYuj374XWhhnQxLyXFsrfM56bRypB8jeFMn/hi4P37v6Vi/fACjMP
P67bfoFJ37LQpo42tvFOs5Wx1ZBmrrNk/BKiwMODg6GuBytm1amZ4nFTyHRDz5vjxqfKesH0nsgv
R9JwRuBOmNv1g4E5NoVCp2kemhpPGXtwndSfnPwKBwupzzD+hEtRvMChWzZ56nLBew+Sn54A8U7m
RtrqXnPXzdWyxurmuDufms+p1LJQGh4tzVbRcA==
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
maXpToiMo71Hl7B4N5vlvINvZp2Q7Ni4BiU+wD4RisruBbnDlOxB90knT3A1GldxApCQSDI55vfx
TiWWZRUX0LfkoLadGYU37/81cSuvFAURycbWadZzyN8qc2/SVUADxPU9Pj/VD85wLK3Jbm20/kZa
3/YA4HyakbaKe/aAuKYJ+VLUvhC2L0tbUKG2eMQub12Of4OOu4xVhEUteak/rtI8JGWOR5fJUl5Z
BUcXeiUvRWoCm87f7fKBm3yke5OrWuvQICjezRjnx+Ia/zFT/yoLW4EVzuJUKzo77dAwDDhcln5O
899M+jy8zQeHABRBQyGkYvtkXBAMoPIL2lERUA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103440)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
BRBZ7522CivHLmvslhUhFYQoS567JwvGFzAncA2rwHIAIOoihCOXUBzaLTJDT5qPovHzDlW4yv7r
GP9s6lKwNw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CGSFPehn4o5exPh9VqAEGC9Ban3a7ljD/wFVJ5Wiof4iJo3N7+ltj5Puk2trGNLyOVe/8cwCtokE
C3EHNPrzTVk2ekZYItDjGCLqFEdLTZk767UGKtc4+KFQ96gRMZEqc3w6niX15G8SK5RG7cenh0ZV
dIbp8Q4ZEYfKWH/MmRE=
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
G3aXPMgU2hkC/UtzRmAKroBoUkUE6cYbnGspL6n4cjlcyPs8H46gbwPbC2jNdTaMWd+WSerVIBKD
nvecP82xK8TcALyvl2FLWU2d/GuqCGUybrMythsQT8nDvb13Vy95OK4v7ajI+2gxF25l7rC0Qr/v
j7xd7PVR/ul0ChVSfvk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NIkZ8LYfCM+oWqDQDCOPVNn/5LpRuVnrhJyPER8R+9YWbLwNMtzqu081+IpI6nfE8jhuyqGOMJ+S
0oPzk7GaEseAdqBD+bUmcyr1JlQ8JjeaAU3lLDXNlgY6nO/8uHaEkpEe0mZmZs5zWgv8yzjxqkDo
AOPWrCo2lN+jFQJ/k2TNeH/vSSiVtB6HXA3nFY4e/eCw5rgRjeQzgfqYjdWqry8U0a8jgpzxwf9m
yRMRYo9Ios/T/zVLHR0JYSjOSgxXFB/c0Qdo07KpaAMFIi6+Z7C45rZyVouIxrApxHbtqoyaA/gO
swtvMWEQm4e279gQ7RfqtPd9BLx7hZK7ih78EA==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GAuXN6U27R0wlFvl2eGHX9UJ+e0dvj1OGcZ6Yt/hA0Al5BRoN3D3aNOgAcR2uPo2k3Uq9uhn9eKx
XSf7G+8XNvMmZE+ysfGbox6tYuj374XWhhnQxLyXFsrfM56bRypB8jeFMn/hi4P37v6Vi/fACjMP
P67bfoFJ37LQpo42tvFOs5Wx1ZBmrrNk/BKiwMODg6GuBytm1amZ4nFTyHRDz5vjxqfKesH0nsgv
R9JwRuBOmNv1g4E5NoVCp2kemhpPGXtwndSfnPwKBwupzzD+hEtRvMChWzZ56nLBew+Sn54A8U7m
RtrqXnPXzdWyxurmuDufms+p1LJQGh4tzVbRcA==
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
maXpToiMo71Hl7B4N5vlvINvZp2Q7Ni4BiU+wD4RisruBbnDlOxB90knT3A1GldxApCQSDI55vfx
TiWWZRUX0LfkoLadGYU37/81cSuvFAURycbWadZzyN8qc2/SVUADxPU9Pj/VD85wLK3Jbm20/kZa
3/YA4HyakbaKe/aAuKYJ+VLUvhC2L0tbUKG2eMQub12Of4OOu4xVhEUteak/rtI8JGWOR5fJUl5Z
BUcXeiUvRWoCm87f7fKBm3yke5OrWuvQICjezRjnx+Ia/zFT/yoLW4EVzuJUKzo77dAwDDhcln5O
899M+jy8zQeHABRBQyGkYvtkXBAMoPIL2lERUA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103440)
`protect data_block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`protect end_protected
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity spi_comm is
port(
CLK : in std_logic;
RESET : in std_logic;
SPI_CS_A : in std_logic;
SPI_CS_D : in std_logic;
SPI_SCK : in std_logic;
SPI_DI : in std_logic;
SPI_DO : out std_logic;
ADDR_O : out std_logic_vector(7 downto 0);
ADDR_I : in std_logic_vector(7 downto 0);
ADDR_REQ : out std_logic;
ADDR_ACK : in std_logic;
DATA_O : out std_logic_vector(7 downto 0);
DATA_I : in std_logic_vector(7 downto 0);
DATA_REQ : out std_logic;
DATA_ACK : in std_logic );
end spi_comm;
architecture RTL of spi_comm is
signal ADDR_IN : std_logic_vector (7 downto 0);
signal DATA_IN : std_logic_vector (7 downto 0);
signal ADDR_OUT : std_logic_vector (7 downto 0);
signal DATA_OUT : std_logic_vector (7 downto 0);
signal CS_A_LAST : std_logic_vector (1 downto 0);
signal CS_D_LAST : std_logic_vector (1 downto 0);
signal A_REQ : std_logic;
signal D_REQ : std_logic;
begin
DATA_REQ <= D_REQ and not DATA_ACK;
ADDR_REQ <= A_REQ and not ADDR_ACK;
process (SPI_SCK)
begin
if rising_edge(SPI_SCK) then
if SPI_CS_A = '0' then
ADDR_IN <= ADDR_IN (6 downto 0) & SPI_DI;
elsif SPI_CS_D = '0' then
DATA_IN <= DATA_IN (6 downto 0) & SPI_DI;
end if;
end if;
end process;
process (CLK) is
begin
if rising_edge(CLK) then
if RESET = '1' then
CS_A_LAST <= "11";
CS_D_LAST <= "11";
A_REQ <= '0';
D_REQ <= '0';
else
if ADDR_ACK = '1' then
A_REQ <= '0';
end if;
if DATA_ACK = '1' then
D_REQ <= '0';
end if;
CS_A_LAST <= CS_A_LAST(0) & SPI_CS_A;
CS_D_LAST <= CS_D_LAST(0) & SPI_CS_D;
if CS_D_LAST = "01" then
DATA_O <= DATA_IN;
D_REQ <= '1';
end if;
if CS_A_LAST = "01" then
ADDR_O <= ADDR_IN;
A_REQ <= '1';
end if;
end if;
end if;
end process;
process (SPI_SCK, ADDR_I, SPI_CS_A, DATA_I, SPI_CS_D)
begin
if SPI_CS_A = '1' then
ADDR_OUT <= ADDR_I;
elsif falling_edge(SPI_SCK) then
ADDR_OUT <= ADDR_OUT(6 downto 0) & '0';
end if;
if SPI_CS_D = '1' then
DATA_OUT <= DATA_I;
elsif falling_edge(SPI_SCK) then
DATA_OUT <= DATA_OUT(6 downto 0) & '0';
end if;
end process;
SPI_DO <= ADDR_OUT(7) when SPI_CS_A = '0' else DATA_OUT(7) when SPI_CS_D = '0' else 'Z';
end RTL;
|
Subsets and Splits
No saved queries yet
Save your SQL queries to embed, download, and access them later. Queries will appear here once saved.