content
stringlengths 1
1.04M
⌀ |
---|
-------------------------------------------------------------------------------
--
-- File: ChannelBond.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 8 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This module de-skews data channels relative to each other. TMDS specs
-- allow 0.2 Tcharacter + 1.78ns skew between channels. To re-align the
-- channels all are buffered in FIFOs until a special marker (the beginning
-- of a blanking period) is found on all the channels.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.DVI_Constants.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ChannelBond is
Port (
PixelClk : in std_logic;
pDataInRaw : in std_logic_vector(9 downto 0);
pMeVld : in std_logic;
pOtherChVld : in std_logic_vector(1 downto 0);
pOtherChRdy : in std_logic_vector(1 downto 0);
pDataInBnd : out std_logic_vector(9 downto 0);
pMeRdy : out std_logic
);
end ChannelBond;
architecture Behavioral of ChannelBond is
constant kFIFO_Depth : natural := 32;
type FIFO_t is array (0 to kFIFO_Depth-1) of std_logic_vector(9 downto 0);
signal pFIFO : FIFO_t;
signal pDataFIFO : std_logic_vector(9 downto 0);
signal pRdA, pWrA : natural range 0 to kFIFO_Depth-1;
signal pRdEn : std_logic;
signal pAllVld, pAllVld_q, pMeRdy_int: std_logic;
signal pBlnkBgnFlag, pTokenFlag, pTokenFlag_q, pAllVldBgnFlag : std_logic;
begin
pAllVld <= pMeVld and pOtherChVld(0) and pOtherChVld(1);
pDataInBnd <= pDataFIFO; -- raw data with skew removed
pMeRdy <= pMeRdy_int; -- data is de-skewed and valid
-- The process below should result in a dual-port distributed RAM with registered output
FIFO: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '1') then -- begin writing in FIFO as soon as all the channels have valid data
pFIFO(pWrA) <= pDataInRaw;
end if;
pDataFIFO <= pFIFO(pRdA); -- register FIFO output
end if;
end process FIFO;
-- FIFO address counters
FIFO_WrA: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '1') then
pWrA <= pWrA + 1;
else -- when invalid data, go back to the beginning
pWrA <= 0;
end if;
end if;
end process FIFO_WrA;
FIFO_RdA: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then
pRdA <= 0;
elsif (pRdEn = '1') then
pRdA <= pRdA + 1;
end if;
end if;
end process FIFO_RdA;
DataValidFlag: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
pAllVld_q <= pAllVld;
pAllVldBgnFlag <= not pAllVld_q and pAllVld; -- this flag used below delays enabling read, thus making sure data is written first before being read
end if;
end process DataValidFlag;
-------------------------------------------------------------------------------
-- Channel bonding is done here:
-- 1 When all the channels have valid data (ie. alignment lock), FIFO is flow-through
-- 2 When marker is found on this channel, FIFO read is paused, thus holding data
-- 3 When all channels report the marker, FIFO read begins again, thus syncing markers
-------------------------------------------------------------------------------
FIFO_RdEn: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then
pRdEn <= '0';
elsif (pAllVldBgnFlag = '1' or (pMeRdy_int = '1' and pOtherChRdy = "11")) then
pRdEn <= '1';
elsif (pBlnkBgnFlag = '1' and not (pMeRdy_int = '1' and pOtherChRdy = "11")) then
pRdEn <= '0';
end if;
end if;
end process FIFO_RdEn;
-- Detect blanking period begin
TokenDetect: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pRdEn = '0' or pDataFIFO = kCtlTkn0 or pDataFIFO = kCtlTkn1 or pDataFIFO = kCtlTkn2 or pDataFIFO = kCtlTkn3) then
pTokenFlag <= '1'; --token flag activates on invalid data, which avoids a BlnkBgn pulse if the valid signal goes up in the middle of a blanking period
else
pTokenFlag <= '0';
end if;
pTokenFlag_q <= pTokenFlag;
pBlnkBgnFlag <= not pTokenFlag_q and pTokenFlag;
end if;
end process TokenDetect;
-- Ready signal when marker is received
IAmReady: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then -- if not all channels are valid, we are not ready either
pMeRdy_int <= '0';
elsif (pBlnkBgnFlag = '1') then
pMeRdy_int <= '1';
end if;
end if;
end process IAmReady;
end Behavioral;
|
-------------------------------------------------------------------------------
--
-- File: ChannelBond.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 8 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This module de-skews data channels relative to each other. TMDS specs
-- allow 0.2 Tcharacter + 1.78ns skew between channels. To re-align the
-- channels all are buffered in FIFOs until a special marker (the beginning
-- of a blanking period) is found on all the channels.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.DVI_Constants.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ChannelBond is
Port (
PixelClk : in std_logic;
pDataInRaw : in std_logic_vector(9 downto 0);
pMeVld : in std_logic;
pOtherChVld : in std_logic_vector(1 downto 0);
pOtherChRdy : in std_logic_vector(1 downto 0);
pDataInBnd : out std_logic_vector(9 downto 0);
pMeRdy : out std_logic
);
end ChannelBond;
architecture Behavioral of ChannelBond is
constant kFIFO_Depth : natural := 32;
type FIFO_t is array (0 to kFIFO_Depth-1) of std_logic_vector(9 downto 0);
signal pFIFO : FIFO_t;
signal pDataFIFO : std_logic_vector(9 downto 0);
signal pRdA, pWrA : natural range 0 to kFIFO_Depth-1;
signal pRdEn : std_logic;
signal pAllVld, pAllVld_q, pMeRdy_int: std_logic;
signal pBlnkBgnFlag, pTokenFlag, pTokenFlag_q, pAllVldBgnFlag : std_logic;
begin
pAllVld <= pMeVld and pOtherChVld(0) and pOtherChVld(1);
pDataInBnd <= pDataFIFO; -- raw data with skew removed
pMeRdy <= pMeRdy_int; -- data is de-skewed and valid
-- The process below should result in a dual-port distributed RAM with registered output
FIFO: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '1') then -- begin writing in FIFO as soon as all the channels have valid data
pFIFO(pWrA) <= pDataInRaw;
end if;
pDataFIFO <= pFIFO(pRdA); -- register FIFO output
end if;
end process FIFO;
-- FIFO address counters
FIFO_WrA: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '1') then
pWrA <= pWrA + 1;
else -- when invalid data, go back to the beginning
pWrA <= 0;
end if;
end if;
end process FIFO_WrA;
FIFO_RdA: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then
pRdA <= 0;
elsif (pRdEn = '1') then
pRdA <= pRdA + 1;
end if;
end if;
end process FIFO_RdA;
DataValidFlag: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
pAllVld_q <= pAllVld;
pAllVldBgnFlag <= not pAllVld_q and pAllVld; -- this flag used below delays enabling read, thus making sure data is written first before being read
end if;
end process DataValidFlag;
-------------------------------------------------------------------------------
-- Channel bonding is done here:
-- 1 When all the channels have valid data (ie. alignment lock), FIFO is flow-through
-- 2 When marker is found on this channel, FIFO read is paused, thus holding data
-- 3 When all channels report the marker, FIFO read begins again, thus syncing markers
-------------------------------------------------------------------------------
FIFO_RdEn: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then
pRdEn <= '0';
elsif (pAllVldBgnFlag = '1' or (pMeRdy_int = '1' and pOtherChRdy = "11")) then
pRdEn <= '1';
elsif (pBlnkBgnFlag = '1' and not (pMeRdy_int = '1' and pOtherChRdy = "11")) then
pRdEn <= '0';
end if;
end if;
end process FIFO_RdEn;
-- Detect blanking period begin
TokenDetect: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pRdEn = '0' or pDataFIFO = kCtlTkn0 or pDataFIFO = kCtlTkn1 or pDataFIFO = kCtlTkn2 or pDataFIFO = kCtlTkn3) then
pTokenFlag <= '1'; --token flag activates on invalid data, which avoids a BlnkBgn pulse if the valid signal goes up in the middle of a blanking period
else
pTokenFlag <= '0';
end if;
pTokenFlag_q <= pTokenFlag;
pBlnkBgnFlag <= not pTokenFlag_q and pTokenFlag;
end if;
end process TokenDetect;
-- Ready signal when marker is received
IAmReady: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then -- if not all channels are valid, we are not ready either
pMeRdy_int <= '0';
elsif (pBlnkBgnFlag = '1') then
pMeRdy_int <= '1';
end if;
end if;
end process IAmReady;
end Behavioral;
|
-------------------------------------------------------------------------------
--
-- File: ChannelBond.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 8 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This module de-skews data channels relative to each other. TMDS specs
-- allow 0.2 Tcharacter + 1.78ns skew between channels. To re-align the
-- channels all are buffered in FIFOs until a special marker (the beginning
-- of a blanking period) is found on all the channels.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.DVI_Constants.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ChannelBond is
Port (
PixelClk : in std_logic;
pDataInRaw : in std_logic_vector(9 downto 0);
pMeVld : in std_logic;
pOtherChVld : in std_logic_vector(1 downto 0);
pOtherChRdy : in std_logic_vector(1 downto 0);
pDataInBnd : out std_logic_vector(9 downto 0);
pMeRdy : out std_logic
);
end ChannelBond;
architecture Behavioral of ChannelBond is
constant kFIFO_Depth : natural := 32;
type FIFO_t is array (0 to kFIFO_Depth-1) of std_logic_vector(9 downto 0);
signal pFIFO : FIFO_t;
signal pDataFIFO : std_logic_vector(9 downto 0);
signal pRdA, pWrA : natural range 0 to kFIFO_Depth-1;
signal pRdEn : std_logic;
signal pAllVld, pAllVld_q, pMeRdy_int: std_logic;
signal pBlnkBgnFlag, pTokenFlag, pTokenFlag_q, pAllVldBgnFlag : std_logic;
begin
pAllVld <= pMeVld and pOtherChVld(0) and pOtherChVld(1);
pDataInBnd <= pDataFIFO; -- raw data with skew removed
pMeRdy <= pMeRdy_int; -- data is de-skewed and valid
-- The process below should result in a dual-port distributed RAM with registered output
FIFO: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '1') then -- begin writing in FIFO as soon as all the channels have valid data
pFIFO(pWrA) <= pDataInRaw;
end if;
pDataFIFO <= pFIFO(pRdA); -- register FIFO output
end if;
end process FIFO;
-- FIFO address counters
FIFO_WrA: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '1') then
pWrA <= pWrA + 1;
else -- when invalid data, go back to the beginning
pWrA <= 0;
end if;
end if;
end process FIFO_WrA;
FIFO_RdA: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then
pRdA <= 0;
elsif (pRdEn = '1') then
pRdA <= pRdA + 1;
end if;
end if;
end process FIFO_RdA;
DataValidFlag: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
pAllVld_q <= pAllVld;
pAllVldBgnFlag <= not pAllVld_q and pAllVld; -- this flag used below delays enabling read, thus making sure data is written first before being read
end if;
end process DataValidFlag;
-------------------------------------------------------------------------------
-- Channel bonding is done here:
-- 1 When all the channels have valid data (ie. alignment lock), FIFO is flow-through
-- 2 When marker is found on this channel, FIFO read is paused, thus holding data
-- 3 When all channels report the marker, FIFO read begins again, thus syncing markers
-------------------------------------------------------------------------------
FIFO_RdEn: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then
pRdEn <= '0';
elsif (pAllVldBgnFlag = '1' or (pMeRdy_int = '1' and pOtherChRdy = "11")) then
pRdEn <= '1';
elsif (pBlnkBgnFlag = '1' and not (pMeRdy_int = '1' and pOtherChRdy = "11")) then
pRdEn <= '0';
end if;
end if;
end process FIFO_RdEn;
-- Detect blanking period begin
TokenDetect: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pRdEn = '0' or pDataFIFO = kCtlTkn0 or pDataFIFO = kCtlTkn1 or pDataFIFO = kCtlTkn2 or pDataFIFO = kCtlTkn3) then
pTokenFlag <= '1'; --token flag activates on invalid data, which avoids a BlnkBgn pulse if the valid signal goes up in the middle of a blanking period
else
pTokenFlag <= '0';
end if;
pTokenFlag_q <= pTokenFlag;
pBlnkBgnFlag <= not pTokenFlag_q and pTokenFlag;
end if;
end process TokenDetect;
-- Ready signal when marker is received
IAmReady: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then -- if not all channels are valid, we are not ready either
pMeRdy_int <= '0';
elsif (pBlnkBgnFlag = '1') then
pMeRdy_int <= '1';
end if;
end if;
end process IAmReady;
end Behavioral;
|
-------------------------------------------------------------------------------
--
-- File: ChannelBond.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 8 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This module de-skews data channels relative to each other. TMDS specs
-- allow 0.2 Tcharacter + 1.78ns skew between channels. To re-align the
-- channels all are buffered in FIFOs until a special marker (the beginning
-- of a blanking period) is found on all the channels.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.DVI_Constants.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ChannelBond is
Port (
PixelClk : in std_logic;
pDataInRaw : in std_logic_vector(9 downto 0);
pMeVld : in std_logic;
pOtherChVld : in std_logic_vector(1 downto 0);
pOtherChRdy : in std_logic_vector(1 downto 0);
pDataInBnd : out std_logic_vector(9 downto 0);
pMeRdy : out std_logic
);
end ChannelBond;
architecture Behavioral of ChannelBond is
constant kFIFO_Depth : natural := 32;
type FIFO_t is array (0 to kFIFO_Depth-1) of std_logic_vector(9 downto 0);
signal pFIFO : FIFO_t;
signal pDataFIFO : std_logic_vector(9 downto 0);
signal pRdA, pWrA : natural range 0 to kFIFO_Depth-1;
signal pRdEn : std_logic;
signal pAllVld, pAllVld_q, pMeRdy_int: std_logic;
signal pBlnkBgnFlag, pTokenFlag, pTokenFlag_q, pAllVldBgnFlag : std_logic;
begin
pAllVld <= pMeVld and pOtherChVld(0) and pOtherChVld(1);
pDataInBnd <= pDataFIFO; -- raw data with skew removed
pMeRdy <= pMeRdy_int; -- data is de-skewed and valid
-- The process below should result in a dual-port distributed RAM with registered output
FIFO: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '1') then -- begin writing in FIFO as soon as all the channels have valid data
pFIFO(pWrA) <= pDataInRaw;
end if;
pDataFIFO <= pFIFO(pRdA); -- register FIFO output
end if;
end process FIFO;
-- FIFO address counters
FIFO_WrA: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '1') then
pWrA <= pWrA + 1;
else -- when invalid data, go back to the beginning
pWrA <= 0;
end if;
end if;
end process FIFO_WrA;
FIFO_RdA: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then
pRdA <= 0;
elsif (pRdEn = '1') then
pRdA <= pRdA + 1;
end if;
end if;
end process FIFO_RdA;
DataValidFlag: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
pAllVld_q <= pAllVld;
pAllVldBgnFlag <= not pAllVld_q and pAllVld; -- this flag used below delays enabling read, thus making sure data is written first before being read
end if;
end process DataValidFlag;
-------------------------------------------------------------------------------
-- Channel bonding is done here:
-- 1 When all the channels have valid data (ie. alignment lock), FIFO is flow-through
-- 2 When marker is found on this channel, FIFO read is paused, thus holding data
-- 3 When all channels report the marker, FIFO read begins again, thus syncing markers
-------------------------------------------------------------------------------
FIFO_RdEn: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then
pRdEn <= '0';
elsif (pAllVldBgnFlag = '1' or (pMeRdy_int = '1' and pOtherChRdy = "11")) then
pRdEn <= '1';
elsif (pBlnkBgnFlag = '1' and not (pMeRdy_int = '1' and pOtherChRdy = "11")) then
pRdEn <= '0';
end if;
end if;
end process FIFO_RdEn;
-- Detect blanking period begin
TokenDetect: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pRdEn = '0' or pDataFIFO = kCtlTkn0 or pDataFIFO = kCtlTkn1 or pDataFIFO = kCtlTkn2 or pDataFIFO = kCtlTkn3) then
pTokenFlag <= '1'; --token flag activates on invalid data, which avoids a BlnkBgn pulse if the valid signal goes up in the middle of a blanking period
else
pTokenFlag <= '0';
end if;
pTokenFlag_q <= pTokenFlag;
pBlnkBgnFlag <= not pTokenFlag_q and pTokenFlag;
end if;
end process TokenDetect;
-- Ready signal when marker is received
IAmReady: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then -- if not all channels are valid, we are not ready either
pMeRdy_int <= '0';
elsif (pBlnkBgnFlag = '1') then
pMeRdy_int <= '1';
end if;
end if;
end process IAmReady;
end Behavioral;
|
-------------------------------------------------------------------------------
--
-- File: ChannelBond.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 8 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This module de-skews data channels relative to each other. TMDS specs
-- allow 0.2 Tcharacter + 1.78ns skew between channels. To re-align the
-- channels all are buffered in FIFOs until a special marker (the beginning
-- of a blanking period) is found on all the channels.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.DVI_Constants.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ChannelBond is
Port (
PixelClk : in std_logic;
pDataInRaw : in std_logic_vector(9 downto 0);
pMeVld : in std_logic;
pOtherChVld : in std_logic_vector(1 downto 0);
pOtherChRdy : in std_logic_vector(1 downto 0);
pDataInBnd : out std_logic_vector(9 downto 0);
pMeRdy : out std_logic
);
end ChannelBond;
architecture Behavioral of ChannelBond is
constant kFIFO_Depth : natural := 32;
type FIFO_t is array (0 to kFIFO_Depth-1) of std_logic_vector(9 downto 0);
signal pFIFO : FIFO_t;
signal pDataFIFO : std_logic_vector(9 downto 0);
signal pRdA, pWrA : natural range 0 to kFIFO_Depth-1;
signal pRdEn : std_logic;
signal pAllVld, pAllVld_q, pMeRdy_int: std_logic;
signal pBlnkBgnFlag, pTokenFlag, pTokenFlag_q, pAllVldBgnFlag : std_logic;
begin
pAllVld <= pMeVld and pOtherChVld(0) and pOtherChVld(1);
pDataInBnd <= pDataFIFO; -- raw data with skew removed
pMeRdy <= pMeRdy_int; -- data is de-skewed and valid
-- The process below should result in a dual-port distributed RAM with registered output
FIFO: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '1') then -- begin writing in FIFO as soon as all the channels have valid data
pFIFO(pWrA) <= pDataInRaw;
end if;
pDataFIFO <= pFIFO(pRdA); -- register FIFO output
end if;
end process FIFO;
-- FIFO address counters
FIFO_WrA: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '1') then
pWrA <= pWrA + 1;
else -- when invalid data, go back to the beginning
pWrA <= 0;
end if;
end if;
end process FIFO_WrA;
FIFO_RdA: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then
pRdA <= 0;
elsif (pRdEn = '1') then
pRdA <= pRdA + 1;
end if;
end if;
end process FIFO_RdA;
DataValidFlag: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
pAllVld_q <= pAllVld;
pAllVldBgnFlag <= not pAllVld_q and pAllVld; -- this flag used below delays enabling read, thus making sure data is written first before being read
end if;
end process DataValidFlag;
-------------------------------------------------------------------------------
-- Channel bonding is done here:
-- 1 When all the channels have valid data (ie. alignment lock), FIFO is flow-through
-- 2 When marker is found on this channel, FIFO read is paused, thus holding data
-- 3 When all channels report the marker, FIFO read begins again, thus syncing markers
-------------------------------------------------------------------------------
FIFO_RdEn: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then
pRdEn <= '0';
elsif (pAllVldBgnFlag = '1' or (pMeRdy_int = '1' and pOtherChRdy = "11")) then
pRdEn <= '1';
elsif (pBlnkBgnFlag = '1' and not (pMeRdy_int = '1' and pOtherChRdy = "11")) then
pRdEn <= '0';
end if;
end if;
end process FIFO_RdEn;
-- Detect blanking period begin
TokenDetect: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pRdEn = '0' or pDataFIFO = kCtlTkn0 or pDataFIFO = kCtlTkn1 or pDataFIFO = kCtlTkn2 or pDataFIFO = kCtlTkn3) then
pTokenFlag <= '1'; --token flag activates on invalid data, which avoids a BlnkBgn pulse if the valid signal goes up in the middle of a blanking period
else
pTokenFlag <= '0';
end if;
pTokenFlag_q <= pTokenFlag;
pBlnkBgnFlag <= not pTokenFlag_q and pTokenFlag;
end if;
end process TokenDetect;
-- Ready signal when marker is received
IAmReady: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then -- if not all channels are valid, we are not ready either
pMeRdy_int <= '0';
elsif (pBlnkBgnFlag = '1') then
pMeRdy_int <= '1';
end if;
end if;
end process IAmReady;
end Behavioral;
|
-------------------------------------------------------------------------------
--
-- File: ChannelBond.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 8 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This module de-skews data channels relative to each other. TMDS specs
-- allow 0.2 Tcharacter + 1.78ns skew between channels. To re-align the
-- channels all are buffered in FIFOs until a special marker (the beginning
-- of a blanking period) is found on all the channels.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.DVI_Constants.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ChannelBond is
Port (
PixelClk : in std_logic;
pDataInRaw : in std_logic_vector(9 downto 0);
pMeVld : in std_logic;
pOtherChVld : in std_logic_vector(1 downto 0);
pOtherChRdy : in std_logic_vector(1 downto 0);
pDataInBnd : out std_logic_vector(9 downto 0);
pMeRdy : out std_logic
);
end ChannelBond;
architecture Behavioral of ChannelBond is
constant kFIFO_Depth : natural := 32;
type FIFO_t is array (0 to kFIFO_Depth-1) of std_logic_vector(9 downto 0);
signal pFIFO : FIFO_t;
signal pDataFIFO : std_logic_vector(9 downto 0);
signal pRdA, pWrA : natural range 0 to kFIFO_Depth-1;
signal pRdEn : std_logic;
signal pAllVld, pAllVld_q, pMeRdy_int: std_logic;
signal pBlnkBgnFlag, pTokenFlag, pTokenFlag_q, pAllVldBgnFlag : std_logic;
begin
pAllVld <= pMeVld and pOtherChVld(0) and pOtherChVld(1);
pDataInBnd <= pDataFIFO; -- raw data with skew removed
pMeRdy <= pMeRdy_int; -- data is de-skewed and valid
-- The process below should result in a dual-port distributed RAM with registered output
FIFO: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '1') then -- begin writing in FIFO as soon as all the channels have valid data
pFIFO(pWrA) <= pDataInRaw;
end if;
pDataFIFO <= pFIFO(pRdA); -- register FIFO output
end if;
end process FIFO;
-- FIFO address counters
FIFO_WrA: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '1') then
pWrA <= pWrA + 1;
else -- when invalid data, go back to the beginning
pWrA <= 0;
end if;
end if;
end process FIFO_WrA;
FIFO_RdA: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then
pRdA <= 0;
elsif (pRdEn = '1') then
pRdA <= pRdA + 1;
end if;
end if;
end process FIFO_RdA;
DataValidFlag: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
pAllVld_q <= pAllVld;
pAllVldBgnFlag <= not pAllVld_q and pAllVld; -- this flag used below delays enabling read, thus making sure data is written first before being read
end if;
end process DataValidFlag;
-------------------------------------------------------------------------------
-- Channel bonding is done here:
-- 1 When all the channels have valid data (ie. alignment lock), FIFO is flow-through
-- 2 When marker is found on this channel, FIFO read is paused, thus holding data
-- 3 When all channels report the marker, FIFO read begins again, thus syncing markers
-------------------------------------------------------------------------------
FIFO_RdEn: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then
pRdEn <= '0';
elsif (pAllVldBgnFlag = '1' or (pMeRdy_int = '1' and pOtherChRdy = "11")) then
pRdEn <= '1';
elsif (pBlnkBgnFlag = '1' and not (pMeRdy_int = '1' and pOtherChRdy = "11")) then
pRdEn <= '0';
end if;
end if;
end process FIFO_RdEn;
-- Detect blanking period begin
TokenDetect: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pRdEn = '0' or pDataFIFO = kCtlTkn0 or pDataFIFO = kCtlTkn1 or pDataFIFO = kCtlTkn2 or pDataFIFO = kCtlTkn3) then
pTokenFlag <= '1'; --token flag activates on invalid data, which avoids a BlnkBgn pulse if the valid signal goes up in the middle of a blanking period
else
pTokenFlag <= '0';
end if;
pTokenFlag_q <= pTokenFlag;
pBlnkBgnFlag <= not pTokenFlag_q and pTokenFlag;
end if;
end process TokenDetect;
-- Ready signal when marker is received
IAmReady: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then -- if not all channels are valid, we are not ready either
pMeRdy_int <= '0';
elsif (pBlnkBgnFlag = '1') then
pMeRdy_int <= '1';
end if;
end if;
end process IAmReady;
end Behavioral;
|
-------------------------------------------------------------------------------
--
-- File: ChannelBond.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 8 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This module de-skews data channels relative to each other. TMDS specs
-- allow 0.2 Tcharacter + 1.78ns skew between channels. To re-align the
-- channels all are buffered in FIFOs until a special marker (the beginning
-- of a blanking period) is found on all the channels.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.DVI_Constants.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ChannelBond is
Port (
PixelClk : in std_logic;
pDataInRaw : in std_logic_vector(9 downto 0);
pMeVld : in std_logic;
pOtherChVld : in std_logic_vector(1 downto 0);
pOtherChRdy : in std_logic_vector(1 downto 0);
pDataInBnd : out std_logic_vector(9 downto 0);
pMeRdy : out std_logic
);
end ChannelBond;
architecture Behavioral of ChannelBond is
constant kFIFO_Depth : natural := 32;
type FIFO_t is array (0 to kFIFO_Depth-1) of std_logic_vector(9 downto 0);
signal pFIFO : FIFO_t;
signal pDataFIFO : std_logic_vector(9 downto 0);
signal pRdA, pWrA : natural range 0 to kFIFO_Depth-1;
signal pRdEn : std_logic;
signal pAllVld, pAllVld_q, pMeRdy_int: std_logic;
signal pBlnkBgnFlag, pTokenFlag, pTokenFlag_q, pAllVldBgnFlag : std_logic;
begin
pAllVld <= pMeVld and pOtherChVld(0) and pOtherChVld(1);
pDataInBnd <= pDataFIFO; -- raw data with skew removed
pMeRdy <= pMeRdy_int; -- data is de-skewed and valid
-- The process below should result in a dual-port distributed RAM with registered output
FIFO: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '1') then -- begin writing in FIFO as soon as all the channels have valid data
pFIFO(pWrA) <= pDataInRaw;
end if;
pDataFIFO <= pFIFO(pRdA); -- register FIFO output
end if;
end process FIFO;
-- FIFO address counters
FIFO_WrA: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '1') then
pWrA <= pWrA + 1;
else -- when invalid data, go back to the beginning
pWrA <= 0;
end if;
end if;
end process FIFO_WrA;
FIFO_RdA: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then
pRdA <= 0;
elsif (pRdEn = '1') then
pRdA <= pRdA + 1;
end if;
end if;
end process FIFO_RdA;
DataValidFlag: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
pAllVld_q <= pAllVld;
pAllVldBgnFlag <= not pAllVld_q and pAllVld; -- this flag used below delays enabling read, thus making sure data is written first before being read
end if;
end process DataValidFlag;
-------------------------------------------------------------------------------
-- Channel bonding is done here:
-- 1 When all the channels have valid data (ie. alignment lock), FIFO is flow-through
-- 2 When marker is found on this channel, FIFO read is paused, thus holding data
-- 3 When all channels report the marker, FIFO read begins again, thus syncing markers
-------------------------------------------------------------------------------
FIFO_RdEn: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then
pRdEn <= '0';
elsif (pAllVldBgnFlag = '1' or (pMeRdy_int = '1' and pOtherChRdy = "11")) then
pRdEn <= '1';
elsif (pBlnkBgnFlag = '1' and not (pMeRdy_int = '1' and pOtherChRdy = "11")) then
pRdEn <= '0';
end if;
end if;
end process FIFO_RdEn;
-- Detect blanking period begin
TokenDetect: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pRdEn = '0' or pDataFIFO = kCtlTkn0 or pDataFIFO = kCtlTkn1 or pDataFIFO = kCtlTkn2 or pDataFIFO = kCtlTkn3) then
pTokenFlag <= '1'; --token flag activates on invalid data, which avoids a BlnkBgn pulse if the valid signal goes up in the middle of a blanking period
else
pTokenFlag <= '0';
end if;
pTokenFlag_q <= pTokenFlag;
pBlnkBgnFlag <= not pTokenFlag_q and pTokenFlag;
end if;
end process TokenDetect;
-- Ready signal when marker is received
IAmReady: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then -- if not all channels are valid, we are not ready either
pMeRdy_int <= '0';
elsif (pBlnkBgnFlag = '1') then
pMeRdy_int <= '1';
end if;
end if;
end process IAmReady;
end Behavioral;
|
-------------------------------------------------------------------------------
--
-- File: ChannelBond.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 8 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This module de-skews data channels relative to each other. TMDS specs
-- allow 0.2 Tcharacter + 1.78ns skew between channels. To re-align the
-- channels all are buffered in FIFOs until a special marker (the beginning
-- of a blanking period) is found on all the channels.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.DVI_Constants.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ChannelBond is
Port (
PixelClk : in std_logic;
pDataInRaw : in std_logic_vector(9 downto 0);
pMeVld : in std_logic;
pOtherChVld : in std_logic_vector(1 downto 0);
pOtherChRdy : in std_logic_vector(1 downto 0);
pDataInBnd : out std_logic_vector(9 downto 0);
pMeRdy : out std_logic
);
end ChannelBond;
architecture Behavioral of ChannelBond is
constant kFIFO_Depth : natural := 32;
type FIFO_t is array (0 to kFIFO_Depth-1) of std_logic_vector(9 downto 0);
signal pFIFO : FIFO_t;
signal pDataFIFO : std_logic_vector(9 downto 0);
signal pRdA, pWrA : natural range 0 to kFIFO_Depth-1;
signal pRdEn : std_logic;
signal pAllVld, pAllVld_q, pMeRdy_int: std_logic;
signal pBlnkBgnFlag, pTokenFlag, pTokenFlag_q, pAllVldBgnFlag : std_logic;
begin
pAllVld <= pMeVld and pOtherChVld(0) and pOtherChVld(1);
pDataInBnd <= pDataFIFO; -- raw data with skew removed
pMeRdy <= pMeRdy_int; -- data is de-skewed and valid
-- The process below should result in a dual-port distributed RAM with registered output
FIFO: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '1') then -- begin writing in FIFO as soon as all the channels have valid data
pFIFO(pWrA) <= pDataInRaw;
end if;
pDataFIFO <= pFIFO(pRdA); -- register FIFO output
end if;
end process FIFO;
-- FIFO address counters
FIFO_WrA: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '1') then
pWrA <= pWrA + 1;
else -- when invalid data, go back to the beginning
pWrA <= 0;
end if;
end if;
end process FIFO_WrA;
FIFO_RdA: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then
pRdA <= 0;
elsif (pRdEn = '1') then
pRdA <= pRdA + 1;
end if;
end if;
end process FIFO_RdA;
DataValidFlag: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
pAllVld_q <= pAllVld;
pAllVldBgnFlag <= not pAllVld_q and pAllVld; -- this flag used below delays enabling read, thus making sure data is written first before being read
end if;
end process DataValidFlag;
-------------------------------------------------------------------------------
-- Channel bonding is done here:
-- 1 When all the channels have valid data (ie. alignment lock), FIFO is flow-through
-- 2 When marker is found on this channel, FIFO read is paused, thus holding data
-- 3 When all channels report the marker, FIFO read begins again, thus syncing markers
-------------------------------------------------------------------------------
FIFO_RdEn: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then
pRdEn <= '0';
elsif (pAllVldBgnFlag = '1' or (pMeRdy_int = '1' and pOtherChRdy = "11")) then
pRdEn <= '1';
elsif (pBlnkBgnFlag = '1' and not (pMeRdy_int = '1' and pOtherChRdy = "11")) then
pRdEn <= '0';
end if;
end if;
end process FIFO_RdEn;
-- Detect blanking period begin
TokenDetect: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pRdEn = '0' or pDataFIFO = kCtlTkn0 or pDataFIFO = kCtlTkn1 or pDataFIFO = kCtlTkn2 or pDataFIFO = kCtlTkn3) then
pTokenFlag <= '1'; --token flag activates on invalid data, which avoids a BlnkBgn pulse if the valid signal goes up in the middle of a blanking period
else
pTokenFlag <= '0';
end if;
pTokenFlag_q <= pTokenFlag;
pBlnkBgnFlag <= not pTokenFlag_q and pTokenFlag;
end if;
end process TokenDetect;
-- Ready signal when marker is received
IAmReady: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pAllVld = '0') then -- if not all channels are valid, we are not ready either
pMeRdy_int <= '0';
elsif (pBlnkBgnFlag = '1') then
pMeRdy_int <= '1';
end if;
end if;
end process IAmReady;
end Behavioral;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
CrZvMcRTbJzopeUzE3WHs8tg4BNq+nGqKVEgw+1mzTP4lUhlSY2Ml4y3RYecjxvxrhvVNAf2LD3W
21QqBm29nw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Qh9j2FnmiDcGikf85N1LNuAPtWZt0ZyjxOdeDOjPiw7vy2U0Jt6g2rO3SZ0NONTncF3iI5rUSQfb
RG+Nf0I3cuLQZaJ15NX2Z4E6J/xNOJ4p56V/jYkliiBzwHBc1LhD8notAPU79WSfkKqPLsmNm1Lg
L6X7Gh/y53k3l/4WLkg=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
zAVHAQ2uoHVEPlzwvTfzeTtSdaaD4hO73vuH7IdyX5/CYxbhjGg3Tn74s/jpE2p/rQD9ql7/B3aL
7rtbEaffgTq7ZCcJ0KQdTGRjo26X43w9ROYS2VQLaJhylEXo1V52L3ZQKEPKkcWD/XfmH32wO6k9
Yf0Fg70SAFqRIVyI618=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
o8waKvjSvUZO4WFJkdTFo5kxX4lE7JEF6KZiZMNrZ/xkYd7RfJL9hoh9Cr7f1cMyh9Q3nV+EiTxq
ZocVFY4ocZb/5y+Cu8mOZ2I0Qo05n1Av1xltfhUlBCcGQhOrUSr+f/dgJiIi2bKe9/nH8MnSGqxz
NQW8ZtExrMqis5LnJKLpF4/lA01EhfLr/GSxZLXJzVGL6Qtp56Iq0L08ujpjE1y9hpbuYszA/ndo
+0Oc3yYExS1k6L2HfslZgjNLV6elFhsiQFYJ09BHN3cn7oKnI/5ZLhw79zxM3KDg/KBLmCar6Zut
DkC0Py/KQ5erIamb+oHzogeqZHCIHHaLUhLFzQ==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Y4E8tJYFBYgPuvbDhfHOsy5OJa7kQfC0S7yhoVks96NUr7dm62HYVq7efZxDQUEGs4fk3fLRS903
jk8ba9RVIcj7KreaI3fLTl8R2JGJE8sZcdWHcdsK/6LRwL5eQSrMr+wTzaJUONYGJ1a5EBpKuaG5
zj3gk0wFeD10Lu50YUMVwek53worQfbj5o8AIiwNiwAcbLIU5vXCAD2kTpauw8nyS35K3MP2MjDu
DU+q145Fb9915x0mg65y/ov2ra77ZWVvCLQtnKZ+jZ9fmMjLfC+g11QJ0m5yum7Q7hswaou44VYI
IBxIeIqJ3nfB3s74i3Lzp016Yz2AIS3fi8k71g==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hI9SQF0K6RI0kLdSmHIzoNiZMcgcDVxDdkwelw2NQT9EtCHZ7SH8TDU/R7UOtaEL5lVQdgTfa+y2
0ONsLdQ02iWylryr9MKv2/+rSlzfTQrFETuzGNKh0CNs+YgYdOYEbqWBxm+hnSKyLJJSVEG745fY
Y32Qt9TssWLU4zqFciXf4T8O/BAj6TbxIYeWNuyuXPcoe5I8yAb236ayfN2FO2v8s6otpx/a7pie
yXBxuuOUXx08q+AzSSZlIkVvBIxRNgwTe3qhHeekm1YxRKl37TirZlKdCUev7JBWU3TaExPOUoDa
wAMU8Ysmqv/zIZ+01v0rrXZ7+8iUBV1EMCatVw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 432720)
`protect data_block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`protect end_protected
|
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
library work;
use work.gencores_pkg.all;
entity top_module is
port(
clk_i : in std_logic;
locked_i : in std_logic;
blink_o : out std_logic_vector(7 downto 0)
);
end top_module;
architecture rtl of top_module is
-- Constant declaration
constant c_blink_num_pins : natural := 8;
constant c_counter_width : natural := 4;
-- Global clock and reset signals
--signal s_locked : std_logic;
signal clk_sys_rstn : std_logic;
-- Only one clock domain
signal reset_clks : std_logic_vector(0 downto 0);
signal reset_rstn : std_logic_vector(0 downto 0);
-- Global Clock Single ended
signal clk_sys : std_logic;
-- Counter signal
signal s_counter : unsigned(c_counter_width-1 downto 0);
constant s_counter_full : integer := 4;
signal s_blink : std_logic_vector(c_blink_num_pins-1 downto 0);
begin
-- Reset synchronization
cmp_reset : gc_reset
generic map(
g_logdelay => 1,
g_syncdepth => 2
)
port map(
free_clk_i => clk_sys,
locked_i => locked_i,
clks_i => reset_clks,
rstn_o => reset_rstn
);
-- Simulation only
clk_sys <= clk_i;
-- End of simulation only!
reset_clks(0) <= clk_sys;
clk_sys_rstn <= reset_rstn(0);
p_counter : process (clk_sys)
begin
if rising_edge(clk_sys) then
if clk_sys_rstn = '0' then
s_counter <= (others => '0');
s_blink <= x"01";
else
if (s_counter = s_counter_full-1) then
s_counter <= (others => '0');
s_blink <= s_blink(c_blink_num_pins-2 downto 0) & s_blink(c_blink_num_pins-1);
else
s_counter <= s_counter + 1;
end if;
end if;
end if;
end process;
blink_o <= s_blink;
end rtl;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library UNISIM;
use UNISIM.vcomponents.all;
entity faultify_simulator is
generic (
numInj : integer := 56;
numIn : integer := 10;
numOut : integer := 10);
port (
clk : in std_logic;
clk_m : in std_logic;
circ_ce : in std_logic;
circ_rst : in std_logic;
test : out std_logic_vector(31 downto 0);
testvector : in std_logic_vector(numIn-1 downto 0);
resultvector_o : out std_logic_vector(numOut-1 downto 0);
resultvector_f : out std_logic_vector(numOut-1 downto 0);
seed_in_en : in std_logic;
seed_in : in std_logic;
prob_in_en : in std_logic;
prob_in : in std_logic;
shift_en : in std_logic;
rst_n : in std_logic);
end faultify_simulator;
-- 866:0
architecture behav of faultify_simulator is
component faultify_binomial_gen
generic (
width : integer);
port (
clk : in std_logic;
rst_n : in std_logic;
seed_in_en : in std_logic;
seed_in : in std_logic;
seed_out_c : out std_logic;
prob_in_en : in std_logic;
prob_in : in std_logic;
prob_out_c : out std_logic;
shift_en : in std_logic;
data_out : out std_logic;
data_out_valid : out std_logic);
end component;
component circuit_under_test
port (
clk : in std_logic;
rst : in std_logic;
testvector : in std_logic_vector(numIn-1 downto 0);
resultvector : out std_logic_vector(numOut-1 downto 0);
injectionvector : in std_logic_vector(578-1 downto 0));
end component;
component golden_circuit
port (
clk : in std_logic;
rst : in std_logic;
testvector : in std_logic_vector(numIn-1 downto 0);
resultvector : out std_logic_vector(numOut-1 downto 0));
end component;
signal injectionvector : std_logic_vector(numInj-1 downto 0);
signal injectionvector_reg : std_logic_vector(numInj-1 downto 0);
signal injectionvector_reg_o : std_logic_vector(numInj-1 downto 0);
signal seed_chain : std_logic_vector(numInj downto 0);
signal prob_chain : std_logic_vector(numInj downto 0);
signal rst : std_logic;
signal clk_ce_m : std_logic;
signal testvector_reg : std_logic_vector(numIn-1 downto 0);
attribute syn_noprune : boolean;
attribute syn_noprune of circuit_under_test_inst : label is true;
attribute syn_noprune of golden_circuit_inst : label is true;
attribute xc_props : string;
attribute xc_props of circuit_under_test_inst : label is "KEEP_HIERARCHY=TRUE";
attribute xc_props of golden_circuit_inst : label is "KEEP_HIERARCHY=TRUE";
signal injectionvector_reg_cat : std_logic_vector(578-1 downto 0);
begin -- behav
rst <= not rst_n;
-----------------------------------------------------------------------------
-- debug...
-----------------------------------------------------------------------------
-- resultvector_f <= (others => '1');
-- resultvector_o <= (others => '1');
cgate : bufgce
port map (
I => clk_m,
O => clk_ce_m,
CE => '1');
process (clk_ce_m, rst_n)
begin -- process
if rst_n = '0' then -- asynchronous reset (active low)
testvector_reg <= (others => '0');
elsif clk_ce_m'event and clk_ce_m = '1' then -- rising clock edge
testvector_reg <= testvector;
end if;
end process;
circuit_under_test_inst : circuit_under_test
port map (
clk => clk_ce_m,
rst => circ_rst,
testvector => testvector_reg,
resultvector => resultvector_f,
injectionvector => injectionvector_reg_cat);
injectionvector_reg_cat(199 downto 0) <= (others => '0');
injectionvector_reg_cat(399 downto 200) <= (others => '0');
injectionvector_reg_cat(578-1 downto 400) <= injectionvector_reg;
golden_circuit_inst : golden_circuit
port map (
clk => clk_ce_m,
rst => circ_rst,
testvector => testvector_reg,
resultvector => resultvector_o
);
seed_chain(0) <= seed_in;
prob_chain(0) <= prob_in;
prsn_loop : for i in 0 to numInj-1 generate
prsn_top_1 : faultify_binomial_gen
generic map (
width => 32)
port map (
clk => clk,
rst_n => rst_n,
seed_in_en => seed_in_en,
seed_in => seed_chain(i),
seed_out_c => seed_chain(i+1),
prob_in_en => prob_in_en,
prob_in => prob_chain(i),
prob_out_c => prob_chain(i+1),
shift_en => shift_en,
data_out => injectionvector(i),
data_out_valid => open);
end generate prsn_loop;
reg : process (clk_ce_m, rst_n)
begin -- process reg
if rst_n = '0' then -- asynchronous reset (active low)
injectionvector_reg <= (others => '0');
--injectionvector_reg_o <= (others => '0');
--test <= (others => '0');
elsif clk_ce_m'event and clk_ce_m = '1' then -- rising clock edge
injectionvector_reg <= injectionvector;
--injectionvector_reg <= (others => '0');
--test <= injectionvector_reg_o(31 downto 0);
--injectionvector_reg_o(31 downto 0) <= injectionvector_reg_o(31 downto 0) or (resultvector_f(31 downto 0) xor resultvector_o(31 downto 0));
end if;
end process reg;
end behav;
|
-- SIMON 64/128
-- key scheduling function
--
-- @Author: Jos Wetzels
-- @Author: Wouter Bokslag
--
-- Parameters:
-- r: round index
-- k_0..k_3: key
-- subkey_out: round subkey
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity key_schedule is
port (
r : in std_logic_vector(7 downto 0);
-- we don't need k_2 here because of the way we schedule k(r) in the simon component
k_0 : in std_logic_vector(31 downto 0);
k_1 : in std_logic_vector(31 downto 0);
k_3 : in std_logic_vector(31 downto 0);
subkey_out : out std_logic_vector(31 downto 0));
end key_schedule;
architecture Behavioral of key_schedule is
signal op_3_s : std_logic_vector(31 downto 0);
signal op_xor_0 : std_logic_vector(31 downto 0);
signal op_1_s : std_logic_vector(31 downto 0);
signal seqC : std_logic_vector(31 downto 0);
signal sequence : std_logic_vector(61 downto 0);
begin
-- C ^ sequence[(r-4) % 62]
sequence <= "11110000101100111001010001001000000111101001100011010111011011"; -- z3
-- 0xFFFFFFFFFFFFFFFC xor sequence[(r-4) % 62]
-- TODO: 1-bit latch for seqC(0) is used, not recommended...
seqC <= ("1111111111111111111111111111110" & sequence((to_integer(unsigned(r)) - 4) mod 62)) when (to_integer(unsigned(r)) > 3) else ("11111111111111111111111111111100");
-- tmp = K[3] >> 3
op_3_s <= std_logic_vector(rotate_right(unsigned(k_3), 3));
-- tmp = tmp xor k[1]
op_xor_0 <= (op_3_s xor k_1);
-- tmp >> 1
op_1_s <= std_logic_vector(rotate_right(unsigned(op_xor_0), 1));
-- Original NSA specification lists ~K[0] ^ 3 but this can be rewritten to K[0] ^ ((1 << word_size)-4) where the latter can be stored as a constant for speed
subkey_out <= k_0 when (to_integer(unsigned(r)) < 4) else (op_1_s xor op_xor_0 xor k_0 xor seqC);
end Behavioral; |
entity test is
type test1 is (foo);
subtype test2 is test1.test1;
begin end;
|
-- $Id: iob_reg_i.vhd 314 2010-07-09 17:38:41Z mueller $
--
-- Copyright 2007- by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: iob_reg_i - syn
-- Description: Registered IOB, input only
--
-- Dependencies: -
-- Test bench: -
-- Target Devices: generic Spartan, Virtex
-- Tool versions: xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
-- Revision History:
-- Date Rev Version Comment
-- 2007-12-16 101 1.0.1 add INIT generic port
-- 2007-12-08 100 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.slvtypes.all;
use work.xlib.all;
entity iob_reg_i is -- registered IOB, input
generic (
INIT : slbit := '0'); -- initial state
port (
CLK : in slbit; -- clock
CE : in slbit := '1'; -- clock enable
DI : out slbit; -- input data
PAD : in slbit -- i/o pad
);
end iob_reg_i;
architecture syn of iob_reg_i is
begin
IOB : iob_reg_i_gen
generic map (
DWIDTH => 1,
INIT => INIT)
port map (
CLK => CLK,
CE => CE,
DI(0) => DI,
PAD(0) => PAD
);
end syn;
|
-- $Id: iob_reg_i.vhd 314 2010-07-09 17:38:41Z mueller $
--
-- Copyright 2007- by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: iob_reg_i - syn
-- Description: Registered IOB, input only
--
-- Dependencies: -
-- Test bench: -
-- Target Devices: generic Spartan, Virtex
-- Tool versions: xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
-- Revision History:
-- Date Rev Version Comment
-- 2007-12-16 101 1.0.1 add INIT generic port
-- 2007-12-08 100 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.slvtypes.all;
use work.xlib.all;
entity iob_reg_i is -- registered IOB, input
generic (
INIT : slbit := '0'); -- initial state
port (
CLK : in slbit; -- clock
CE : in slbit := '1'; -- clock enable
DI : out slbit; -- input data
PAD : in slbit -- i/o pad
);
end iob_reg_i;
architecture syn of iob_reg_i is
begin
IOB : iob_reg_i_gen
generic map (
DWIDTH => 1,
INIT => INIT)
port map (
CLK => CLK,
CE => CE,
DI(0) => DI,
PAD(0) => PAD
);
end syn;
|
-------------------------------------------------------------------------------
--
-- Title : openMAC_16to32conv
-- Design : POWERLINK
--
-------------------------------------------------------------------------------
--
-- File : openMAC_16to32conv.vhd
-- Generated : Mon Sep 12 15:35:37 2011
-- From : interface description file
-- By : Itf2Vhdl ver. 1.22
--
-------------------------------------------------------------------------------
--
-- (c) B&R, 2011
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- This is a 32-to-16 bit converter which is necessary for e.g. Xilinx PLB.
-- The component has to be connected to openMAC_Ethernet or powerlink.
-- NOT use this directly with openMAC!
--
-------------------------------------------------------------------------------
-- 2011-09-12 V0.01 zelenkaj Initial creation
-- 2011-10-10 V0.02 zelenkaj Split bus ack into wr/rd and bug fix
-- 2012-03-21 V0.03 zelenkaj Added endian generic
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_unsigned.ALL;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_arith.ALL;
entity openMAC_16to32conv is
generic(
gEndian : string := "little";
bus_address_width : integer := 10
);
port(
clk : in std_logic;
rst : in std_logic;
--port from 32bit bus
bus_select : in std_logic;
bus_write : in std_logic;
bus_read : in std_logic;
bus_byteenable : in std_logic_vector(3 downto 0);
bus_writedata : in std_logic_vector(31 downto 0);
bus_readdata : out std_logic_vector(31 downto 0);
bus_address : in std_logic_vector(bus_address_width-1 downto 0);
bus_ack_wr : out std_logic;
bus_ack_rd : out std_logic;
--port to openMAC_Ethernet
s_chipselect : out std_logic;
s_write : out std_logic;
s_read : out std_logic;
s_address : out std_logic_vector(bus_address_width-1 downto 0);
s_byteenable : out std_logic_vector(1 downto 0);
s_waitrequest : in std_logic;
s_readdata : in std_logic_vector(15 downto 0);
s_writedata : out std_logic_vector(15 downto 0)
);
end openMAC_16to32conv;
architecture rtl of openMAC_16to32conv is
-- types
type fsm_t is (idle, doAccess);
type bus_access_t is (none, dword, word);
-- fsm
signal fsm, fsm_next : fsm_t;
signal bus_access : bus_access_t;
-- cnt
signal cnt, cnt_next, cnt_load_val : std_logic_vector(1 downto 0);
signal cnt_load, cnt_dec, cnt_zero : std_logic;
signal bus_ack : std_logic;
-- word register
signal word_reg, word_reg_next : std_logic_vector(15 downto 0);
begin
process(clk, rst)
begin
if rst = '1' then
cnt <= (others => '0');
fsm <= idle;
word_reg <= (others => '0');
elsif clk = '1' and clk'event then
cnt <= cnt_next;
fsm <= fsm_next;
word_reg <= word_reg_next;
end if;
end process;
word_reg_next <= s_readdata when bus_access = dword and cnt = 2 and s_waitrequest = '0' else
word_reg;
s_chipselect <= bus_select; --not cnt_zero;
s_write <= bus_write and bus_select;
s_read <= bus_read and bus_select;
cnt_dec <= (not s_waitrequest) and bus_select;
bus_readdata <= s_readdata & word_reg when bus_access = dword else
s_readdata & s_readdata;
bus_ack <= '1' when cnt = 1 and s_waitrequest = '0' and bus_access = dword else
'1' when s_waitrequest = '0' and bus_access = word else
'0';
bus_ack_wr <= bus_ack and bus_write;
bus_ack_rd <= bus_ack and bus_read;
s_address(bus_address_width-1 downto 1) <= '0' & bus_address(bus_address_width-1 downto 2);
--word address set to +0 (little) when first dword access or word access with selected word/byte
s_address(0) <= '0' when bus_access = dword and (cnt = 2 or cnt = 0) and gEndian = "little" else --first word of dword access
'1' when bus_access = dword and cnt = 1 and gEndian = "little" else
'1' when bus_access = dword and (cnt = 2 or cnt = 0) and gEndian = "big" else
'0' when bus_access = dword and cnt = 1 and gEndian = "big" else --first word of dword access
bus_address(1);
s_byteenable <= "11" when bus_access = dword else
bus_byteenable(3 downto 2) or bus_byteenable(1 downto 0);
s_writedata <= bus_writedata(15 downto 0) when bus_access = dword and (cnt = 2 or cnt = 0) else
bus_writedata(31 downto 16) when bus_access = dword and cnt = 1 else
bus_writedata(15 downto 0) when bus_address(1) = '0' else
bus_writedata(31 downto 16); --when bus_address(1) = '1' else
--fsm
bus_access <= none when bus_select /= '1' else
dword when bus_byteenable = "1111" else
word;
fsm_next <= doAccess when fsm = idle and cnt_zero = '1' and bus_access = dword else
idle when fsm = doAccess and cnt_zero = '1' and bus_access = none else
fsm;
--if dword, access twice, otherwise (byte, word) access once
cnt_load_val <= "10" when bus_byteenable = "1111" and bus_read = '1' else "01";
cnt_load <= '1' when fsm_next = doAccess and fsm = idle else '0';
--counter
cnt_next <= cnt_load_val when cnt_load = '1' else
cnt - 1 when cnt_dec = '1' and bus_access = dword else
cnt;
cnt_zero <= '1' when cnt = 0 else '0';
end rtl;
|
-------------------------------------------------------------------------------
--
-- Title : openMAC_16to32conv
-- Design : POWERLINK
--
-------------------------------------------------------------------------------
--
-- File : openMAC_16to32conv.vhd
-- Generated : Mon Sep 12 15:35:37 2011
-- From : interface description file
-- By : Itf2Vhdl ver. 1.22
--
-------------------------------------------------------------------------------
--
-- (c) B&R, 2011
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- This is a 32-to-16 bit converter which is necessary for e.g. Xilinx PLB.
-- The component has to be connected to openMAC_Ethernet or powerlink.
-- NOT use this directly with openMAC!
--
-------------------------------------------------------------------------------
-- 2011-09-12 V0.01 zelenkaj Initial creation
-- 2011-10-10 V0.02 zelenkaj Split bus ack into wr/rd and bug fix
-- 2012-03-21 V0.03 zelenkaj Added endian generic
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_unsigned.ALL;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_arith.ALL;
entity openMAC_16to32conv is
generic(
gEndian : string := "little";
bus_address_width : integer := 10
);
port(
clk : in std_logic;
rst : in std_logic;
--port from 32bit bus
bus_select : in std_logic;
bus_write : in std_logic;
bus_read : in std_logic;
bus_byteenable : in std_logic_vector(3 downto 0);
bus_writedata : in std_logic_vector(31 downto 0);
bus_readdata : out std_logic_vector(31 downto 0);
bus_address : in std_logic_vector(bus_address_width-1 downto 0);
bus_ack_wr : out std_logic;
bus_ack_rd : out std_logic;
--port to openMAC_Ethernet
s_chipselect : out std_logic;
s_write : out std_logic;
s_read : out std_logic;
s_address : out std_logic_vector(bus_address_width-1 downto 0);
s_byteenable : out std_logic_vector(1 downto 0);
s_waitrequest : in std_logic;
s_readdata : in std_logic_vector(15 downto 0);
s_writedata : out std_logic_vector(15 downto 0)
);
end openMAC_16to32conv;
architecture rtl of openMAC_16to32conv is
-- types
type fsm_t is (idle, doAccess);
type bus_access_t is (none, dword, word);
-- fsm
signal fsm, fsm_next : fsm_t;
signal bus_access : bus_access_t;
-- cnt
signal cnt, cnt_next, cnt_load_val : std_logic_vector(1 downto 0);
signal cnt_load, cnt_dec, cnt_zero : std_logic;
signal bus_ack : std_logic;
-- word register
signal word_reg, word_reg_next : std_logic_vector(15 downto 0);
begin
process(clk, rst)
begin
if rst = '1' then
cnt <= (others => '0');
fsm <= idle;
word_reg <= (others => '0');
elsif clk = '1' and clk'event then
cnt <= cnt_next;
fsm <= fsm_next;
word_reg <= word_reg_next;
end if;
end process;
word_reg_next <= s_readdata when bus_access = dword and cnt = 2 and s_waitrequest = '0' else
word_reg;
s_chipselect <= bus_select; --not cnt_zero;
s_write <= bus_write and bus_select;
s_read <= bus_read and bus_select;
cnt_dec <= (not s_waitrequest) and bus_select;
bus_readdata <= s_readdata & word_reg when bus_access = dword else
s_readdata & s_readdata;
bus_ack <= '1' when cnt = 1 and s_waitrequest = '0' and bus_access = dword else
'1' when s_waitrequest = '0' and bus_access = word else
'0';
bus_ack_wr <= bus_ack and bus_write;
bus_ack_rd <= bus_ack and bus_read;
s_address(bus_address_width-1 downto 1) <= '0' & bus_address(bus_address_width-1 downto 2);
--word address set to +0 (little) when first dword access or word access with selected word/byte
s_address(0) <= '0' when bus_access = dword and (cnt = 2 or cnt = 0) and gEndian = "little" else --first word of dword access
'1' when bus_access = dword and cnt = 1 and gEndian = "little" else
'1' when bus_access = dword and (cnt = 2 or cnt = 0) and gEndian = "big" else
'0' when bus_access = dword and cnt = 1 and gEndian = "big" else --first word of dword access
bus_address(1);
s_byteenable <= "11" when bus_access = dword else
bus_byteenable(3 downto 2) or bus_byteenable(1 downto 0);
s_writedata <= bus_writedata(15 downto 0) when bus_access = dword and (cnt = 2 or cnt = 0) else
bus_writedata(31 downto 16) when bus_access = dword and cnt = 1 else
bus_writedata(15 downto 0) when bus_address(1) = '0' else
bus_writedata(31 downto 16); --when bus_address(1) = '1' else
--fsm
bus_access <= none when bus_select /= '1' else
dword when bus_byteenable = "1111" else
word;
fsm_next <= doAccess when fsm = idle and cnt_zero = '1' and bus_access = dword else
idle when fsm = doAccess and cnt_zero = '1' and bus_access = none else
fsm;
--if dword, access twice, otherwise (byte, word) access once
cnt_load_val <= "10" when bus_byteenable = "1111" and bus_read = '1' else "01";
cnt_load <= '1' when fsm_next = doAccess and fsm = idle else '0';
--counter
cnt_next <= cnt_load_val when cnt_load = '1' else
cnt - 1 when cnt_dec = '1' and bus_access = dword else
cnt;
cnt_zero <= '1' when cnt = 0 else '0';
end rtl;
|
-------------------------------------------------------------------------------
--
-- Title : openMAC_16to32conv
-- Design : POWERLINK
--
-------------------------------------------------------------------------------
--
-- File : openMAC_16to32conv.vhd
-- Generated : Mon Sep 12 15:35:37 2011
-- From : interface description file
-- By : Itf2Vhdl ver. 1.22
--
-------------------------------------------------------------------------------
--
-- (c) B&R, 2011
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- This is a 32-to-16 bit converter which is necessary for e.g. Xilinx PLB.
-- The component has to be connected to openMAC_Ethernet or powerlink.
-- NOT use this directly with openMAC!
--
-------------------------------------------------------------------------------
-- 2011-09-12 V0.01 zelenkaj Initial creation
-- 2011-10-10 V0.02 zelenkaj Split bus ack into wr/rd and bug fix
-- 2012-03-21 V0.03 zelenkaj Added endian generic
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_unsigned.ALL;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_arith.ALL;
entity openMAC_16to32conv is
generic(
gEndian : string := "little";
bus_address_width : integer := 10
);
port(
clk : in std_logic;
rst : in std_logic;
--port from 32bit bus
bus_select : in std_logic;
bus_write : in std_logic;
bus_read : in std_logic;
bus_byteenable : in std_logic_vector(3 downto 0);
bus_writedata : in std_logic_vector(31 downto 0);
bus_readdata : out std_logic_vector(31 downto 0);
bus_address : in std_logic_vector(bus_address_width-1 downto 0);
bus_ack_wr : out std_logic;
bus_ack_rd : out std_logic;
--port to openMAC_Ethernet
s_chipselect : out std_logic;
s_write : out std_logic;
s_read : out std_logic;
s_address : out std_logic_vector(bus_address_width-1 downto 0);
s_byteenable : out std_logic_vector(1 downto 0);
s_waitrequest : in std_logic;
s_readdata : in std_logic_vector(15 downto 0);
s_writedata : out std_logic_vector(15 downto 0)
);
end openMAC_16to32conv;
architecture rtl of openMAC_16to32conv is
-- types
type fsm_t is (idle, doAccess);
type bus_access_t is (none, dword, word);
-- fsm
signal fsm, fsm_next : fsm_t;
signal bus_access : bus_access_t;
-- cnt
signal cnt, cnt_next, cnt_load_val : std_logic_vector(1 downto 0);
signal cnt_load, cnt_dec, cnt_zero : std_logic;
signal bus_ack : std_logic;
-- word register
signal word_reg, word_reg_next : std_logic_vector(15 downto 0);
begin
process(clk, rst)
begin
if rst = '1' then
cnt <= (others => '0');
fsm <= idle;
word_reg <= (others => '0');
elsif clk = '1' and clk'event then
cnt <= cnt_next;
fsm <= fsm_next;
word_reg <= word_reg_next;
end if;
end process;
word_reg_next <= s_readdata when bus_access = dword and cnt = 2 and s_waitrequest = '0' else
word_reg;
s_chipselect <= bus_select; --not cnt_zero;
s_write <= bus_write and bus_select;
s_read <= bus_read and bus_select;
cnt_dec <= (not s_waitrequest) and bus_select;
bus_readdata <= s_readdata & word_reg when bus_access = dword else
s_readdata & s_readdata;
bus_ack <= '1' when cnt = 1 and s_waitrequest = '0' and bus_access = dword else
'1' when s_waitrequest = '0' and bus_access = word else
'0';
bus_ack_wr <= bus_ack and bus_write;
bus_ack_rd <= bus_ack and bus_read;
s_address(bus_address_width-1 downto 1) <= '0' & bus_address(bus_address_width-1 downto 2);
--word address set to +0 (little) when first dword access or word access with selected word/byte
s_address(0) <= '0' when bus_access = dword and (cnt = 2 or cnt = 0) and gEndian = "little" else --first word of dword access
'1' when bus_access = dword and cnt = 1 and gEndian = "little" else
'1' when bus_access = dword and (cnt = 2 or cnt = 0) and gEndian = "big" else
'0' when bus_access = dword and cnt = 1 and gEndian = "big" else --first word of dword access
bus_address(1);
s_byteenable <= "11" when bus_access = dword else
bus_byteenable(3 downto 2) or bus_byteenable(1 downto 0);
s_writedata <= bus_writedata(15 downto 0) when bus_access = dword and (cnt = 2 or cnt = 0) else
bus_writedata(31 downto 16) when bus_access = dword and cnt = 1 else
bus_writedata(15 downto 0) when bus_address(1) = '0' else
bus_writedata(31 downto 16); --when bus_address(1) = '1' else
--fsm
bus_access <= none when bus_select /= '1' else
dword when bus_byteenable = "1111" else
word;
fsm_next <= doAccess when fsm = idle and cnt_zero = '1' and bus_access = dword else
idle when fsm = doAccess and cnt_zero = '1' and bus_access = none else
fsm;
--if dword, access twice, otherwise (byte, word) access once
cnt_load_val <= "10" when bus_byteenable = "1111" and bus_read = '1' else "01";
cnt_load <= '1' when fsm_next = doAccess and fsm = idle else '0';
--counter
cnt_next <= cnt_load_val when cnt_load = '1' else
cnt - 1 when cnt_dec = '1' and bus_access = dword else
cnt;
cnt_zero <= '1' when cnt = 0 else '0';
end rtl;
|
-----------------------------------------------------------------------------
-- LEON3 Demonstration design
-- Copyright (C) 2013 Fredrik Ringhage, Aeroflex Gaisler
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.memctrl.all;
use gaisler.leon3.all;
use gaisler.uart.all;
use gaisler.i2c.all;
use gaisler.spi.all;
use gaisler.misc.all;
use gaisler.jtag.all;
use gaisler.spacewire.all;
use gaisler.net.all;
library esa;
use esa.memoryctrl.all;
use work.config.all;
entity leon3core is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW;
scantest : integer := CFG_SCAN
);
port (
resetn : in std_ulogic;
clksel : in std_logic_vector(1 downto 0);
clk : in std_ulogic;
clkapb : in std_ulogic;
clklock : in std_ulogic;
errorn : out std_ulogic;
address : out std_logic_vector(27 downto 0);
datain : in std_logic_vector(31 downto 0);
dataout : out std_logic_vector(31 downto 0);
dataen : out std_logic_vector(31 downto 0);
cbin : in std_logic_vector(7 downto 0);
cbout : out std_logic_vector(7 downto 0);
cben : out std_logic_vector(7 downto 0);
sdcsn : out std_logic_vector (1 downto 0); -- sdram chip select
sdwen : out std_ulogic; -- sdram write enable
sdrasn : out std_ulogic; -- sdram ras
sdcasn : out std_ulogic; -- sdram cas
sddqm : out std_logic_vector (3 downto 0); -- sdram dqm
dsutx : out std_ulogic; -- DSU tx data
dsurx : in std_ulogic; -- DSU rx data
dsuen : in std_ulogic;
dsubre : in std_ulogic;
dsuact : out std_ulogic;
txd1 : out std_ulogic; -- UART1 tx data
rxd1 : in std_ulogic; -- UART1 rx data
txd2 : out std_ulogic; -- UART2 tx data
rxd2 : in std_ulogic; -- UART2 rx data
ramsn : out std_logic_vector (4 downto 0);
ramoen : out std_logic_vector (4 downto 0);
rwen : out std_logic_vector (3 downto 0);
oen : out std_ulogic;
writen : out std_ulogic;
read : out std_ulogic;
iosn : out std_ulogic;
romsn : out std_logic_vector (1 downto 0);
brdyn : in std_ulogic;
bexcn : in std_ulogic;
wdogn : out std_ulogic;
gpioin : in std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port
gpioout : out std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port
gpioen : out std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port
i2c_sclout : out std_ulogic;
i2c_sclen : out std_ulogic;
i2c_sclin : in std_ulogic;
i2c_sdaout : out std_ulogic;
i2c_sdaen : out std_ulogic;
i2c_sdain : in std_ulogic;
spi_miso : in std_ulogic;
spi_mosi : out std_ulogic;
spi_sck : out std_ulogic;
spi_slvsel : out std_logic_vector(CFG_SPICTRL_SLVS-1 downto 0);
prom32 : in std_ulogic;
spw_clksel : in std_logic_vector(1 downto 0);
spw_clk : in std_ulogic;
spw_rxd : in std_logic_vector(0 to CFG_SPW_NUM-1);
spw_rxs : in std_logic_vector(0 to CFG_SPW_NUM-1);
spw_txd : out std_logic_vector(0 to CFG_SPW_NUM-1);
spw_txs : out std_logic_vector(0 to CFG_SPW_NUM-1);
gtx_clk : in std_ulogic;
erx_clk : in std_ulogic;
erxd : in std_logic_vector(7 downto 0);
erx_dv : in std_ulogic;
etx_clk : in std_ulogic;
etxd : out std_logic_vector(7 downto 0);
etx_en : out std_ulogic;
etx_er : out std_ulogic;
erx_er : in std_ulogic;
erx_col : in std_ulogic;
erx_crs : in std_ulogic;
emdint : in std_ulogic;
emdioin : in std_logic;
emdioout : out std_logic;
emdioen : out std_logic;
emdc : out std_ulogic;
trst : in std_ulogic;
tck : in std_ulogic;
tms : in std_ulogic;
tdi : in std_ulogic;
tdo : out std_ulogic;
tdoen : out std_ulogic;
scanen : in std_ulogic;
testen : in std_ulogic;
testrst : in std_ulogic;
testoen : in std_ulogic;
chain_tck : out std_ulogic;
chain_tckn : out std_ulogic;
chain_tdi : out std_ulogic;
chain_tdo : in std_ulogic;
bsshft : out std_ulogic;
bscapt : out std_ulogic;
bsupdi : out std_ulogic;
bsupdo : out std_ulogic;
bsdrive : out std_ulogic;
bshighz : out std_ulogic
);
end;
architecture rtl of leon3core is
--constant is_asic : integer := 1 - is_fpga(fabtech);
--constant blength : integer := 12;
--constant CFG_NCLKS : integer := 7;
constant maxahbmsp : integer := CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH;
constant maxahbm : integer := (CFG_SPW_NUM*CFG_SPW_EN) + maxahbmsp;
signal vcc, gnd : std_logic_vector(4 downto 0);
signal memi : memory_in_type;
signal memo : memory_out_type;
signal wpo : wprot_out_type;
signal sdi : sdctrl_in_type;
signal sdo : sdram_out_type;
signal apbi : apb_slv_in_type;
signal apbo : apb_slv_out_vector := (others => apb_none);
signal ahbsi : ahb_slv_in_type;
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
signal ahbmi : ahb_mst_in_type;
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
signal rstn, rstraw : std_ulogic;
signal rstapbn, rstapbraw : std_ulogic;
signal u1i, u2i, dui : uart_in_type;
signal u1o, u2o, duo : uart_out_type;
signal irqi : irq_in_vector(0 to CFG_NCPU-1);
signal irqo : irq_out_vector(0 to CFG_NCPU-1);
signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
signal dsui : dsu_in_type;
signal dsuo : dsu_out_type;
signal gpti : gptimer_in_type;
signal gpto : gptimer_out_type;
signal gpioi, gpioi2 : gpio_in_type;
signal gpioo, gpioo2 : gpio_out_type;
signal i2ci : i2c_in_type;
signal i2co : i2c_out_type;
signal spii : spi_in_type;
signal spio : spi_out_type;
signal ethi : eth_in_type;
signal etho : eth_out_type;
-- signal tck, tms, tdi, tdo : std_ulogic;
signal jtck, jtckn, jtdi, jrst, jtdo, jcapt, jshft, jupd, jiupd: std_ulogic;
signal jninst: std_logic_vector(7 downto 0);
signal spwi : grspw_in_type_vector(0 to CFG_SPW_NUM-1);
signal spwo : grspw_out_type_vector(0 to CFG_SPW_NUM-1);
signal spw_rxclk : std_logic_vector(CFG_SPW_NUM*2-1 downto 0);
signal dtmp : std_logic_vector(0 to CFG_SPW_NUM-1);
signal stmp : std_logic_vector(0 to CFG_SPW_NUM-1);
signal stati : ahbstat_in_type;
-- SPW Clock Gating signals
signal enphy : std_logic_vector(CFG_SPW_NUM-1 downto 0);
signal spwrstn : std_logic_vector(CFG_SPW_NUM-1 downto 0);
signal gspwclk : std_logic_vector(CFG_SPW_NUM-1 downto 0);
signal rxclko : std_logic_vector(CFG_SPW_NUM-1 downto 0);
signal lspwclkn : std_logic_vector(CFG_SPW_NUM-1 downto 0);
signal spwclkn : std_logic_vector(CFG_SPW_NUM-1 downto 0);
signal rxclkphyo : std_logic_vector(CFG_SPW_NUM-1 downto 0);
signal disclk : std_logic_vector(CFG_SPW_NUM-1 downto 0);
signal disrxclk0 : std_logic_vector(CFG_SPW_NUM-1 downto 0);
signal disrxclk1 : std_logic_vector(CFG_SPW_NUM-1 downto 0);
signal distxclk : std_logic_vector(CFG_SPW_NUM-1 downto 0);
signal distxclkn : std_logic_vector(CFG_SPW_NUM-1 downto 0);
signal gclk : std_logic_vector(CFG_SPW_NUM-1 downto 0);
signal grxclk0 : std_logic_vector(CFG_SPW_NUM-1 downto 0);
signal grxclk1 : std_logic_vector(CFG_SPW_NUM-1 downto 0);
signal gtxclk : std_logic_vector(CFG_SPW_NUM-1 downto 0);
signal gtxclkn : std_logic_vector(CFG_SPW_NUM-1 downto 0);
signal grst : std_logic_vector(CFG_SPW_NUM-1 downto 0);
signal crst : std_logic_vector(CFG_SPW_NUM-1 downto 0);
constant IOAEN : integer := 0;
constant CFG_SDEN : integer := CFG_MCTRL_LEON2;
constant CFG_INVCLK : integer := CFG_MCTRL_INVCLK;
constant BOARD_FREQ : integer := 50000; -- Board frequency in KHz
constant sysfreq : integer := (CFG_CLKMUL/CFG_CLKDIV)*40000;
constant OEPOL : integer := padoen_polarity(padtech);
constant CPU_FREQ : integer := 100000;
begin
----------------------------------------------------------------------
--- Reset and Clock generation -------------------------------------
----------------------------------------------------------------------
vcc <= (others => '1'); gnd <= (others => '0');
wpo.wprothit <= '0'; -- no write protection
rstgen0 : rstgen -- reset generator
generic map (syncrst => CFG_NOASYNC, scanen => scantest, syncin => 1)
port map (resetn, clk, clklock, rstn, rstraw, testrst);
rstgen1 : rstgen -- reset generator
generic map (syncrst => CFG_NOASYNC, scanen => scantest, syncin => 1)
port map (resetn, clkapb, clklock, rstapbn, rstapbraw, testrst);
----------------------------------------------------------------------
--- AHB CONTROLLER --------------------------------------------------
----------------------------------------------------------------------
ahbctrl0 : ahbctrl -- AHB arbiter/multiplexer
generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO,
ioen => IOAEN, nahbm => maxahbm, nahbs => 8)
port map (rstn, clk, ahbmi, ahbmo, ahbsi, ahbso,
testen, testrst, scanen, testoen);
----------------------------------------------------------------------
--- LEON3 processor and DSU -----------------------------------------
----------------------------------------------------------------------
cpu : for i in 0 to CFG_NCPU-1 generate
leon3s0 : leon3cg -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1,
CFG_DFIXED, CFG_SCAN, CFG_MMU_PAGE, CFG_BP, CFG_NP_ASI, CFG_WRPSR)
port map (clk, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i), clk);
end generate;
errorn <= dbgo(0).error when OEPOL = 0 else not dbgo(0).error;
dsugen : if CFG_DSU = 1 generate
dsu0 : dsu3 -- LEON3 Debug Support Unit
generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
port map (rstn, clk, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
dsui.enable <= dsuen; dsui.break <= dsubre; dsuact <= dsuo.active;
end generate;
nodsu : if CFG_DSU = 0 generate
ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0';
end generate;
dcomgen : if CFG_AHB_UART = 1 generate
ahbuart0: ahbuart -- Debug UART
generic map (hindex => CFG_NCPU, pindex => 7, paddr => 7)
port map (rstn, clk, dui, duo, apbi, apbo(7), ahbmi, ahbmo(CFG_NCPU));
dui.rxd <= dsurx; dsutx <= duo.txd;
end generate;
nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate;
ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
ahbjtag0 : ahbjtag generic map(tech => fabtech, part => JTAG_EXAMPLE_PART,
hindex => CFG_NCPU+CFG_AHB_UART, scantest => scantest, oepol => OEPOL)
port map(rstn, clk, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART),
jtck, jtdi, open, jrst, jcapt, jshft, jupd, jtdo, trst, tdoen, '0', jtckn, jninst, jiupd);
end generate;
----------------------------------------------------------------------
--- Memory controllers ----------------------------------------------
----------------------------------------------------------------------
address <= memo.address(27 downto 0);
ramsn <= memo.ramsn(4 downto 0); romsn <= memo.romsn(1 downto 0);
oen <= memo.oen; rwen <= memo.wrn; ramoen <= memo.ramoen(4 downto 0);
writen <= memo.writen; read <= memo.read; iosn <= memo.iosn;
dataout <= memo.data(31 downto 0); dataen <= memo.vbdrive(31 downto 0);
memi.data(31 downto 0) <= datain;
sdwen <= sdo.sdwen; sdrasn <= sdo.rasn; sdcasn <= sdo.casn;
sddqm <= sdo.dqm(3 downto 0); sdcsn <= sdo.sdcsn;
cbout <= memo.cb(7 downto 0); cben <= memo.vcdrive(7 downto 0);
memi.bwidth <= prom32 & '0';
mg2 : if CFG_MCTRL_LEON2 = 1 generate -- LEON2 memory controller
mctrl0 : mctrl generic map (hindex => 0, pindex => 0, paddr => 0,
srbanks => 4+CFG_MCTRL_5CS, sden => CFG_MCTRL_SDEN,
ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT,
invclk => CFG_MCTRL_INVCLK, sepbus => CFG_MCTRL_SEPBUS,
sdbits => 32 + 32*CFG_MCTRL_SD64, pageburst => CFG_MCTRL_PAGE,
oepol => OEPOL)
port map (rstn, clk, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo);
end generate;
nosd0 : if (CFG_SDEN = 0) generate -- no SDRAM controller
sdo.sdcsn <= (others => '1');
end generate;
memi.writen <= '1'; memi.wrn <= "1111";
memi.brdyn <= brdyn; memi.bexcn <= bexcn;
mg0 : if CFG_MCTRL_LEON2 = 0 generate -- None PROM/SRAM controller
apbo(0) <= apb_none; ahbso(0) <= ahbs_none;
memo.ramsn <= (others => '1'); memo.romsn <= (others => '1');
end generate;
----------------------------------------------------------------------
--- APB Bridge and various periherals -------------------------------
----------------------------------------------------------------------
apbctrl0 : apbctrl -- AHB/APB bridge
generic map (hindex => 1, haddr => CFG_APBADDR)
port map (rstapbn, clkapb, ahbsi, ahbso(1), apbi, apbo );
ua1 : if CFG_UART1_ENABLE /= 0 generate
apbuart0 : apbuart -- UART 1
generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart,
fifosize => CFG_UART1_FIFO)
port map (rstapbn, clkapb, apbi, apbo(1), u1i, u1o);
u1i.ctsn <= '0'; u1i.extclk <= '0';
txd1 <= u1o.txd; u1i.rxd <= rxd1;
end generate;
noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
ua2 : if CFG_UART2_ENABLE /= 0 generate
uart2 : apbuart -- UART 2
generic map (pindex => 9, paddr => 9, pirq => 9, fifosize => CFG_UART2_FIFO)
port map (rstapbn, clkapb, apbi, apbo(9), u2i, u2o);
u2i.rxd <= rxd2; u2i.ctsn <= '0'; u2i.extclk <= '0'; txd2 <= u2o.txd;
end generate;
noua1 : if CFG_UART2_ENABLE = 0 generate apbo(9) <= apb_none; end generate;
irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
irqctrl0 : irqmp -- interrupt controller
generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
port map (rstn, clk, apbi, apbo(2), irqo, irqi);
end generate;
irq3 : if CFG_IRQ3_ENABLE = 0 generate
x : for i in 0 to CFG_NCPU-1 generate
irqi(i).irl <= "0000";
end generate;
apbo(2) <= apb_none;
end generate;
gpt : if CFG_GPT_ENABLE /= 0 generate
gptimer0 : gptimer -- timer unit
generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
nbits => CFG_GPT_TW, wdog => CFG_GPT_WDOGEN*CFG_GPT_WDOG)
port map (rstapbn, clkapb, apbi, apbo(3), gpti, gpto);
gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
wdogn <= gpto.wdogn when OEPOL = 0 else gpto.wdog;
end generate;
notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GR GPIO unit
grgpio0: grgpio
generic map( pindex => 6, paddr => 6, imask => CFG_GRGPIO_IMASK,
nbits => CFG_GRGPIO_WIDTH, oepol => OEPOL, syncrst => CFG_NOASYNC)
port map( rstapbn, clkapb, apbi, apbo(6), gpioi, gpioo);
gpioout <= gpioo.dout(CFG_GRGPIO_WIDTH-1 downto 0);
gpioen <= gpioo.oen(CFG_GRGPIO_WIDTH-1 downto 0);
gpioi.din(CFG_GRGPIO_WIDTH-1 downto 0) <= gpioin;
end generate;
nogpio : if CFG_GRGPIO_ENABLE = 0 generate apbo(5) <= apb_none; end generate;
i2cm: if CFG_I2C_ENABLE = 1 generate -- I2C master
i2c0 : i2cmst generic map (pindex => 5, paddr => 5, pmask => 16#FFF#, pirq => 13, filter => 9)
port map (rstapbn, clkapb, apbi, apbo(5), i2ci, i2co);
i2c_sclout <= i2co.scl;
i2c_sclen <= i2co.scloen;
i2ci.scl <= i2c_sclin;
i2c_sdaout <= i2co.sda;
i2c_sdaen <= i2co.sdaoen;
i2ci.sda <= i2c_sdain;
end generate i2cm;
noi2cm: if CFG_I2C_ENABLE = 0 generate apbo(5) <= apb_none; end generate;
spic: if CFG_SPICTRL_ENABLE = 1 generate -- SPI controller
spictrl0 : spictrl
generic map(
pindex => 8,
paddr => 8,
pmask => 16#fff#,
pirq => 8,
fdepth => CFG_SPICTRL_FIFO,
slvselen => CFG_SPICTRL_SLVREG,
slvselsz => CFG_SPICTRL_SLVS,
oepol => oepol,
odmode => CFG_SPICTRL_ODMODE,
automode => CFG_SPICTRL_AM,
aslvsel => CFG_SPICTRL_ASEL,
twen => CFG_SPICTRL_TWEN,
maxwlen => CFG_SPICTRL_MAXWLEN,
syncram => CFG_SPICTRL_SYNCRAM,
memtech => memtech,
ft => CFG_SPICTRL_FT,
scantest => scantest)
port map(
rstn => rstapbn,
clk => clkapb,
apbi => apbi,
apbo => apbo(8),
spii => spii,
spio => spio,
slvsel => spi_slvsel);
spii.sck <= '0';
spii.mosi <= '0';
spii.miso <= spi_miso;
spi_mosi <= spio.mosi;
spi_sck <= spio.sck;
spii.astart <= '0'; --unused
spii.spisel <= '1'; --unused (master only)
end generate spic;
nospi: if CFG_SPICTRL_ENABLE = 0 generate apbo(14) <= apb_none; end generate;
ahbs : if CFG_AHBSTAT = 1 generate -- AHB status register
stati.cerror(0) <= memo.ce;
ahbstat0 : ahbstat
generic map (pindex => 15, paddr => 15, pirq => 1, nftslv => CFG_AHBSTATN)
port map (rstn, clk, ahbmi, ahbsi, stati, apbi, apbo(15));
end generate;
nop2 : if CFG_AHBSTAT = 0 generate apbo(15) <= apb_none; end generate;
-------------------------------------------------------------------------------
-- JTAG Boundary scan
-------------------------------------------------------------------------------
bscangen: if CFG_BOUNDSCAN_EN /= 0 generate
xtapgen: if CFG_AHB_JTAG = 0 generate
t0: tap
generic map (tech => fabtech, irlen => 6, scantest => scantest, oepol => OEPOL)
port map (trst,tck,tms,tdi,tdo,
jtck,jtdi,open,jrst,jcapt,jshft,jupd,open,open,'1',jtdo,'0',jninst,jiupd,jtckn,testen,testrst,testoen,tdoen,'0');
end generate;
bc0: bscanctrl
port map (
trst,jtck,jtckn,jtdi,jninst,jiupd,jrst,jcapt,jshft,jupd,jtdo,
chain_tdi, chain_tdo, bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz,
gnd(0), gnd(0), testen, testrst, open, gnd(0));
chain_tck <= jtck;
chain_tckn <= jtckn;
end generate;
nobscangen: if CFG_BOUNDSCAN_EN = 0 generate
chain_tck <= '0';
chain_tckn <= '0';
chain_tdi <= '0';
bsshft <= '0';
bscapt <= '0';
bsupdi <= '0';
bsupdo <= '0';
bsdrive <= '0';
bshighz <= '0';
end generate;
-----------------------------------------------------------------------
--- SPACEWIRE -------------------------------------------------------
-----------------------------------------------------------------------
spw : if CFG_SPW_EN > 0 generate
swloop : for i in 0 to CFG_SPW_NUM-1 generate
spwi(i).clkdiv10 <=
"000" & gpioo.val(10 downto 8) & "11" when spw_clksel(1 downto 0) = "11" else
"0000" & gpioo.val(10 downto 8) & '1' when spw_clksel(1 downto 0) = "10" else
"00000" & gpioo.val(10 downto 8);
spwi(i).timerrstval <=
'0' & gpioo.val(15 downto 11) & "111111" when clksel(1 downto 0) = "11" else
"00" & gpioo.val(15 downto 11) & "11111" when clksel(1 downto 0) = "10" else
"000" & gpioo.val(15 downto 11) & "1111";
spwi(i).dcrstval <=
"00" & gpioo.val(15 downto 11) & "111" when clksel(1 downto 0) = "11" else
"000" & gpioo.val(15 downto 11) & "10" when clksel(1 downto 0) = "10" else
"0000" & gpioo.val(15 downto 11) & '0';
-- GRSPW PHY #1
spw1_input: if CFG_SPW_GRSPW = 1 generate
x : process
begin
assert false
report "ASIC Leon3 Ref design do not support GRSPW #1"
severity failure;
wait;
end process;
end generate spw1_input;
-- GRSPW PHY #2
spw2_input: if CFG_SPW_GRSPW = 2 generate
------------------------------------------------------------------------------
-- SpW Physical layer
------------------------------------------------------------------------------
--phy_loop : for i in 0 to CFG_SPWRTR_SPWPORTS-1 generate
rstphy0 : rstgen
generic map(
acthigh => 0, -- CFG_RSTGEN_ACTHIGH,
syncrst => CFG_NOASYNC, -- CFG_RSTGEN_SYNCRST,
scanen => scantest,
syncin => 1)
port map (
rstin => rstn,
clk => spw_clk,
clklock => clklock,
rstout => spwrstn(i),
rstoutraw => open,
testrst => testrst,
testen => testen);
-- Only add clockgating to tech lib which supports clock gates
clkgatephygen : if (has_clkand(fabtech) = 1) generate
-- Sync clock to clock domain
spwclkreg : process(spw_clk) is
begin
if rising_edge(spw_clk) then
-- Only disable phy when rx and tx is disabled
-- TODO: Add SW register to enable/disable the router
enphy(i) <= '1';
end if;
end process;
-- Disable spw phy clock when port is not used
spw_phy0_enable : clkand
generic map (
tech => fabtech,
ren => 0)
port map (
i => spw_clk,
en => enphy(i),
o => gspwclk(i),
tsten => testen);
-- Select rx clock (Should be removed by optimization if RX and TX clock is same i.e. normal case for ASIC)
spw_rxclk(i) <= spw_clk when (CFG_SPW_RTSAME = 1) else rxclkphyo(i);
end generate;
noclkgategen : if (has_clkand(fabtech) = 0) generate
enphy(i) <= '1';
gspwclk(i) <= spw_clk;
spw_rxclk(i) <= spw_clk when (CFG_SPW_RTSAME = 1) else rxclkphyo(i);
end generate;
notecclkmux : if (has_clkmux(fabtech) = 0) generate
spwclkn(i) <= spw_clk when (testen = '1' and scantest = 1) else not spw_clk;
end generate;
tecclkmux : if (has_clkmux(fabtech) = 1) generate
-- Use SET protected cells
spwclkni0: clkinv generic map (tech => fabtech) port map (spw_clk, lspwclkn(i));
spwclknm0 : clkmux generic map (tech => fabtech) port map (lspwclkn(i),spw_clk,testen,spwclkn(i));
end generate;
spw_phy0 : grspw2_phy
generic map(
scantest => scantest,
tech => fabtech,
input_type => CFG_SPW_INPUT,
rxclkbuftype => 0)
port map(
rstn => spwrstn(i),
rxclki => gspwclk(i),
rxclkin => spwclkn(i),
nrxclki => spwclkn(i),
di => dtmp(i),
si => stmp(i),
do => spwi(i).d(1 downto 0),
dov => spwi(i).dv(1 downto 0),
dconnect => spwi(i).dconnect(1 downto 0),
rxclko => rxclkphyo(i),
testrst => testrst,
testen => testen);
dtmp(i) <= spw_rxd(i); stmp(i) <= spw_rxs(i);
spw_txd(i) <= spwo(i).d(0); spw_txs(i) <= spwo(i).s(0);
spwi(i).nd <= (others => '0'); -- Only used in GRSPW
spwi(i).dv(3 downto 2) <= "00"; -- For second port
--end generate;
end generate spw2_input;
spw1_codec: if CFG_SPW_GRSPW = 1 generate
x : process
begin
assert false
report "ASIC Leon3 Ref design do not support GRSPW #1"
severity failure;
wait;
end process;
end generate spw1_codec;
spw2_codec: if CFG_SPW_GRSPW = 2 generate
rstcodec0 : rstgen
generic map(
acthigh => 0, -- CFG_RSTGEN_ACTHIGH,
syncrst => CFG_NOASYNC, -- CFG_RSTGEN_SYNCRST,
scanen => scantest,
syncin => 1)
port map (
rstin => rstn,
clk => spw_clk,
clklock => clklock,
rstout => crst(i),
rstoutraw => open,
testrst => testrst,
testen => testen);
-- TODO: Fix SW control signals
disclk(i) <= '0';
disrxclk0(i) <= '0';
disrxclk1(i) <= '0';
distxclk(i) <= '0';
distxclkn(i) <= '0';
port0_clkgate : grspw_codec_clockgate
generic map (
tech => fabtech,
scantest => scantest,
ports => CFG_SPW_PORTS,
output_type => CFG_SPW_OUTPUT,
clkgate => 1
)
port map (
rst => crst(i),
clk => spw_clk,
rxclk0 => spw_rxclk(i),
rxclk1 => '0',
txclk => spw_clk,
txclkn => '0',
testen => testen,
testrst => testrst,
disableclk => disclk(i),
disablerxclk0 => disrxclk0(i),
disablerxclk1 => disrxclk1(i),
disabletxclk => distxclk(i),
disabletxclkn => distxclkn(i),
grst => grst(i),
gclk => gclk(i),
grxclk0 => grxclk0(i),
grxclk1 => grxclk1(i),
gtxclk => gtxclk(i),
gtxclkn => gtxclkn(i)
);
grspw0 : grspw2
generic map(
tech => fabtech, -- : integer range 0 to NTECH := inferred;
hindex => maxahbmsp+i, -- : integer range 0 to NAHBMST-1 := 0;
pindex => i+10, -- : integer range 0 to NAPBSLV-1 := 0;
paddr => i+10, -- : integer range 0 to 16#FFF# := 0;
--pmask : integer range 0 to 16#FFF# := 16#FFF#;
pirq => i+10, -- : integer range 0 to NAHBIRQ-1 := 0;
rmap => CFG_SPW_RMAP, -- : integer range 0 to 2 := 0;
rmapcrc => CFG_SPW_RMAPCRC, -- : integer range 0 to 1 := 0;
fifosize1 => CFG_SPW_AHBFIFO, -- : integer range 4 to 32 := 32;
fifosize2 => CFG_SPW_RXFIFO, -- : integer range 16 to 64 := 64;
rxunaligned => CFG_SPW_RXUNAL, -- : integer range 0 to 1 := 0;
rmapbufs => CFG_SPW_RMAPBUF, -- : integer range 2 to 8 := 4;
ft => CFG_SPW_FT, -- : integer range 0 to 2 := 0;
scantest => scantest, -- : integer range 0 to 1 := 0;
ports => CFG_SPW_PORTS, -- : integer range 1 to 2 := 1;
dmachan => CFG_SPW_DMACHAN, -- : integer range 1 to 4 := 1;
memtech => memtech, -- : integer range 0 to NTECH := DEFMEMTECH;
techfifo => has_2pram(memtech), -- : integer range 0 to 1 := 1;
input_type => CFG_SPW_INPUT, -- : integer range 0 to 4 := 0;
output_type => CFG_SPW_OUTPUT, -- : integer range 0 to 2 := 0;
rxtx_sameclk => CFG_SPW_RTSAME, -- : integer range 0 to 1 := 0;
netlist => CFG_SPW_NETLIST -- : integer range 0 to 1 := 0;
)
port map (
rst => grst(i),
clk => gclk(i),
rxclk0 => grxclk0(i),
rxclk1 => grxclk1(i),
txclk => gtxclk(i),
txclkn => gtxclkn(i),
ahbmi => ahbmi,
ahbmo => ahbmo(maxahbmsp+i),
apbi => apbi,
apbo => apbo(i+10),
swni => spwi(i),
swno => spwo(i)
);
end generate spw2_codec;
end generate;
end generate;
nospw : if CFG_SPW_EN = 0 generate
spw_txd <= (others => '0');
spw_txs <= (others => '0');
end generate;
-----------------------------------------------------------------------
--- ETHERNET ---------------------------------------------------------
-----------------------------------------------------------------------
eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
e1 : grethm
generic map(hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
pindex => 13, paddr => 13, pirq => 12, memtech => memtech,
mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, phyrstadr => 7,
ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL, giga => CFG_GRETH1G,
enable_mdint => 1)
port map(rst => rstn, clk => clk, ahbmi => ahbmi,
ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG),
apbi => apbi, apbo => apbo(13), ethi => ethi, etho => etho);
ethi.gtx_clk <= gtx_clk;
ethi.rx_clk <= erx_clk;
ethi.rxd(7 downto 0) <= erxd;
ethi.rx_dv <= erx_dv;
ethi.tx_clk <= etx_clk;
etxd <= etho.txd(7 downto 0);
etx_en <= etho.tx_en;
etx_er <= etho.tx_er;
ethi.mdint <= emdint;
ethi.mdio_i <= emdioin;
emdioout <= etho.mdio_o;
emdioen <= etho.mdio_oe;
emdc <= etho.mdc;
ethi.rx_er <= erx_er;
ethi.rx_col <= erx_col;
ethi.rx_crs <= erx_crs;
end generate;
-----------------------------------------------------------------------
--- Drive unused bus elements ---------------------------------------
-----------------------------------------------------------------------
noam1 : for i in maxahbm to NAHBMST-1 generate
ahbmo(i) <= ahbm_none;
end generate;
-- noap0 : for i in 12+(CFG_SPW_NUM*CFG_SPW_EN) to NAPBSLV-1-CFG_AHBSTAT
-- generate apbo(i) <= apb_none; end generate;
noah0 : for i in 9 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate;
-----------------------------------------------------------------------
--- Boot message ----------------------------------------------------
-----------------------------------------------------------------------
-- pragma translate_off
x : report_design
generic map (
msg1 => "LEON3 ASIC Demonstration design",
fabtech => tech_table(fabtech), memtech => tech_table(memtech),
mdel => 1
);
-- pragma translate_on
end;
|
-- file: pll1.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1____21.429______0.000______50.0______418.290____232.343
-- CLK_OUT2____85.716______0.000______50.0______305.832____232.343
-- CLK_OUT3____85.716____-90.000______50.0______305.832____232.343
-- CLK_OUT4_____8.000______0.000______50.0______508.185____232.343
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary__________48.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity pll1 is
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic;
CLK_OUT2 : out std_logic;
CLK_OUT3 : out std_logic;
CLK_OUT4 : out std_logic
);
end pll1;
architecture xilinx of pll1 is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "pll1,clk_wiz_v3_6,{component_name=pll1,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=4,clkin1_period=20.833,clkin2_period=20.833,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=MANUAL,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout : std_logic;
signal clkfbout_buf : std_logic;
signal clkout0 : std_logic;
signal clkout1 : std_logic;
signal clkout2 : std_logic;
signal clkout3 : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
-- Unused status signals
signal locked_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1_buf : BUFG
port map
(O => clkin1,
I => CLK_IN1);
-- Clocking primitive
--------------------------------------
-- Instantiation of the PLL primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
pll_base_inst : PLL_BASE
generic map
(BANDWIDTH => "OPTIMIZED",
CLK_FEEDBACK => "CLKFBOUT",
COMPENSATION => "SYSTEM_SYNCHRONOUS",
DIVCLK_DIVIDE => 2,
CLKFBOUT_MULT => 25,
CLKFBOUT_PHASE => 0.000,
CLKOUT0_DIVIDE => 28,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKOUT1_DIVIDE => 7,
CLKOUT1_PHASE => 0.000,
CLKOUT1_DUTY_CYCLE => 0.500,
CLKOUT2_DIVIDE => 7,
CLKOUT2_PHASE => -90.000,
CLKOUT2_DUTY_CYCLE => 0.500,
CLKOUT3_DIVIDE => 75,
CLKOUT3_PHASE => 0.000,
CLKOUT3_DUTY_CYCLE => 0.500,
CLKIN_PERIOD => 20.833,
REF_JITTER => 0.010)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKOUT0 => clkout0,
CLKOUT1 => clkout1,
CLKOUT2 => clkout2,
CLKOUT3 => clkout3,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
LOCKED => locked_unused,
RST => '0',
-- Input clock control
CLKFBIN => clkfbout_buf,
CLKIN => clkin1);
-- Output buffering
-------------------------------------
clkf_buf : BUFG
port map
(O => clkfbout_buf,
I => clkfbout);
clkout1_buf : BUFG
port map
(O => CLK_OUT1,
I => clkout0);
clkout2_buf : BUFG
port map
(O => CLK_OUT2,
I => clkout1);
clkout3_buf : BUFG
port map
(O => CLK_OUT3,
I => clkout2);
clkout4_buf : BUFG
port map
(O => CLK_OUT4,
I => clkout3);
end xilinx;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY tb_gestor_display IS
END tb_gestor_display;
ARCHITECTURE behavior OF tb_gestor_display IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT gestor_display
PORT(
CLK : IN std_logic;
piso_now : IN std_logic_vector(1 downto 0);
piso_obj : IN std_logic_vector(1 downto 0);
piso_seleccionado : OUT std_logic_vector(1 downto 0);
piso_actual : OUT std_logic_vector(1 downto 0);
accion : OUT std_logic_vector(1 downto 0)
);
END COMPONENT;
--Inputs
signal CLK : std_logic := '0';
signal piso_now : std_logic_vector(1 downto 0) := (others => '0');
signal piso_obj : std_logic_vector(1 downto 0) := (others => '0');
--Outputs
signal piso_seleccionado : std_logic_vector(1 downto 0);
signal piso_actual : std_logic_vector(1 downto 0);
signal accion : std_logic_vector(1 downto 0);
-- Clock period definitions
constant CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: gestor_display PORT MAP (
CLK => CLK,
piso_now => piso_now,
piso_obj => piso_obj,
piso_seleccionado => piso_seleccionado,
piso_actual => piso_actual,
accion => accion
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
WAIT FOR 2 ns;
piso_now <= "01";
piso_obj <= "11";
WAIT FOR 20 ns;
piso_now <= "10";
WAIT FOR 20 ns;
piso_now <= "11";
WAIT FOR 20 ns;
piso_obj <= "00";
WAIT FOR 20 ns;
piso_now <= "10";
WAIT FOR 20 ns;
piso_obj <= "01";
WAIT FOR 20 ns;
piso_now <= "11";
WAIT FOR 20 ns;
piso_now <= "00";
WAIT FOR 20 ns;
ASSERT false
REPORT "Simulación finalizada. Test superado."
SEVERITY FAILURE;
end process;
END;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Stimulus Generator For TDP
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: bmg_stim_gen.vhd
--
-- Description:
-- Stimulus Generation For TDP
-- 100 Writes and 100 Reads will be performed in a repeatitive loop till the
-- simulation ends
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY REGISTER_LOGIC_TDP IS
PORT(
Q : OUT STD_LOGIC;
CLK : IN STD_LOGIC;
RST : IN STD_LOGIC;
D : IN STD_LOGIC
);
END REGISTER_LOGIC_TDP;
ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_TDP IS
SIGNAL Q_O : STD_LOGIC :='0';
BEGIN
Q <= Q_O;
FF_BEH: PROCESS(CLK)
BEGIN
IF(RISING_EDGE(CLK)) THEN
IF(RST ='1') THEN
Q_O <= '0';
ELSE
Q_O <= D;
END IF;
END IF;
END PROCESS;
END REGISTER_ARCH;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
--USE IEEE.NUMERIC_STD.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY BMG_STIM_GEN IS
PORT (
CLKA : IN STD_LOGIC;
CLKB : IN STD_LOGIC;
TB_RST : IN STD_LOGIC;
ADDRA : OUT STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0');
DINA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
WEA : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0');
WEB : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0');
ADDRB : OUT STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0');
DINB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
CHECK_DATA: OUT STD_LOGIC_VECTOR(1 DOWNTO 0):=(OTHERS => '0')
);
END BMG_STIM_GEN;
ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS
CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
CONSTANT ADDR_ZERO : STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0');
CONSTANT DATA_PART_CNT_A : INTEGER:= DIVROUNDUP(32,32);
CONSTANT DATA_PART_CNT_B : INTEGER:= DIVROUNDUP(32,32);
SIGNAL WRITE_ADDR_A : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL WRITE_ADDR_B : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL WRITE_ADDR_INT_A : STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0');
SIGNAL READ_ADDR_INT_A : STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0');
SIGNAL WRITE_ADDR_INT_B : STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0');
SIGNAL READ_ADDR_INT_B : STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0');
SIGNAL READ_ADDR_A : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL READ_ADDR_B : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINA_INT : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINB_INT : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL MAX_COUNT : STD_LOGIC_VECTOR(10 DOWNTO 0):=CONV_STD_LOGIC_VECTOR(4096,11);
SIGNAL DO_WRITE_A : STD_LOGIC := '0';
SIGNAL DO_READ_A : STD_LOGIC := '0';
SIGNAL DO_WRITE_B : STD_LOGIC := '0';
SIGNAL DO_READ_B : STD_LOGIC := '0';
SIGNAL COUNT_NO : STD_LOGIC_VECTOR (10 DOWNTO 0):=(OTHERS => '0');
SIGNAL DO_READ_RA : STD_LOGIC := '0';
SIGNAL DO_READ_RB : STD_LOGIC := '0';
SIGNAL DO_READ_REG_A: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0');
SIGNAL DO_READ_REG_B: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0');
SIGNAL COUNT : integer := 0;
SIGNAL COUNT_B : integer := 0;
CONSTANT WRITE_CNT_A : integer := 6;
CONSTANT READ_CNT_A : integer := 6;
CONSTANT WRITE_CNT_B : integer := 4;
CONSTANT READ_CNT_B : integer := 4;
signal porta_wr_rd : std_logic:='0';
signal portb_wr_rd : std_logic:='0';
signal porta_wr_rd_complete: std_logic:='0';
signal portb_wr_rd_complete: std_logic:='0';
signal incr_cnt : std_logic :='0';
signal incr_cnt_b : std_logic :='0';
SIGNAL PORTB_WR_RD_HAPPENED: STD_LOGIC :='0';
SIGNAL LATCH_PORTA_WR_RD_COMPLETE : STD_LOGIC :='0';
SIGNAL PORTA_WR_RD_L1 :STD_LOGIC :='0';
SIGNAL PORTA_WR_RD_L2 :STD_LOGIC :='0';
SIGNAL PORTB_WR_RD_R1 :STD_LOGIC :='0';
SIGNAL PORTB_WR_RD_R2 :STD_LOGIC :='0';
SIGNAL PORTA_WR_RD_HAPPENED: STD_LOGIC :='0';
SIGNAL LATCH_PORTB_WR_RD_COMPLETE : STD_LOGIC :='0';
SIGNAL PORTB_WR_RD_L1 :STD_LOGIC :='0';
SIGNAL PORTB_WR_RD_L2 :STD_LOGIC :='0';
SIGNAL PORTA_WR_RD_R1 :STD_LOGIC :='0';
SIGNAL PORTA_WR_RD_R2 :STD_LOGIC :='0';
BEGIN
WRITE_ADDR_INT_A(11 DOWNTO 0) <= WRITE_ADDR_A(11 DOWNTO 0);
READ_ADDR_INT_A(11 DOWNTO 0) <= READ_ADDR_A(11 DOWNTO 0);
ADDRA <= IF_THEN_ELSE(DO_WRITE_A='1',WRITE_ADDR_INT_A,READ_ADDR_INT_A) ;
WRITE_ADDR_INT_B(11 DOWNTO 0) <= WRITE_ADDR_B(11 DOWNTO 0);
--To avoid collision during idle period, negating the read_addr of port A
READ_ADDR_INT_B(11 DOWNTO 0) <= IF_THEN_ELSE( (DO_WRITE_B='0' AND DO_READ_B='0'),ADDR_ZERO,READ_ADDR_B(11 DOWNTO 0));
ADDRB <= IF_THEN_ELSE(DO_WRITE_B='1',WRITE_ADDR_INT_B,READ_ADDR_INT_B) ;
DINA <= DINA_INT ;
DINB <= DINB_INT ;
CHECK_DATA(0) <= DO_READ_A;
CHECK_DATA(1) <= DO_READ_B;
RD_ADDR_GEN_INST_A:ENTITY work.ADDR_GEN
GENERIC MAP( C_MAX_DEPTH => 4096,
RST_INC => 1 )
PORT MAP(
CLK => CLKA,
RST => TB_RST,
EN => DO_READ_A,
LOAD => '0',
LOAD_VALUE => ZERO,
ADDR_OUT => READ_ADDR_A
);
WR_ADDR_GEN_INST_A:ENTITY work.ADDR_GEN
GENERIC MAP( C_MAX_DEPTH =>4096 ,
RST_INC => 1 )
PORT MAP(
CLK => CLKA,
RST => TB_RST,
EN => DO_WRITE_A,
LOAD => '0',
LOAD_VALUE => ZERO,
ADDR_OUT => WRITE_ADDR_A
);
RD_ADDR_GEN_INST_B:ENTITY work.ADDR_GEN
GENERIC MAP( C_MAX_DEPTH => 4096 ,
RST_INC => 1 )
PORT MAP(
CLK => CLKB,
RST => TB_RST,
EN => DO_READ_B,
LOAD => '0',
LOAD_VALUE => ZERO,
ADDR_OUT => READ_ADDR_B
);
WR_ADDR_GEN_INST_B:ENTITY work.ADDR_GEN
GENERIC MAP( C_MAX_DEPTH => 4096 ,
RST_INC => 1 )
PORT MAP(
CLK => CLKB,
RST => TB_RST,
EN => DO_WRITE_B,
LOAD => '0',
LOAD_VALUE => ZERO,
ADDR_OUT => WRITE_ADDR_B
);
WR_DATA_GEN_INST_A:ENTITY work.DATA_GEN
GENERIC MAP ( DATA_GEN_WIDTH =>32,
DOUT_WIDTH => 32,
DATA_PART_CNT => 1,
SEED => 2)
PORT MAP (
CLK =>CLKA,
RST => TB_RST,
EN => DO_WRITE_A,
DATA_OUT => DINA_INT
);
WR_DATA_GEN_INST_B:ENTITY work.DATA_GEN
GENERIC MAP ( DATA_GEN_WIDTH =>32,
DOUT_WIDTH =>32 ,
DATA_PART_CNT =>1,
SEED => 2)
PORT MAP (
CLK =>CLKB,
RST => TB_RST,
EN => DO_WRITE_B,
DATA_OUT => DINB_INT
);
PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
LATCH_PORTB_WR_RD_COMPLETE<='0';
ELSIF(PORTB_WR_RD_COMPLETE='1') THEN
LATCH_PORTB_WR_RD_COMPLETE <='1';
ELSIF(PORTA_WR_RD_HAPPENED='1') THEN
LATCH_PORTB_WR_RD_COMPLETE<='0';
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
PORTB_WR_RD_L1 <='0';
PORTB_WR_RD_L2 <='0';
ELSE
PORTB_WR_RD_L1 <= LATCH_PORTB_WR_RD_COMPLETE;
PORTB_WR_RD_L2 <= PORTB_WR_RD_L1;
END IF;
END IF;
END PROCESS;
PORTA_WR_RD_EN: PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
PORTA_WR_RD <='1';
ELSE
PORTA_WR_RD <= PORTB_WR_RD_L2;
END IF;
END IF;
END PROCESS;
PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
PORTA_WR_RD_R1 <='0';
PORTA_WR_RD_R2 <='0';
ELSE
PORTA_WR_RD_R1 <=PORTA_WR_RD;
PORTA_WR_RD_R2 <=PORTA_WR_RD_R1;
END IF;
END IF;
END PROCESS;
PORTA_WR_RD_HAPPENED <= PORTA_WR_RD_R2;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
LATCH_PORTA_WR_RD_COMPLETE<='0';
ELSIF(PORTA_WR_RD_COMPLETE='1') THEN
LATCH_PORTA_WR_RD_COMPLETE <='1';
ELSIF(PORTB_WR_RD_HAPPENED='1') THEN
LATCH_PORTA_WR_RD_COMPLETE<='0';
END IF;
END IF;
END PROCESS;
PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
PORTA_WR_RD_L1 <='0';
PORTA_WR_RD_L2 <='0';
ELSE
PORTA_WR_RD_L1 <= LATCH_PORTA_WR_RD_COMPLETE;
PORTA_WR_RD_L2 <= PORTA_WR_RD_L1;
END IF;
END IF;
END PROCESS;
PORTB_EN: PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
PORTB_WR_RD <='0';
ELSE
PORTB_WR_RD <= PORTA_WR_RD_L2;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
PORTB_WR_RD_R1 <='0';
PORTB_WR_RD_R2 <='0';
ELSE
PORTB_WR_RD_R1 <=PORTB_WR_RD;
PORTB_WR_RD_R2 <=PORTB_WR_RD_R1;
END IF;
END IF;
END PROCESS;
---double registered of porta complete on portb clk
PORTB_WR_RD_HAPPENED <= PORTB_WR_RD_R2;
PORTA_WR_RD_COMPLETE <= '1' when count=(WRITE_CNT_A+READ_CNT_A) else '0';
start_counter: process(clka)
begin
if(rising_edge(clka)) then
if(TB_RST='1') then
incr_cnt <= '0';
elsif(porta_wr_rd ='1') then
incr_cnt <='1';
elsif(porta_wr_rd_complete='1') then
incr_cnt <='0';
end if;
end if;
end process;
COUNTER: process(clka)
begin
if(rising_edge(clka)) then
if(TB_RST='1') then
count <= 0;
elsif(incr_cnt='1') then
count<=count+1;
end if;
if(count=(WRITE_CNT_A+READ_CNT_A)) then
count<=0;
end if;
end if;
end process;
DO_WRITE_A<='1' when (count <WRITE_CNT_A and incr_cnt='1') else '0';
DO_READ_A <='1' when (count >WRITE_CNT_A and incr_cnt='1') else '0';
PORTB_WR_RD_COMPLETE <= '1' when count_b=(WRITE_CNT_B+READ_CNT_B) else '0';
startb_counter: process(clkb)
begin
if(rising_edge(clkb)) then
if(TB_RST='1') then
incr_cnt_b <= '0';
elsif(portb_wr_rd ='1') then
incr_cnt_b <='1';
elsif(portb_wr_rd_complete='1') then
incr_cnt_b <='0';
end if;
end if;
end process;
COUNTER_B: process(clkb)
begin
if(rising_edge(clkb)) then
if(TB_RST='1') then
count_b <= 0;
elsif(incr_cnt_b='1') then
count_b<=count_b+1;
end if;
if(count_b=WRITE_CNT_B+READ_CNT_B) then
count_b<=0;
end if;
end if;
end process;
DO_WRITE_B<='1' when (count_b <WRITE_CNT_B and incr_cnt_b='1') else '0';
DO_READ_B <='1' when (count_b >WRITE_CNT_B and incr_cnt_b='1') else '0';
BEGIN_SHIFT_REG_A: FOR I IN 0 TO 4 GENERATE
BEGIN
DFF_RIGHT: IF I=0 GENERATE
BEGIN
SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_TDP
PORT MAP(
Q => DO_READ_REG_A(0),
CLK =>CLKA,
RST=>TB_RST,
D =>DO_READ_A
);
END GENERATE DFF_RIGHT;
DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE
BEGIN
SHIFT_INST: ENTITY work.REGISTER_LOGIC_TDP
PORT MAP(
Q => DO_READ_REG_A(I),
CLK =>CLKA,
RST=>TB_RST,
D =>DO_READ_REG_A(I-1)
);
END GENERATE DFF_OTHERS;
END GENERATE BEGIN_SHIFT_REG_A;
BEGIN_SHIFT_REG_B: FOR I IN 0 TO 4 GENERATE
BEGIN
DFF_RIGHT: IF I=0 GENERATE
BEGIN
SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_TDP
PORT MAP(
Q => DO_READ_REG_B(0),
CLK =>CLKB,
RST=>TB_RST,
D =>DO_READ_B
);
END GENERATE DFF_RIGHT;
DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE
BEGIN
SHIFT_INST: ENTITY work.REGISTER_LOGIC_TDP
PORT MAP(
Q => DO_READ_REG_B(I),
CLK =>CLKB,
RST=>TB_RST,
D =>DO_READ_REG_B(I-1)
);
END GENERATE DFF_OTHERS;
END GENERATE BEGIN_SHIFT_REG_B;
REGCEA_PROCESS: PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
DO_READ_RA <= '0';
ELSE
DO_READ_RA <= DO_READ_A;
END IF;
END IF;
END PROCESS;
REGCEB_PROCESS: PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
DO_READ_RB <= '0';
ELSE
DO_READ_RB <= DO_READ_B;
END IF;
END IF;
END PROCESS;
---REGCEB SHOULD BE SET AT THE CORE OUTPUT REGISTER/EMBEEDED OUTPUT REGISTER
--- WHEN CORE OUTPUT REGISTER IS SET REGCE SHOUD BE SET TO '1' WHEN THE READ DATA IS AVAILABLE AT THE CORE OUTPUT REGISTER
--WHEN CORE OUTPUT REGISTER IS '0' AND OUTPUT_PRIMITIVE_REG ='1', REGCE SHOULD BE SET WHEN THE DATA IS AVAILABLE AT THE PRIMITIVE OUTPUT REGISTER.
-- HERE, TO GENERAILIZE REGCE IS ASSERTED
WEA(0) <= IF_THEN_ELSE(DO_WRITE_A='1','1','0') ;
WEB(0) <= IF_THEN_ELSE(DO_WRITE_B='1','1','0') ;
END ARCHITECTURE;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: cycloneiii_ddr_phy
-- File: cycloneiii_ddr_phy.vhd
-- Author: Jiri Gaisler, Gaisler Research
-- Description: DDR PHY for Altera FPGAs
------------------------------------------------------------------------------
LIBRARY cycloneiii;
USE cycloneiii.all;
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY altdqs_cyciii_adqs_n7i2 IS
generic (width : integer := 2; period : string := "10000ps");
PORT
(
dll_delayctrlout : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
dqinclk : OUT STD_LOGIC_VECTOR (width-1 downto 0);
dqs_datain_h : IN STD_LOGIC_VECTOR (width-1 downto 0);
dqs_datain_l : IN STD_LOGIC_VECTOR (width-1 downto 0);
dqs_padio : INOUT STD_LOGIC_VECTOR (width-1 downto 0);
dqsundelayedout : OUT STD_LOGIC_VECTOR (width-1 downto 0);
inclk : IN STD_LOGIC := '0';
oe : IN STD_LOGIC_VECTOR (width-1 downto 0) := (OTHERS => '1');
outclk : IN STD_LOGIC_VECTOR (width-1 downto 0);
outclkena : IN STD_LOGIC_VECTOR (width-1 downto 0) := (OTHERS => '1')
);
END altdqs_cyciii_adqs_n7i2;
ARCHITECTURE RTL OF altdqs_cyciii_adqs_n7i2 IS
-- ATTRIBUTE synthesis_clearbox : boolean;
-- ATTRIBUTE synthesis_clearbox OF RTL : ARCHITECTURE IS true;
SIGNAL wire_cyciii_dll1_delayctrlout : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL wire_cyciii_dll1_dqsupdate : STD_LOGIC;
SIGNAL wire_cyciii_dll1_offsetctrlout : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL wire_cyciii_io2a_combout : STD_LOGIC_VECTOR (width-1 downto 0);
SIGNAL wire_cyciii_io2a_datain : STD_LOGIC_VECTOR (width-1 downto 0);
SIGNAL wire_cyciii_io2a_ddiodatain : STD_LOGIC_VECTOR (width-1 downto 0);
SIGNAL wire_cyciii_io2a_dqsbusout : STD_LOGIC_VECTOR (width-1 downto 0);
SIGNAL wire_cyciii_io2a_oe : STD_LOGIC_VECTOR (width-1 downto 0);
SIGNAL wire_cyciii_io2a_outclk : STD_LOGIC_VECTOR (width-1 downto 0);
SIGNAL wire_cyciii_io2a_outclkena : STD_LOGIC_VECTOR (width-1 downto 0);
SIGNAL delay_ctrl : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL dqs_update : STD_LOGIC;
SIGNAL offset_ctrl : STD_LOGIC_VECTOR (5 DOWNTO 0);
COMPONENT cycloneiii_dll
GENERIC
(
DELAY_BUFFER_MODE : STRING := "low";
DELAY_CHAIN_LENGTH : NATURAL := 12;
DELAYCTRLOUT_MODE : STRING := "normal";
INPUT_FREQUENCY : STRING;
JITTER_REDUCTION : STRING := "false";
OFFSETCTRLOUT_MODE : STRING := "static";
SIM_LOOP_DELAY_INCREMENT : NATURAL := 0;
SIM_LOOP_INTRINSIC_DELAY : NATURAL := 0;
SIM_VALID_LOCK : NATURAL := 5;
SIM_VALID_LOCKCOUNT : NATURAL := 0;
STATIC_DELAY_CTRL : NATURAL := 0;
STATIC_OFFSET : STRING;
USE_UPNDNIN : STRING := "false";
USE_UPNDNINCLKENA : STRING := "false";
lpm_type : STRING := "cycloneiii_dll"
);
PORT
(
addnsub : IN STD_LOGIC := '1';
aload : IN STD_LOGIC := '0';
clk : IN STD_LOGIC;
delayctrlout : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
dqsupdate : OUT STD_LOGIC;
offset : IN STD_LOGIC_VECTOR(5 DOWNTO 0) := (OTHERS => '0');
offsetctrlout : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
upndnin : IN STD_LOGIC := '0';
upndninclkena : IN STD_LOGIC := '1';
upndnout : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT cycloneiii_io
GENERIC
(
BUS_HOLD : STRING := "false";
DDIO_MODE : STRING := "none";
DDIOINCLK_INPUT : STRING := "negated_inclk";
DQS_CTRL_LATCHES_ENABLE : STRING := "false";
DQS_DELAY_BUFFER_MODE : STRING := "none";
DQS_EDGE_DETECT_ENABLE : STRING := "false";
DQS_INPUT_FREQUENCY : STRING := "unused";
DQS_OFFSETCTRL_ENABLE : STRING := "false";
DQS_OUT_MODE : STRING := "none";
DQS_PHASE_SHIFT : NATURAL := 0;
EXTEND_OE_DISABLE : STRING := "false";
GATED_DQS : STRING := "false";
INCLK_INPUT : STRING := "normal";
INPUT_ASYNC_RESET : STRING := "none";
INPUT_POWER_UP : STRING := "low";
INPUT_REGISTER_MODE : STRING := "none";
INPUT_SYNC_RESET : STRING := "none";
OE_ASYNC_RESET : STRING := "none";
OE_POWER_UP : STRING := "low";
OE_REGISTER_MODE : STRING := "none";
OE_SYNC_RESET : STRING := "none";
OPEN_DRAIN_OUTPUT : STRING := "false";
OPERATION_MODE : STRING;
OUTPUT_ASYNC_RESET : STRING := "none";
OUTPUT_POWER_UP : STRING := "low";
OUTPUT_REGISTER_MODE : STRING := "none";
OUTPUT_SYNC_RESET : STRING := "none";
SIM_DQS_DELAY_INCREMENT : NATURAL := 0;
SIM_DQS_INTRINSIC_DELAY : NATURAL := 0;
SIM_DQS_OFFSET_INCREMENT : NATURAL := 0;
TIE_OFF_OE_CLOCK_ENABLE : STRING := "false";
TIE_OFF_OUTPUT_CLOCK_ENABLE : STRING := "false";
lpm_type : STRING := "cycloneiii_io"
);
PORT
(
areset : IN STD_LOGIC := '0';
combout : OUT STD_LOGIC;
datain : IN STD_LOGIC := '0';
ddiodatain : IN STD_LOGIC := '0';
ddioinclk : IN STD_LOGIC := '0';
ddioregout : OUT STD_LOGIC;
delayctrlin : IN STD_LOGIC_VECTOR(5 DOWNTO 0) := (OTHERS => '0');
dqsbusout : OUT STD_LOGIC;
dqsupdateen : IN STD_LOGIC := '1';
inclk : IN STD_LOGIC := '0';
inclkena : IN STD_LOGIC := '1';
linkin : IN STD_LOGIC := '0';
linkout : OUT STD_LOGIC;
oe : IN STD_LOGIC := '1';
offsetctrlin : IN STD_LOGIC_VECTOR(5 DOWNTO 0) := (OTHERS => '0');
outclk : IN STD_LOGIC := '0';
outclkena : IN STD_LOGIC := '1';
padio : INOUT STD_LOGIC;
regout : OUT STD_LOGIC;
sreset : IN STD_LOGIC := '0';
terminationcontrol : IN STD_LOGIC_VECTOR(13 DOWNTO 0) := (OTHERS => '0')
);
END COMPONENT;
BEGIN
delay_ctrl <= wire_cyciii_dll1_delayctrlout;
dll_delayctrlout <= delay_ctrl;
dqinclk <= wire_cyciii_io2a_dqsbusout;
dqs_update <= wire_cyciii_dll1_dqsupdate;
dqsundelayedout <= wire_cyciii_io2a_combout;
offset_ctrl <= wire_cyciii_dll1_offsetctrlout;
cyciii_dll1 : cycloneiii_dll
GENERIC MAP (
DELAY_BUFFER_MODE => "low",
DELAY_CHAIN_LENGTH => 12,
DELAYCTRLOUT_MODE => "normal",
INPUT_FREQUENCY => period, --"10000ps",
JITTER_REDUCTION => "false",
OFFSETCTRLOUT_MODE => "static",
SIM_LOOP_DELAY_INCREMENT => 132,
SIM_LOOP_INTRINSIC_DELAY => 3840,
SIM_VALID_LOCK => 1,
SIM_VALID_LOCKCOUNT => 46,
STATIC_OFFSET => "0",
USE_UPNDNIN => "false",
USE_UPNDNINCLKENA => "false"
)
PORT MAP (
clk => inclk,
delayctrlout => wire_cyciii_dll1_delayctrlout,
dqsupdate => wire_cyciii_dll1_dqsupdate,
offsetctrlout => wire_cyciii_dll1_offsetctrlout
);
wire_cyciii_io2a_datain <= dqs_datain_h;
wire_cyciii_io2a_ddiodatain <= dqs_datain_l;
wire_cyciii_io2a_oe <= oe;
wire_cyciii_io2a_outclk <= outclk;
wire_cyciii_io2a_outclkena <= outclkena;
loop0 : FOR i IN 0 TO width-1 GENERATE
cyciii_io2a : cycloneiii_io
GENERIC MAP (
DDIO_MODE => "output",
DQS_CTRL_LATCHES_ENABLE => "true",
DQS_DELAY_BUFFER_MODE => "low",
DQS_EDGE_DETECT_ENABLE => "false",
DQS_INPUT_FREQUENCY => period, --"10000ps",
DQS_OFFSETCTRL_ENABLE => "true",
DQS_OUT_MODE => "delay_chain3",
DQS_PHASE_SHIFT => 9000,
EXTEND_OE_DISABLE => "false",
GATED_DQS => "false",
OE_ASYNC_RESET => "none",
OE_POWER_UP => "low",
OE_REGISTER_MODE => "register",
OE_SYNC_RESET => "none",
OPEN_DRAIN_OUTPUT => "false",
OPERATION_MODE => "bidir",
OUTPUT_ASYNC_RESET => "none",
OUTPUT_POWER_UP => "low",
OUTPUT_REGISTER_MODE => "register",
OUTPUT_SYNC_RESET => "none",
SIM_DQS_DELAY_INCREMENT => 22,
SIM_DQS_INTRINSIC_DELAY => 960,
SIM_DQS_OFFSET_INCREMENT => 11,
TIE_OFF_OE_CLOCK_ENABLE => "false",
TIE_OFF_OUTPUT_CLOCK_ENABLE => "false"
)
PORT MAP (
combout => wire_cyciii_io2a_combout(i),
datain => wire_cyciii_io2a_datain(i),
ddiodatain => wire_cyciii_io2a_ddiodatain(i),
delayctrlin => delay_ctrl,
dqsbusout => wire_cyciii_io2a_dqsbusout(i),
dqsupdateen => dqs_update,
oe => wire_cyciii_io2a_oe(i),
offsetctrlin => offset_ctrl,
outclk => wire_cyciii_io2a_outclk(i),
outclkena => wire_cyciii_io2a_outclkena(i),
padio => dqs_padio(i)
);
END GENERATE loop0;
END RTL; --altdqs_cyciii_adqs_n7i2
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY altdqs_cyciii IS
generic (width : integer := 2; period : string := "10000ps");
PORT
(
dqs_datain_h : IN STD_LOGIC_VECTOR (width-1 downto 0);
dqs_datain_l : IN STD_LOGIC_VECTOR (width-1 downto 0);
inclk : IN STD_LOGIC ;
oe : IN STD_LOGIC_VECTOR (width-1 downto 0);
outclk : IN STD_LOGIC_VECTOR (width-1 downto 0);
dll_delayctrlout : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
dqinclk : OUT STD_LOGIC_VECTOR (width-1 downto 0);
dqs_padio : INOUT STD_LOGIC_VECTOR (width-1 downto 0);
dqsundelayedout : OUT STD_LOGIC_VECTOR (width-1 downto 0)
);
END;
ARCHITECTURE RTL OF altdqs_cyciii IS
-- ATTRIBUTE synthesis_clearbox: boolean;
-- ATTRIBUTE synthesis_clearbox OF RTL: ARCHITECTURE IS TRUE;
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (width-1 downto 0);
SIGNAL sub_wire2 : STD_LOGIC_VECTOR (width-1 downto 0);
SIGNAL sub_wire3_bv : BIT_VECTOR (width-1 downto 0);
SIGNAL sub_wire3 : STD_LOGIC_VECTOR (width-1 downto 0);
COMPONENT altdqs_cyciii_adqs_n7i2
generic (width : integer := 2; period : string := "10000ps");
PORT (
outclk : IN STD_LOGIC_VECTOR (width-1 downto 0);
dqs_padio : INOUT STD_LOGIC_VECTOR (width-1 downto 0);
outclkena : IN STD_LOGIC_VECTOR (width-1 downto 0);
oe : IN STD_LOGIC_VECTOR (width-1 downto 0);
dqs_datain_h : IN STD_LOGIC_VECTOR (width-1 downto 0);
inclk : IN STD_LOGIC ;
dqs_datain_l : IN STD_LOGIC_VECTOR (width-1 downto 0);
dll_delayctrlout : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
dqinclk : OUT STD_LOGIC_VECTOR (width-1 downto 0);
dqsundelayedout : OUT STD_LOGIC_VECTOR (width-1 downto 0)
);
END COMPONENT;
BEGIN
sub_wire3_bv(width-1 downto 0) <= (others => '1');
sub_wire3 <= To_stdlogicvector(sub_wire3_bv);
dll_delayctrlout <= sub_wire0(5 DOWNTO 0);
dqinclk <= not sub_wire1(width-1 downto 0);
dqsundelayedout <= sub_wire2(width-1 downto 0);
altdqs_cyciii_adqs_n7i2_component : altdqs_cyciii_adqs_n7i2
generic map (width, period)
PORT MAP (
outclk => outclk,
outclkena => sub_wire3,
oe => oe,
dqs_datain_h => dqs_datain_h,
inclk => inclk,
dqs_datain_l => dqs_datain_l,
dll_delayctrlout => sub_wire0,
dqinclk => sub_wire1,
dqsundelayedout => sub_wire2,
dqs_padio => dqs_padio
);
END RTL;
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
library altera_mf;
use altera_mf.altera_mf_components.all;
------------------------------------------------------------------
-- CYCLONEIII DDR PHY --------------------------------------------
------------------------------------------------------------------
entity cycloneiii_ddr_phy is
generic (MHz : integer := 100; rstdelay : integer := 200;
dbits : integer := 16; clk_mul : integer := 2 ;
clk_div : integer := 2);
port (
rst : in std_ulogic;
clk : in std_logic; -- input clock
clkout : out std_ulogic; -- system clock
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(2 downto 0);
ddr_clkb : out std_logic_vector(2 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(1 downto 0);
ddr_csb : out std_logic_vector(1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_ad : out std_logic_vector (13 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data
addr : in std_logic_vector (13 downto 0); -- data mask
ba : in std_logic_vector ( 1 downto 0); -- data mask
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(1 downto 0);
cke : in std_logic_vector(1 downto 0)
);
end;
architecture rtl of cycloneiii_ddr_phy is
signal vcc, gnd, dqsn, oe, lockl : std_logic;
signal ddr_clk_fb_outr : std_ulogic;
signal ddr_clk_fbl, fbclk : std_ulogic;
signal ddr_rasnr, ddr_casnr, ddr_wenr : std_ulogic;
signal ddr_clkl, ddr_clkbl : std_logic_vector(2 downto 0);
signal ddr_csnr, ddr_ckenr, ckel : std_logic_vector(1 downto 0);
signal clk_0ro, clk_90ro, clk_180ro, clk_270ro : std_ulogic;
signal clk_0r, clk_90r, clk_180r, clk_270r : std_ulogic;
signal clk0r, clk90r, clk180r, clk270r : std_ulogic;
signal locked, vlockl, ddrclkfbl : std_ulogic;
signal clk4, clk5 : std_logic;
signal ddr_dqin : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqout : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqoen : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_adr : std_logic_vector (13 downto 0); -- ddr address
signal ddr_bar : std_logic_vector (1 downto 0); -- ddr address
signal ddr_dmr : std_logic_vector (dbits/8-1 downto 0); -- ddr address
signal ddr_dqsin : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoen : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoutl : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal dqsdel, dqsclk : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal da : std_logic_vector (dbits-1 downto 0); -- ddr data
signal dqinl : std_logic_vector (dbits-1 downto 0); -- ddr data
signal dllrst : std_logic_vector(0 to 3);
signal dll0rst : std_logic_vector(0 to 3);
signal mlock, mclkfb, mclk, mclkfx, mclk0 : std_ulogic;
signal gndv : std_logic_vector (dbits-1 downto 0); -- ddr dqs
signal pclkout : std_logic_vector (5 downto 1);
signal ddr_clkin : std_logic_vector(0 to 2);
signal dqinclk : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal dqsoclk : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal dqsnv : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
constant DDR_FREQ : integer := (MHz * clk_mul) / clk_div;
component altdqs_cyciii
generic (width : integer := 2; period : string := "10000ps");
PORT
(
dqs_datain_h : IN STD_LOGIC_VECTOR (width-1 downto 0);
dqs_datain_l : IN STD_LOGIC_VECTOR (width-1 downto 0);
inclk : IN STD_LOGIC ;
oe : IN STD_LOGIC_VECTOR (width-1 downto 0);
outclk : IN STD_LOGIC_VECTOR (width-1 downto 0);
dll_delayctrlout : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
dqinclk : OUT STD_LOGIC_VECTOR (width-1 downto 0);
dqs_padio : INOUT STD_LOGIC_VECTOR (width-1 downto 0);
dqsundelayedout : OUT STD_LOGIC_VECTOR (width-1 downto 0)
);
END component;
type phasevec is array (1 to 3) of string(1 to 4);
type phasevecarr is array (10 to 13) of phasevec;
constant phasearr : phasevecarr := (
("2500", "5000", "7500"), ("2273", "4545", "6818"), -- 100 & 110 MHz
("2083", "4167", "6250"), ("1923", "3846", "5769")); -- 120 & 130 MHz
type periodtype is array (10 to 13) of string(1 to 6);
constant periodstr : periodtype := ("9999ps", "9090ps", "8333ps", "7692ps");
begin
oe <= not oen; vcc <= '1'; gnd <= '0'; gndv <= (others => '0');
mclk <= clk;
-- clkout <= clk_270r;
-- clkout <= clk_0r when DDR_FREQ >= 110 else clk_270r;
clkout <= clk_90r when DDR_FREQ > 120 else clk_0r;
clk0r <= clk_270r; clk90r <= clk_0r;
clk180r <= clk_90r; clk270r <= clk_180r;
dll : altpll
generic map (
intended_device_family => "CycloneIII",
operation_mode => "NORMAL",
inclk0_input_frequency => 1000000/MHz,
inclk1_input_frequency => 1000000/MHz,
clk4_multiply_by => clk_mul, clk4_divide_by => clk_div,
clk3_multiply_by => clk_mul, clk3_divide_by => clk_div,
clk2_multiply_by => clk_mul, clk2_divide_by => clk_div,
clk1_multiply_by => clk_mul, clk1_divide_by => clk_div,
clk0_multiply_by => clk_mul, clk0_divide_by => clk_div,
clk3_phase_shift => phasearr(DDR_FREQ/10)(3),
clk2_phase_shift => phasearr(DDR_FREQ/10)(2),
clk1_phase_shift => phasearr(DDR_FREQ/10)(1)
-- clk3_phase_shift => "6250", clk2_phase_shift => "4167", clk1_phase_shift => "2083"
-- clk3_phase_shift => "7500", clk2_phase_shift => "5000", clk1_phase_shift => "2500"
)
port map ( inclk(0) => mclk, inclk(1) => gnd, clk(0) => clk_0r,
clk(1) => clk_90r, clk(2) => clk_180r, clk(3) => clk_270r,
clk(4) => clk4, clk(5) => clk5, locked => lockl);
rstdel : process (mclk, rst, lockl)
begin
if rst = '0' then dllrst <= (others => '1');
elsif rising_edge(mclk) then
dllrst <= dllrst(1 to 3) & '0';
end if;
end process;
rdel : if rstdelay /= 0 generate
rcnt : process (clk_0r)
variable cnt : std_logic_vector(15 downto 0);
variable vlock, co : std_ulogic;
begin
if rising_edge(clk_0r) then
co := cnt(15);
vlockl <= vlock;
if lockl = '0' then
cnt := conv_std_logic_vector(rstdelay*DDR_FREQ, 16); vlock := '0';
else
if vlock = '0' then
cnt := cnt -1; vlock := cnt(15) and not co;
end if;
end if;
end if;
if lockl = '0' then
vlock := '0';
end if;
end process;
end generate;
locked <= lockl when rstdelay = 0 else vlockl;
lock <= locked;
-- Generate external DDR clock
-- fbclkpad : altddio_out generic map (width => 1)
-- port map ( datain_h(0) => vcc, datain_l(0) => gnd,
-- outclock => clk90r, dataout(0) => ddr_clk_fb_out);
ddrclocks : for i in 0 to 2 generate
clkpad : altddio_out generic map (width => 1, INTENDED_DEVICE_FAMILY => "CYCLONEIII")
port map ( datain_h(0) => vcc, datain_l(0) => gnd,
outclock => clk90r, dataout(0) => ddr_clk(i));
clknpad : altddio_out generic map (width => 1, INTENDED_DEVICE_FAMILY => "CYCLONEIII")
port map ( datain_h(0) => gnd, datain_l(0) => vcc,
outclock => clk90r, dataout(0) => ddr_clkb(i));
end generate;
csnpads : altddio_out generic map (width => 2, INTENDED_DEVICE_FAMILY => "CYCLONEIII")
port map ( datain_h => csn(1 downto 0), datain_l => csn(1 downto 0),
outclock => clk0r, dataout => ddr_csb(1 downto 0));
ckepads : altddio_out generic map (width => 2, INTENDED_DEVICE_FAMILY => "CYCLONEIII")
port map ( datain_h => ckel(1 downto 0), datain_l => ckel(1 downto 0),
outclock => clk0r, dataout => ddr_cke(1 downto 0));
ddrbanks : for i in 0 to 1 generate
ckel(i) <= cke(i) and locked;
end generate;
rasnpad : altddio_out generic map (width => 1,
INTENDED_DEVICE_FAMILY => "CYCLONEIII")
port map ( datain_h(0) => rasn, datain_l(0) => rasn,
outclock => clk0r, dataout(0) => ddr_rasb);
casnpad : altddio_out generic map (width => 1,
INTENDED_DEVICE_FAMILY => "CYCLONEIII")
port map ( datain_h(0) => casn, datain_l(0) => casn,
outclock => clk0r, dataout(0) => ddr_casb);
wenpad : altddio_out generic map (width => 1,
INTENDED_DEVICE_FAMILY => "CYCLONEIII")
port map ( datain_h(0) => wen, datain_l(0) => wen,
outclock => clk0r, dataout(0) => ddr_web);
dmpads : altddio_out generic map (width => dbits/8,
INTENDED_DEVICE_FAMILY => "CYCLONEIII")
port map (
datain_h => dm(dbits/8*2-1 downto dbits/8),
datain_l => dm(dbits/8-1 downto 0),
outclock => clk0r, dataout => ddr_dm
);
bapads : altddio_out generic map (width => 2)
port map (
datain_h => ba, datain_l => ba,
outclock => clk0r, dataout => ddr_ba
);
addrpads : altddio_out generic map (width => 14)
port map (
datain_h => addr, datain_l => addr,
outclock => clk0r, dataout => ddr_ad
);
-- DQS generation
dqsnv <= (others => dqsn);
dqsoclk <= (others => clk90r);
altdqs0 : altdqs_cyciii generic map (dbits/8, periodstr(DDR_FREQ/10))
port map (dqs_datain_h => dqsnv, dqs_datain_l => gndv(dbits/8-1 downto 0),
inclk => clk270r, oe => ddr_dqsoen, outclk => dqsoclk,
dll_delayctrlout => open, dqinclk => dqinclk, dqs_padio => ddr_dqs,
dqsundelayedout => open );
-- Data bus
dqgen : for i in 0 to dbits/8-1 generate
qi : altddio_bidir generic map (width => 8, oe_reg =>"REGISTERED",
INTENDED_DEVICE_FAMILY => "CYCLONEIII")
port map (
datain_l => dqout(i*8+7 downto i*8),
datain_h => dqout(i*8+7+dbits downto dbits+i*8),
inclock => dqinclk(i), --clk270r,
outclock => clk0r, oe => oe,
dataout_h => dqin(i*8+7 downto i*8),
dataout_l => dqin(i*8+7+dbits downto dbits+i*8), --dqinl(i*8+7 downto i*8),
padio => ddr_dq(i*8+7 downto i*8));
end generate;
dqsreg : process(clk180r)
begin
if rising_edge(clk180r) then
dqsn <= oe;
end if;
end process;
oereg : process(clk0r)
begin
if rising_edge(clk0r) then
ddr_dqsoen(dbits/8-1 downto 0) <= (others => not dqsoen);
end if;
end process;
end;
|
--------------------------------------------------------------------------------
-- Copyright (C) 2016 Josi Coder
-- This program is free software: you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 3 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for
-- more details.
--
-- You should have received a copy of the GNU General Public License along with
-- this program. If not, see <http://www.gnu.org/licenses/>.
----------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- Generates all control signals for the DACs, multiplexes the values for the
-- dual DAC and adds an offset to the DAC value to make it purely positive.
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity DACController is
generic
(
-- The width of the DAC values.
data_width: natural
);
port
(
-- The system clock.
clk: in std_logic;
-- The value for DAC channel 0.
channel_0_value : in signed(data_width-1 downto 0);
-- The value for DAC channel 1.
channel_1_value : in signed(data_width-1 downto 0);
-- The DAC´s channel selection signal.
dac_channel_select: out std_logic;
-- The DAC´s write signal.
dac_write: out std_logic;
-- The currently selected DAC value with an offset added.
dac_value : out unsigned(data_width-1 downto 0)
);
end entity;
architecture stdarch of DACController is
type reg_type is record
dac_channel_select, dac_write: std_logic;
dac_value : unsigned(data_width-1 downto 0);
end record;
signal state, next_state: reg_type :=
(
dac_channel_select => '0',
dac_write => '0',
dac_value => (others => '0')
);
begin
--------------------------------------------------------------------------------
-- State register.
--------------------------------------------------------------------------------
state_register: process is
begin
wait until rising_edge(clk);
state <= next_state;
end process;
--------------------------------------------------------------------------------
-- Next state logic.
--------------------------------------------------------------------------------
next_state_logic: process(state, channel_0_value, channel_1_value) is
variable next_dac_value: signed(data_width-1 downto 0);
begin
-- Defaults.
next_state <= state;
-- Switch to the next channel when the write signal gets deactivated.
if (state.dac_write = '1') then
-- Switch to the next channel and get this channel´s value.
if state.dac_channel_select = '0' then
next_state.dac_channel_select <= '1';
next_dac_value := channel_1_value;
else
next_state.dac_channel_select <= '0';
next_dac_value := channel_0_value;
end if;
-- Toggle the sign bit, i.e. convert the signed value to an unsigned value
-- with an offset.
next_dac_value(data_width-1) := not next_dac_value(data_width-1);
next_state.dac_value <= unsigned(next_dac_value);
end if;
-- Toggle the write signal.
next_state.dac_write <= not state.dac_write;
end process;
--------------------------------------------------------------------------------
-- Output logic.
--------------------------------------------------------------------------------
dac_channel_select <= state.dac_channel_select;
dac_write <= state.dac_write;
dac_value <= state.dac_value;
end architecture;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1070.vhd,v 1.2 2001-10-26 16:29:38 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s04b00x00p03n01i01070ent IS
PORT ( ii: INOUT integer);
TYPE A IS ARRAY (NATURAL RANGE <>) OF INTEGER;
SUBTYPE A6 IS A (1 TO 6);
SUBTYPE A8 IS A (1 TO 8);
FUNCTION func1 (a,b : INTEGER := 3) RETURN A6 IS
BEGIN
IF (a=3) AND (b=3) THEN
RETURN (1,2,3,4,5,6);
ELSE
IF (a=3) THEN
RETURN (11,22,33,44,55,66);
ELSE
RETURN (111,222,333,444,555,666);
END IF;
END IF;
END;
END c06s04b00x00p03n01i01070ent;
ARCHITECTURE c06s04b00x00p03n01i01070arch OF c06s04b00x00p03n01i01070ent IS
BEGIN
TESTING: PROCESS
VARIABLE q : A8;
BEGIN
q(1) := func1(3,3)(1);
q(2) := func1(0,3)(2);
q(3) := func1(3,0)(3);
q(4) := func1(0,3)(4);
q(5) := func1(3,3)(5);
q(6) := func1(3,0)(6);
q(7) := func1(3,3)(3);
q(8) := func1(0,3)(1);
WAIT FOR 1 ns;
assert NOT(q(1 TO 8) = (1=>1,2=>222,3=>33,4=>444,5=>5,6=>66,7=>3,8=>111))
report "***PASSED TEST: c06s04b00x00p03n01i01070"
severity NOTE;
assert (q(1 TO 8) = (1=>1,2=>222,3=>33,4=>444,5=>5,6=>66,7=>3,8=>111))
report "***FAILED TEST: c06s04b00x00p03n01i01070 - Index on functin call test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s04b00x00p03n01i01070arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1070.vhd,v 1.2 2001-10-26 16:29:38 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s04b00x00p03n01i01070ent IS
PORT ( ii: INOUT integer);
TYPE A IS ARRAY (NATURAL RANGE <>) OF INTEGER;
SUBTYPE A6 IS A (1 TO 6);
SUBTYPE A8 IS A (1 TO 8);
FUNCTION func1 (a,b : INTEGER := 3) RETURN A6 IS
BEGIN
IF (a=3) AND (b=3) THEN
RETURN (1,2,3,4,5,6);
ELSE
IF (a=3) THEN
RETURN (11,22,33,44,55,66);
ELSE
RETURN (111,222,333,444,555,666);
END IF;
END IF;
END;
END c06s04b00x00p03n01i01070ent;
ARCHITECTURE c06s04b00x00p03n01i01070arch OF c06s04b00x00p03n01i01070ent IS
BEGIN
TESTING: PROCESS
VARIABLE q : A8;
BEGIN
q(1) := func1(3,3)(1);
q(2) := func1(0,3)(2);
q(3) := func1(3,0)(3);
q(4) := func1(0,3)(4);
q(5) := func1(3,3)(5);
q(6) := func1(3,0)(6);
q(7) := func1(3,3)(3);
q(8) := func1(0,3)(1);
WAIT FOR 1 ns;
assert NOT(q(1 TO 8) = (1=>1,2=>222,3=>33,4=>444,5=>5,6=>66,7=>3,8=>111))
report "***PASSED TEST: c06s04b00x00p03n01i01070"
severity NOTE;
assert (q(1 TO 8) = (1=>1,2=>222,3=>33,4=>444,5=>5,6=>66,7=>3,8=>111))
report "***FAILED TEST: c06s04b00x00p03n01i01070 - Index on functin call test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s04b00x00p03n01i01070arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1070.vhd,v 1.2 2001-10-26 16:29:38 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s04b00x00p03n01i01070ent IS
PORT ( ii: INOUT integer);
TYPE A IS ARRAY (NATURAL RANGE <>) OF INTEGER;
SUBTYPE A6 IS A (1 TO 6);
SUBTYPE A8 IS A (1 TO 8);
FUNCTION func1 (a,b : INTEGER := 3) RETURN A6 IS
BEGIN
IF (a=3) AND (b=3) THEN
RETURN (1,2,3,4,5,6);
ELSE
IF (a=3) THEN
RETURN (11,22,33,44,55,66);
ELSE
RETURN (111,222,333,444,555,666);
END IF;
END IF;
END;
END c06s04b00x00p03n01i01070ent;
ARCHITECTURE c06s04b00x00p03n01i01070arch OF c06s04b00x00p03n01i01070ent IS
BEGIN
TESTING: PROCESS
VARIABLE q : A8;
BEGIN
q(1) := func1(3,3)(1);
q(2) := func1(0,3)(2);
q(3) := func1(3,0)(3);
q(4) := func1(0,3)(4);
q(5) := func1(3,3)(5);
q(6) := func1(3,0)(6);
q(7) := func1(3,3)(3);
q(8) := func1(0,3)(1);
WAIT FOR 1 ns;
assert NOT(q(1 TO 8) = (1=>1,2=>222,3=>33,4=>444,5=>5,6=>66,7=>3,8=>111))
report "***PASSED TEST: c06s04b00x00p03n01i01070"
severity NOTE;
assert (q(1 TO 8) = (1=>1,2=>222,3=>33,4=>444,5=>5,6=>66,7=>3,8=>111))
report "***FAILED TEST: c06s04b00x00p03n01i01070 - Index on functin call test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s04b00x00p03n01i01070arch;
|
library ieee;
use ieee.std_logic_1164.all;
entity LFSR_Out is
generic (i:integer := 2);
port(
CLK: in std_logic;
RST: in std_logic;
LS: in std_logic;
Pin: in std_logic_vector(0 to 2**i-1);
Pout: out std_logic_vector(0 to 2**i-1)
);
end LFSR_Out;
architecture Beh of LFSR_Out is
signal sreg: std_logic_vector(0 to 2**i-1);
signal sdat: std_logic_vector(0 to 2**i-1);
Begin
Main: process (CLK, RST, sdat)
begin
if RST = '1' then
sreg <= (others => '0');
elsif rising_edge(CLK) then
sreg <= sdat;
end if;
end process;
Data: process (LS, Pin, sreg)
begin
if LS = '0' then
sdat <= Pin;
else
sdat <= (sreg(2**i-1) xor sreg(0)) & sreg(0 to 2**i-2);
end if;
end process;
Pout <= sreg;
End Beh; |
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 09:25:04 07/06/05
-- Design Name:
-- Module Name: half_adder - Behavioral
-- Project Name:
-- Target Device:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity half_adder is
port(x,y : in std_logic;
Hsum,Hcarry : out std_logic );
end half_adder;
architecture Behavioral of half_adder is
begin
Hsum <= x xor y ;
Hcarry <= x and y ;
end Behavioral;
|
-- GR USB 2.0 Device Controller
constant CFG_GRUSBDC : integer := CONFIG_GRUSBDC_ENABLE;
constant CFG_GRUSBDC_AIFACE : integer := CONFIG_GRUSBDC_AIFACE;
constant CFG_GRUSBDC_UIFACE : integer := CONFIG_GRUSBDC_UIFACE;
constant CFG_GRUSBDC_DW : integer := CONFIG_GRUSBDC_DW;
constant CFG_GRUSBDC_NEPI : integer := CONFIG_GRUSBDC_NEPI;
constant CFG_GRUSBDC_NEPO : integer := CONFIG_GRUSBDC_NEPO;
constant CFG_GRUSBDC_I0 : integer := CONFIG_GRUSBDC_I0;
constant CFG_GRUSBDC_I1 : integer := CONFIG_GRUSBDC_I1;
constant CFG_GRUSBDC_I2 : integer := CONFIG_GRUSBDC_I2;
constant CFG_GRUSBDC_I3 : integer := CONFIG_GRUSBDC_I3;
constant CFG_GRUSBDC_I4 : integer := CONFIG_GRUSBDC_I4;
constant CFG_GRUSBDC_I5 : integer := CONFIG_GRUSBDC_I5;
constant CFG_GRUSBDC_I6 : integer := CONFIG_GRUSBDC_I6;
constant CFG_GRUSBDC_I7 : integer := CONFIG_GRUSBDC_I7;
constant CFG_GRUSBDC_I8 : integer := CONFIG_GRUSBDC_I8;
constant CFG_GRUSBDC_I9 : integer := CONFIG_GRUSBDC_I9;
constant CFG_GRUSBDC_I10 : integer := CONFIG_GRUSBDC_I10;
constant CFG_GRUSBDC_I11 : integer := CONFIG_GRUSBDC_I11;
constant CFG_GRUSBDC_I12 : integer := CONFIG_GRUSBDC_I12;
constant CFG_GRUSBDC_I13 : integer := CONFIG_GRUSBDC_I13;
constant CFG_GRUSBDC_I14 : integer := CONFIG_GRUSBDC_I14;
constant CFG_GRUSBDC_I15 : integer := CONFIG_GRUSBDC_I15;
constant CFG_GRUSBDC_O0 : integer := CONFIG_GRUSBDC_O0;
constant CFG_GRUSBDC_O1 : integer := CONFIG_GRUSBDC_O1;
constant CFG_GRUSBDC_O2 : integer := CONFIG_GRUSBDC_O2;
constant CFG_GRUSBDC_O3 : integer := CONFIG_GRUSBDC_O3;
constant CFG_GRUSBDC_O4 : integer := CONFIG_GRUSBDC_O4;
constant CFG_GRUSBDC_O5 : integer := CONFIG_GRUSBDC_O5;
constant CFG_GRUSBDC_O6 : integer := CONFIG_GRUSBDC_O6;
constant CFG_GRUSBDC_O7 : integer := CONFIG_GRUSBDC_O7;
constant CFG_GRUSBDC_O8 : integer := CONFIG_GRUSBDC_O8;
constant CFG_GRUSBDC_O9 : integer := CONFIG_GRUSBDC_O9;
constant CFG_GRUSBDC_O10 : integer := CONFIG_GRUSBDC_O10;
constant CFG_GRUSBDC_O11 : integer := CONFIG_GRUSBDC_O11;
constant CFG_GRUSBDC_O12 : integer := CONFIG_GRUSBDC_O12;
constant CFG_GRUSBDC_O13 : integer := CONFIG_GRUSBDC_O13;
constant CFG_GRUSBDC_O14 : integer := CONFIG_GRUSBDC_O14;
constant CFG_GRUSBDC_O15 : integer := CONFIG_GRUSBDC_O15;
|
-- -------------------------------------------------------------
--
-- Generated Configuration for ent_bb
--
-- Generated
-- by: wig
-- on: Sat Mar 3 18:34:27 2007
-- cmd: /home/wig/work/MIX/mix_0.pl ../sigport.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ent_bb-rtl-conf-c.vhd,v 1.1 2007/03/05 13:35:50 wig Exp $
-- $Date: 2007/03/05 13:35:50 $
-- $Log: ent_bb-rtl-conf-c.vhd,v $
-- Revision 1.1 2007/03/05 13:35:50 wig
-- Reworked testcase sigport (changed case of generated files).
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.104 2007/03/03 17:24:06 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.47 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration ent_bb_RTL_CONF / ent_bb
--
configuration ent_bb_RTL_CONF of ent_bb is
for rtl
-- Generated Configuration
end for;
end ent_bb_RTL_CONF;
--
-- End of Generated Configuration ent_bb_RTL_CONF
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
|
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2014 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file k7_bram4096x64.vhd when simulating
-- the core, k7_bram4096x64. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY k7_bram4096x64 IS
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
clkb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END k7_bram4096x64;
ARCHITECTURE k7_bram4096x64_a OF k7_bram4096x64 IS
-- synthesis translate_off
COMPONENT wrapped_k7_bram4096x64
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
clkb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_k7_bram4096x64 USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral)
GENERIC MAP (
c_addra_width => 12,
c_addrb_width => 12,
c_algorithm => 1,
c_axi_id_width => 4,
c_axi_slave_type => 0,
c_axi_type => 1,
c_byte_size => 8,
c_common_clk => 0,
c_default_data => "0",
c_disable_warn_bhv_coll => 0,
c_disable_warn_bhv_range => 0,
c_enable_32bit_address => 0,
c_family => "kintex7",
c_has_axi_id => 0,
c_has_ena => 0,
c_has_enb => 0,
c_has_injecterr => 0,
c_has_mem_output_regs_a => 0,
c_has_mem_output_regs_b => 1,
c_has_mux_output_regs_a => 0,
c_has_mux_output_regs_b => 0,
c_has_regcea => 0,
c_has_regceb => 0,
c_has_rsta => 0,
c_has_rstb => 0,
c_has_softecc_input_regs_a => 0,
c_has_softecc_output_regs_b => 0,
c_init_file => "BlankString",
c_init_file_name => "no_coe_file_loaded",
c_inita_val => "0",
c_initb_val => "0",
c_interface_type => 0,
c_load_init_file => 0,
c_mem_type => 2,
c_mux_pipeline_stages => 0,
c_prim_type => 1,
c_read_depth_a => 4096,
c_read_depth_b => 4096,
c_read_width_a => 64,
c_read_width_b => 64,
c_rst_priority_a => "CE",
c_rst_priority_b => "CE",
c_rst_type => "SYNC",
c_rstram_a => 0,
c_rstram_b => 0,
c_sim_collision_check => "ALL",
c_use_bram_block => 0,
c_use_byte_wea => 1,
c_use_byte_web => 1,
c_use_default_data => 0,
c_use_ecc => 0,
c_use_softecc => 0,
c_wea_width => 8,
c_web_width => 8,
c_write_depth_a => 4096,
c_write_depth_b => 4096,
c_write_mode_a => "WRITE_FIRST",
c_write_mode_b => "WRITE_FIRST",
c_write_width_a => 64,
c_write_width_b => 64,
c_xdevicefamily => "kintex7"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_k7_bram4096x64
PORT MAP (
clka => clka,
wea => wea,
addra => addra,
dina => dina,
douta => douta,
clkb => clkb,
web => web,
addrb => addrb,
dinb => dinb,
doutb => doutb
);
-- synthesis translate_on
END k7_bram4096x64_a;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XcVdwcOaqD4quZF5nPjRKZls56FLrhYSZaeVE6/xILqTMOesELaUuAn1jxkDjT5Ax5wepn1bbkxA
3JzOcaoZbg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GELzykpvzRt6WP+WPb44EgKimJKRjEgEi455m+HscZVhDyuHJSNcF6+yc75Tkb9NxjQlNrc4AleU
e87oiEOULE+UJlVwbXz5vB3hbMXMzPE+DbM0GA1iXrj6mhxVSSh4JjLx6UHNPO6JFuGdRlv9byPe
KW0BgfC+5VOsG3Aw7Q0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VV6NOtxC/fftDKl7dQWVSG4bgqElu5t1AjEvQiis5i5O9l0jSMxEdNneTd4fm+42w5c3pRG3EYqY
dAUGzgCrW35CGb/bLp26cf7KjZTJfOYbM618rJvHkERGaGdiLKdmIFioJG82PIyK1bC4wO9LinB7
Hvvkqg7f9v8xO+UpEqoQ+7RoyYmY2PC2MDvgPLOLDm0Pp7WO1+pCCPLn3JUTiWZXM4M9hRJTuRxv
wXjNvC8pYEZdaWDcVaNxsk8ZDSDAYer9+tIYAP1UgQ8TrAk9YHA5yEOZrgLyt2JMtM/v0+x8BCII
7Ieu6JLvzAZs+E6G8P9jBdI+H4S7GJ8gwGD41A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2f+A2V+ZJl9YUM9kyRlXcIc1f6PoRFsPHQPAbpyoPT1oZoS0U3Ijyu1Wg5BViI0sThXSC9/5OzTn
B2eQDpuBWp8soN+SI8KM4XdoplNeRddG8Shn1N2FtULst15C6PxuXDI1cFsymIo8JTVc+G1ZK2U4
2a11rsvfhfA38H0qMic=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MUEvgtLxDw5F0ovIutgF1zHuu5/Xb1ac26Nv9MJ/JW2eT0Hj4RXDC2e27PeLIS4WtqTAVe05KNUN
bkZ0wWEcnW9wTiWOytnbBdinISMDU90K6voiD8DPFabtKL5Lb+pFoggTb0McKFHIMS+eAitoZcWR
B1uvlcJNC+InK8wHAB/s6kTOxrHZWvOyolFGUaRc2pyMsufZZUz5CIo46JVcu0rsf9HNUmNBYHyq
TirMwemKtRM9lHB+Jn2CTbxrER3Yw8pWSb/JSuS6qoa0rY8wuvNSIfg5E3oEk0yXdpxcxj6bs2rx
62XoAF1gmYLdC1CGPp6eGJBwNqyYeg5ZDjJd1Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16672)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XcVdwcOaqD4quZF5nPjRKZls56FLrhYSZaeVE6/xILqTMOesELaUuAn1jxkDjT5Ax5wepn1bbkxA
3JzOcaoZbg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GELzykpvzRt6WP+WPb44EgKimJKRjEgEi455m+HscZVhDyuHJSNcF6+yc75Tkb9NxjQlNrc4AleU
e87oiEOULE+UJlVwbXz5vB3hbMXMzPE+DbM0GA1iXrj6mhxVSSh4JjLx6UHNPO6JFuGdRlv9byPe
KW0BgfC+5VOsG3Aw7Q0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VV6NOtxC/fftDKl7dQWVSG4bgqElu5t1AjEvQiis5i5O9l0jSMxEdNneTd4fm+42w5c3pRG3EYqY
dAUGzgCrW35CGb/bLp26cf7KjZTJfOYbM618rJvHkERGaGdiLKdmIFioJG82PIyK1bC4wO9LinB7
Hvvkqg7f9v8xO+UpEqoQ+7RoyYmY2PC2MDvgPLOLDm0Pp7WO1+pCCPLn3JUTiWZXM4M9hRJTuRxv
wXjNvC8pYEZdaWDcVaNxsk8ZDSDAYer9+tIYAP1UgQ8TrAk9YHA5yEOZrgLyt2JMtM/v0+x8BCII
7Ieu6JLvzAZs+E6G8P9jBdI+H4S7GJ8gwGD41A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2f+A2V+ZJl9YUM9kyRlXcIc1f6PoRFsPHQPAbpyoPT1oZoS0U3Ijyu1Wg5BViI0sThXSC9/5OzTn
B2eQDpuBWp8soN+SI8KM4XdoplNeRddG8Shn1N2FtULst15C6PxuXDI1cFsymIo8JTVc+G1ZK2U4
2a11rsvfhfA38H0qMic=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MUEvgtLxDw5F0ovIutgF1zHuu5/Xb1ac26Nv9MJ/JW2eT0Hj4RXDC2e27PeLIS4WtqTAVe05KNUN
bkZ0wWEcnW9wTiWOytnbBdinISMDU90K6voiD8DPFabtKL5Lb+pFoggTb0McKFHIMS+eAitoZcWR
B1uvlcJNC+InK8wHAB/s6kTOxrHZWvOyolFGUaRc2pyMsufZZUz5CIo46JVcu0rsf9HNUmNBYHyq
TirMwemKtRM9lHB+Jn2CTbxrER3Yw8pWSb/JSuS6qoa0rY8wuvNSIfg5E3oEk0yXdpxcxj6bs2rx
62XoAF1gmYLdC1CGPp6eGJBwNqyYeg5ZDjJd1Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16672)
`protect data_block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`protect end_protected
|
package wishbone_types is
type wb_slave_out_t is record
dat : bit_vector;
ack : bit;
stall : bit;
end record;
-- Common subtypes
constant wb_cpu_data_bits : integer := 64;
subtype wb_cpu_in_t is wb_slave_out_t(dat(wb_cpu_data_bits-1 downto 0));
-- GHDL: Works
-- subtype wishbone_slave_out is wb_slave_out_t(dat(wb_cpu_data_bits-1 downto 0));
-- GHDL: Breaks
subtype wishbone_slave_out is wb_cpu_in_t;
end package wishbone_types;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
-- Rtype for register to register operations
-- Itype for immediate value to register operations and loading
-- Stype for storing
-- Utype for unconditional branch (jump)
-- SBtype for branches
package config is
-- System word size
subtype doubleword is std_logic_vector(63 downto 0);
subtype word is std_logic_vector(31 downto 0);
constant zero_word: std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
constant ones_word: std_logic_vector(31 downto 0) := "11111111111111111111111111111111";
constant byte_mask_1: std_logic_vector(63 downto 0) := "0000000000000000000000000000000000000000000000000000000011111111";
constant byte_mask_2: std_logic_vector(63 downto 0) := "0000000000000000000000000000000000000000000000001111111111111111";
constant byte_mask_4: std_logic_vector(63 downto 0) := "0000000000000000000000000000000011111111111111111111111111111111";
-- Masks for CSR access
-- NOTES: Unacceptable with our Vivado version:
-- constant MASK_WIRI_MIP: std_logic_vector(63 downto 0) := x"bbb"; -- Can't elaborate, but looks fine in IDE
-- constant MASK_WIRI_MIP: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(x"bbb")); -- Thinks this is a string literal
-- constant MASK_WIRI_MIP: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#bbb#)); -- Needs bit size for result
constant MASK_WIRI_MIP: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#bbb#, 64));
constant MASK_WIRI_MIE: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#bbb#, 64));
constant MASK_WIRI_SIP: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#db#, 64));
constant MASK_WIRI_SIE: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64));
constant MASK_A: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64));
constant MASK_AB: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64));
constant MASK_AC: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64));
constant MASK_AD: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64));
constant MASK_AE: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64));
constant MASK_AF: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64));
constant MASK_AG: std_logic_vector(63 downto 0) := std_logic_vector(to_unsigned(16#0#, 64));
-- Special CSR return values for r/w filter functions
constant CSR_TRAP_VALUE : doubleword := (others => '0');
constant CSR_IGNORE_VALUE : doubleword := (others => '1');
-- Familiar names for CSR registers
constant CSR_ERROR :integer := -1; -- Not implemented, trap
constant CSR_ZERO :integer := 0; -- Not implemented, read 0, ignore write
constant CSR_FFLAGS :integer := 1;
constant CSR_FRM :integer := 2;
constant CSR_FCSR :integer := 3;
constant CSR_CYCLE :integer := 4;
constant CSR_TIME :integer := 5;
constant CSR_INSTRET :integer := 6;
constant CSR_SIE :integer := 7;
constant CSR_STVEC :integer := 8;
constant CSR_SCOUNTEREN :integer := 9;
constant CSR_SSCRATCH :integer := 10;
constant CSR_SEPC :integer := 11;
constant CSR_SCAUSE :integer := 12;
constant CSR_STVAL :integer := 13;
constant CSR_SIP :integer := 14;
constant CSR_SSTATUS :integer := 15;
constant CSR_SATP :integer := 16;
constant CSR_MSTATUS :integer := 17;
constant CSR_MISA :integer := 18;
constant CSR_MEDELEG :integer := 19;
constant CSR_MIDELEG :integer := 20;
constant CSR_MIE :integer := 21;
constant CSR_MTVEC :integer := 22;
constant CSR_MCOUNTEREN :integer := 23;
constant CSR_MSCRATCH :integer := 24;
constant CSR_MEPC :integer := 25;
constant CSR_MCAUSE :integer := 26;
constant CSR_MTVAL :integer := 27;
constant CSR_MIP :integer := 28;
constant CSR_MCYCLE :integer := 29;
constant CSR_MINSTRET :integer := 30;
-- CSR 12-bit addresses per specification
constant CSR_ADDR_USTATUS : std_logic_vector(11 downto 0) := x"000";
constant CSR_ADDR_UIE : std_logic_vector(11 downto 0) := x"004";
constant CSR_ADDR_UTVEC : std_logic_vector(11 downto 0) := x"005";
constant CSR_ADDR_USCRATCH : std_logic_vector(11 downto 0) := x"040";
constant CSR_ADDR_UEPC : std_logic_vector(11 downto 0) := x"041";
constant CSR_ADDR_UCAUSE : std_logic_vector(11 downto 0) := x"042";
constant CSR_ADDR_UTVAL : std_logic_vector(11 downto 0) := x"043";
constant CSR_ADDR_UIP : std_logic_vector(11 downto 0) := x"044";
constant CSR_ADDR_FFLAGS : std_logic_vector(11 downto 0) := x"001";
constant CSR_ADDR_FRM : std_logic_vector(11 downto 0) := x"002";
constant CSR_ADDR_FCSR : std_logic_vector(11 downto 0) := x"003";
constant CSR_ADDR_CYCLE : std_logic_vector(11 downto 0) := x"c00";
constant CSR_ADDR_TIME : std_logic_vector(11 downto 0) := x"c01";
constant CSR_ADDR_INSTRET : std_logic_vector(11 downto 0) := x"c02";
constant CSR_ADDR_HPMCOUNTER3: std_logic_vector(11 downto 0) := x"c03";
constant CSR_ADDR_HPMCOUNTER4: std_logic_vector(11 downto 0) := x"c04";
constant CSR_ADDR_HPMCOUNTER5: std_logic_vector(11 downto 0) := x"c05";
constant CSR_ADDR_HPMCOUNTER6: std_logic_vector(11 downto 0) := x"c06";
constant CSR_ADDR_HPMCOUNTER7: std_logic_vector(11 downto 0) := x"c07";
constant CSR_ADDR_HPMCOUNTER8: std_logic_vector(11 downto 0) := x"c08";
constant CSR_ADDR_HPMCOUNTER9: std_logic_vector(11 downto 0) := x"c09";
constant CSR_ADDR_HPMCOUNTER10: std_logic_vector(11 downto 0) := x"c0a";
constant CSR_ADDR_HPMCOUNTER11: std_logic_vector(11 downto 0) := x"c0b";
constant CSR_ADDR_HPMCOUNTER12: std_logic_vector(11 downto 0) := x"c0c";
constant CSR_ADDR_HPMCOUNTER13: std_logic_vector(11 downto 0) := x"c0d";
constant CSR_ADDR_HPMCOUNTER14: std_logic_vector(11 downto 0) := x"c0e";
constant CSR_ADDR_HPMCOUNTER15: std_logic_vector(11 downto 0) := x"c0f";
constant CSR_ADDR_HPMCOUNTER16: std_logic_vector(11 downto 0) := x"c10";
constant CSR_ADDR_HPMCOUNTER17: std_logic_vector(11 downto 0) := x"c11";
constant CSR_ADDR_HPMCOUNTER18: std_logic_vector(11 downto 0) := x"c12";
constant CSR_ADDR_HPMCOUNTER19: std_logic_vector(11 downto 0) := x"c13";
constant CSR_ADDR_HPMCOUNTER20: std_logic_vector(11 downto 0) := x"c14";
constant CSR_ADDR_HPMCOUNTER21: std_logic_vector(11 downto 0) := x"c15";
constant CSR_ADDR_HPMCOUNTER22: std_logic_vector(11 downto 0) := x"c16";
constant CSR_ADDR_HPMCOUNTER23: std_logic_vector(11 downto 0) := x"c17";
constant CSR_ADDR_HPMCOUNTER24: std_logic_vector(11 downto 0) := x"c18";
constant CSR_ADDR_HPMCOUNTER25: std_logic_vector(11 downto 0) := x"c19";
constant CSR_ADDR_HPMCOUNTER26: std_logic_vector(11 downto 0) := x"c1a";
constant CSR_ADDR_HPMCOUNTER27: std_logic_vector(11 downto 0) := x"c1b";
constant CSR_ADDR_HPMCOUNTER28: std_logic_vector(11 downto 0) := x"c1c";
constant CSR_ADDR_HPMCOUNTER29: std_logic_vector(11 downto 0) := x"c1d";
constant CSR_ADDR_HPMCOUNTER30: std_logic_vector(11 downto 0) := x"c1e";
constant CSR_ADDR_HPMCOUNTER31 : std_logic_vector(11 downto 0) := x"c1f";
constant CSR_ADDR_SSTATUS : std_logic_vector(11 downto 0) := x"100";
constant CSR_ADDR_SEDELEG : std_logic_vector(11 downto 0) := x"102";
constant CSR_ADDR_SIDELEG : std_logic_vector(11 downto 0) := x"103";
constant CSR_ADDR_SIE : std_logic_vector(11 downto 0) := x"104";
constant CSR_ADDR_STVEC : std_logic_vector(11 downto 0) := x"105";
constant CSR_ADDR_SCOUNTEREN : std_logic_vector(11 downto 0) := x"106";
constant CSR_ADDR_SSCRATCH : std_logic_vector(11 downto 0) := x"140";
constant CSR_ADDR_SEPC : std_logic_vector(11 downto 0) := x"141";
constant CSR_ADDR_SCAUSE : std_logic_vector(11 downto 0) := x"142";
constant CSR_ADDR_STVAL : std_logic_vector(11 downto 0) := x"143";
constant CSR_ADDR_SIP : std_logic_vector(11 downto 0) := x"144";
constant CSR_ADDR_SATP : std_logic_vector(11 downto 0) := x"180";
constant CSR_ADDR_MVENDORID : std_logic_vector(11 downto 0) := x"f11";
constant CSR_ADDR_MARCHID : std_logic_vector(11 downto 0) := x"f12";
constant CSR_ADDR_MIMPID : std_logic_vector(11 downto 0) := x"f13";
constant CSR_ADDR_MHARTID : std_logic_vector(11 downto 0) := x"f14";
constant CSR_ADDR_MSTATUS : std_logic_vector(11 downto 0) := x"300";
constant CSR_ADDR_MISA : std_logic_vector(11 downto 0) := x"301";
constant CSR_ADDR_MEDELEG : std_logic_vector(11 downto 0) := x"302";
constant CSR_ADDR_MIDELEG : std_logic_vector(11 downto 0) := x"303";
constant CSR_ADDR_MIE : std_logic_vector(11 downto 0) := x"304";
constant CSR_ADDR_MTVEC : std_logic_vector(11 downto 0) := x"305";
constant CSR_ADDR_MCOUNTEREN : std_logic_vector(11 downto 0) := x"306";
constant CSR_ADDR_MSCRATCH : std_logic_vector(11 downto 0) := x"340";
constant CSR_ADDR_MEPC : std_logic_vector(11 downto 0) := x"341";
constant CSR_ADDR_MCAUSE : std_logic_vector(11 downto 0) := x"342";
constant CSR_ADDR_MTVAL : std_logic_vector(11 downto 0) := x"343";
constant CSR_ADDR_MIP : std_logic_vector(11 downto 0) := x"344";
constant CSR_ADDR_MCYCLE : std_logic_vector(11 downto 0) := x"b00";
constant CSR_ADDR_MINSTRET : std_logic_vector(11 downto 0) := x"b02";
constant CSR_ADDR_MHPMCOUNTER3 : std_logic_vector(11 downto 0) := x"b03";
constant CSR_ADDR_MHPMCOUNTER4 : std_logic_vector(11 downto 0) := x"b04";
constant CSR_ADDR_MHPMCOUNTER5 : std_logic_vector(11 downto 0) := x"b05";
constant CSR_ADDR_MHPMCOUNTER6 : std_logic_vector(11 downto 0) := x"b06";
constant CSR_ADDR_MHPMCOUNTER7 : std_logic_vector(11 downto 0) := x"b07";
constant CSR_ADDR_MHPMCOUNTER8 : std_logic_vector(11 downto 0) := x"b08";
constant CSR_ADDR_MHPMCOUNTER9 : std_logic_vector(11 downto 0) := x"b09";
constant CSR_ADDR_MHPMCOUNTER10 : std_logic_vector(11 downto 0) := x"b0a";
constant CSR_ADDR_MHPMCOUNTER11 : std_logic_vector(11 downto 0) := x"b0b";
constant CSR_ADDR_MHPMCOUNTER12 : std_logic_vector(11 downto 0) := x"b0c";
constant CSR_ADDR_MHPMCOUNTER13 : std_logic_vector(11 downto 0) := x"b0d";
constant CSR_ADDR_MHPMCOUNTER14 : std_logic_vector(11 downto 0) := x"b0e";
constant CSR_ADDR_MHPMCOUNTER15 : std_logic_vector(11 downto 0) := x"b0f";
constant CSR_ADDR_MHPMCOUNTER16 : std_logic_vector(11 downto 0) := x"b10";
constant CSR_ADDR_MHPMCOUNTER17 : std_logic_vector(11 downto 0) := x"b11";
constant CSR_ADDR_MHPMCOUNTER18 : std_logic_vector(11 downto 0) := x"b12";
constant CSR_ADDR_MHPMCOUNTER19 : std_logic_vector(11 downto 0) := x"b13";
constant CSR_ADDR_MHPMCOUNTER20 : std_logic_vector(11 downto 0) := x"b14";
constant CSR_ADDR_MHPMCOUNTER21 : std_logic_vector(11 downto 0) := x"b15";
constant CSR_ADDR_MHPMCOUNTER22 : std_logic_vector(11 downto 0) := x"b16";
constant CSR_ADDR_MHPMCOUNTER23 : std_logic_vector(11 downto 0) := x"b17";
constant CSR_ADDR_MHPMCOUNTER24 : std_logic_vector(11 downto 0) := x"b18";
constant CSR_ADDR_MHPMCOUNTER25 : std_logic_vector(11 downto 0) := x"b19";
constant CSR_ADDR_MHPMCOUNTER26 : std_logic_vector(11 downto 0) := x"b1a";
constant CSR_ADDR_MHPMCOUNTER27 : std_logic_vector(11 downto 0) := x"b1b";
constant CSR_ADDR_MHPMCOUNTER28 : std_logic_vector(11 downto 0) := x"b1c";
constant CSR_ADDR_MHPMCOUNTER29 : std_logic_vector(11 downto 0) := x"b1d";
constant CSR_ADDR_MHPMCOUNTER30 : std_logic_vector(11 downto 0) := x"b1e";
constant CSR_ADDR_MHPMCOUNTER31 : std_logic_vector(11 downto 0) := x"b1f";
constant CSR_ADDR_MHPMEVENT3 : std_logic_vector(11 downto 0) := x"323";
constant CSR_ADDR_MHPMEVENT4 : std_logic_vector(11 downto 0) := x"324";
constant CSR_ADDR_MHPMEVENT5 : std_logic_vector(11 downto 0) := x"325";
constant CSR_ADDR_MHPMEVENT6 : std_logic_vector(11 downto 0) := x"326";
constant CSR_ADDR_MHPMEVENT7 : std_logic_vector(11 downto 0) := x"327";
constant CSR_ADDR_MHPMEVENT8 : std_logic_vector(11 downto 0) := x"328";
constant CSR_ADDR_MHPMEVENT9 : std_logic_vector(11 downto 0) := x"329";
constant CSR_ADDR_MHPMEVENT10 : std_logic_vector(11 downto 0) := x"32a";
constant CSR_ADDR_MHPMEVENT11 : std_logic_vector(11 downto 0) := x"32b";
constant CSR_ADDR_MHPMEVENT12 : std_logic_vector(11 downto 0) := x"32c";
constant CSR_ADDR_MHPMEVENT13 : std_logic_vector(11 downto 0) := x"32d";
constant CSR_ADDR_MHPMEVENT14 : std_logic_vector(11 downto 0) := x"32e";
constant CSR_ADDR_MHPMEVENT15 : std_logic_vector(11 downto 0) := x"32f";
constant CSR_ADDR_MHPMEVENT16 : std_logic_vector(11 downto 0) := x"330";
constant CSR_ADDR_MHPMEVENT17 : std_logic_vector(11 downto 0) := x"331";
constant CSR_ADDR_MHPMEVENT18 : std_logic_vector(11 downto 0) := x"332";
constant CSR_ADDR_MHPMEVENT19 : std_logic_vector(11 downto 0) := x"333";
constant CSR_ADDR_MHPMEVENT20 : std_logic_vector(11 downto 0) := x"334";
constant CSR_ADDR_MHPMEVENT21 : std_logic_vector(11 downto 0) := x"335";
constant CSR_ADDR_MHPMEVENT22 : std_logic_vector(11 downto 0) := x"336";
constant CSR_ADDR_MHPMEVENT23 : std_logic_vector(11 downto 0) := x"337";
constant CSR_ADDR_MHPMEVENT24 : std_logic_vector(11 downto 0) := x"338";
constant CSR_ADDR_MHPMEVENT25 : std_logic_vector(11 downto 0) := x"339";
constant CSR_ADDR_MHPMEVENT26 : std_logic_vector(11 downto 0) := x"33a";
constant CSR_ADDR_MHPMEVENT27 : std_logic_vector(11 downto 0) := x"33b";
constant CSR_ADDR_MHPMEVENT28 : std_logic_vector(11 downto 0) := x"33c";
constant CSR_ADDR_MHPMEVENT29 : std_logic_vector(11 downto 0) := x"33d";
constant CSR_ADDR_MHPMEVENT30 : std_logic_vector(11 downto 0) := x"33e";
constant CSR_ADDR_MHPMEVENT31 : std_logic_vector(11 downto 0) := x"33f";
-- Privilege modes
constant USER_MODE : std_logic_vector(1 downto 0) := "00";
constant SUPERVISOR_MODE : std_logic_vector(1 downto 0) := "01";
constant MACHINE_MODE : std_logic_vector(1 downto 0) := "11";
-- Debug output bus
type regfile_arr is array (0 to 31) of doubleword;
-- Familiar names for instruction fields
subtype funct7_t is std_logic_vector(6 downto 0);
subtype opcode_t is std_logic_vector(6 downto 0);
subtype funct3_t is std_logic_vector(2 downto 0);
subtype funct6_t is std_logic_vector(5 downto 0);
subtype reg_t is std_logic_vector(4 downto 0);
-- Instruction type populated by decoder
subtype instr_t is std_logic_vector(7 downto 0);
-- Control types for ALU
subtype ctrl_t is std_logic_vector(5 downto 0);
-- Opcodes determine overall instruction families, thus
-- they are a logical way to group them.
-- Load upper immediate
constant LUI_T : opcode_t := "0110111";
-- Add upper immedaite to PC
constant AUIPC_T : opcode_t := "0010111";
-- Jump and link
constant JAL_T : opcode_t := "1101111";
-- Jump and link register
constant JALR_T : opcode_t := "1100111";
-- Branch types, general
constant BRANCH_T : opcode_t := "1100011";
-- Load types, includes all but atomic load and LUI
constant LOAD_T : opcode_t := "0000011";
-- Store types, includes all but atomic
constant STORE_T : opcode_t := "0100011";
-- ALU immediate types
constant ALUI_T : opcode_t := "0010011";
-- ALU types, includes integer mul/div
constant ALU_T : opcode_t := "0110011";
-- Special fence instructions
constant FENCE_T : opcode_t := "0001111";
-- CSR manipulation and ecalls
constant CSR_T : opcode_t := "1110011";
-- ALU types, low word
constant ALUW_T : opcode_t := "0111011";
-- ALU immediate types, low word
constant ALUIW_T : opcode_t := "0011011";
-- Atomic types
constant ATOM_T : opcode_t := "0101111";
-- Floating point load types
constant FLOAD_T : opcode_t := "0000111";
-- Floating point store types
constant FSTORE_T : opcode_t := "0100111";
-- Floating point multiply-then-add
constant FMADD_T : opcode_t := "1000011";
-- Floating point multiply-then-sub
constant FMSUB_T : opcode_t := "1000111";
-- Floating point negate-multiply-then-add
constant FNADD_T : opcode_t := "1001011";
-- Floating point negate-multiply-then-sub
constant FNSUB_T : opcode_t := "1001111";
-- Floating point arithmetic types
constant FPALU_T : opcode_t := "1010011";
-- Operation names for ALU
constant op_SLL : ctrl_t := "000000";
constant op_SLLI : ctrl_t := "000001";
constant op_SRL : ctrl_t := "000010";
constant op_SRLI : ctrl_t := "000011";
constant op_SRA : ctrl_t := "000100";
constant op_SRAI : ctrl_t := "000101";
constant op_ADD : ctrl_t := "000110";
constant op_ADDI : ctrl_t := "000111";
constant op_SUB : ctrl_t := "001000";
constant op_LUI : ctrl_t := "001001";
constant op_AUIPC : ctrl_t := "001010";
constant op_XOR : ctrl_t := "001011";
constant op_XORI : ctrl_t := "001100";
constant op_OR : ctrl_t := "001101";
constant op_ORI : ctrl_t := "001110";
constant op_AND : ctrl_t := "001111";
constant op_ANDI : ctrl_t := "010000";
constant op_SLT : ctrl_t := "010001";
constant op_SLTI : ctrl_t := "010010";
constant op_SLTU : ctrl_t := "010011";
constant op_SLTIU : ctrl_t := "010100";
constant op_SLLW : ctrl_t := "010101";
constant op_SLLIW : ctrl_t := "010110";
constant op_SRLW : ctrl_t := "010111";
constant op_SRLIW : ctrl_t := "011000";
constant op_SRAW : ctrl_t := "011001";
constant op_SRAIW : ctrl_t := "011010";
constant op_ADDW : ctrl_t := "011011";
constant op_ADDIW : ctrl_t := "011100";
constant op_SUBW : ctrl_t := "011101";
constant op_MUL : ctrl_t := "011110";
constant op_MULH : ctrl_t := "011111";
constant op_MULHU : ctrl_t := "100000";
constant op_MULHSU : ctrl_t := "100001";
constant op_DIV : ctrl_t := "100010";
constant op_DIVU : ctrl_t := "100011";
constant op_REM : ctrl_t := "100100";
constant op_REMU : ctrl_t := "100101";
constant op_MULW : ctrl_t := "100110";
constant op_DIVW : ctrl_t := "100111";
constant op_DIVUW : ctrl_t := "101000";
constant op_REMW : ctrl_t := "101001";
constant op_REMUW : ctrl_t := "101010";
-- Instruction names for core (see intr.py to generate)
constant instr_LUI : instr_t := "00000000";
constant instr_AUIPC : instr_t := "00000001";
constant instr_JAL : instr_t := "00000010";
constant instr_JALR : instr_t := "00000011";
constant instr_BEQ : instr_t := "00000100";
constant instr_BNE : instr_t := "00000101";
constant instr_BLT : instr_t := "00000110";
constant instr_BGE : instr_t := "00000111";
constant instr_BLTU : instr_t := "00001000";
constant instr_BGEU : instr_t := "00001001";
constant instr_LB : instr_t := "00001010";
constant instr_LH : instr_t := "00001011";
constant instr_LW : instr_t := "00001100";
constant instr_LBU : instr_t := "00001101";
constant instr_LHU : instr_t := "00001110";
constant instr_SB : instr_t := "00001111";
constant instr_SH : instr_t := "00010000";
constant instr_SW : instr_t := "00010001";
constant instr_ADDI : instr_t := "00010010";
constant instr_SLTI : instr_t := "00010011";
constant instr_SLTIU : instr_t := "00010100";
constant instr_XORI : instr_t := "00010101";
constant instr_ORI : instr_t := "00010110";
constant instr_ANDI : instr_t := "00010111";
constant instr_SLLI : instr_t := "00011000";
constant instr_SRLI : instr_t := "00011001";
constant instr_SRAI : instr_t := "00011010";
constant instr_ADD : instr_t := "00011011";
constant instr_SUB : instr_t := "00011100";
constant instr_SLL : instr_t := "00011101";
constant instr_SLT : instr_t := "00011110";
constant instr_SLTU : instr_t := "00011111";
constant instr_XOR : instr_t := "00100000";
constant instr_SRL : instr_t := "00100001";
constant instr_SRA : instr_t := "00100010";
constant instr_OR : instr_t := "00100011";
constant instr_AND : instr_t := "00100100";
constant instr_FENCE : instr_t := "00100101";
constant instr_FENCEI : instr_t := "00100110";
constant instr_ECALL : instr_t := "00100111";
constant instr_EBREAK : instr_t := "00101000";
constant instr_CSRRW : instr_t := "00101001";
constant instr_CSRRS : instr_t := "00101010";
constant instr_CSRRC : instr_t := "00101011";
constant instr_CSRRWI : instr_t := "00101100";
constant instr_CSRRSI : instr_t := "00101101";
constant instr_CSRRCI : instr_t := "00101110";
constant instr_LWU : instr_t := "00101111";
constant instr_LD : instr_t := "00110000";
constant instr_SD : instr_t := "00110001";
constant instr_SLLI6 : instr_t := "00110010";
constant instr_SRLI6 : instr_t := "00110011";
constant instr_SRAI6 : instr_t := "00110100";
constant instr_ADDIW : instr_t := "00110101";
constant instr_SLLIW : instr_t := "00110110";
constant instr_SRLIW : instr_t := "00110111";
constant instr_SRAIW : instr_t := "00111000";
constant instr_ADDW : instr_t := "00111001";
constant instr_SUBW : instr_t := "00111010";
constant instr_SLLW : instr_t := "00111011";
constant instr_SRLW : instr_t := "00111100";
constant instr_SRAW : instr_t := "00111101";
constant instr_MUL : instr_t := "00111110";
constant instr_MULH : instr_t := "00111111";
constant instr_MULHSU : instr_t := "01000000";
constant instr_MULHU : instr_t := "01000001";
constant instr_DIV : instr_t := "01000010";
constant instr_DIVU : instr_t := "01000011";
constant instr_REM : instr_t := "01000100";
constant instr_REMU : instr_t := "01000101";
constant instr_MULW : instr_t := "01000110";
constant instr_DIVW : instr_t := "01000111";
constant instr_DIVUW : instr_t := "01001000";
constant instr_REMW : instr_t := "01001001";
constant instr_REMUW : instr_t := "01001010";
constant instr_LRW : instr_t := "01001011";
constant instr_SCW : instr_t := "01001100";
constant instr_AMOSWAPW : instr_t := "01001101";
constant instr_AMOADDW : instr_t := "01001110";
constant instr_AMOXORW : instr_t := "01001111";
constant instr_AMOANDW : instr_t := "01010000";
constant instr_AMOORW : instr_t := "01010001";
constant instr_AMOMINW : instr_t := "01010010";
constant instr_AMOMAXW : instr_t := "01010011";
constant instr_AMOMINUW : instr_t := "01010100";
constant instr_AMOMAXUW : instr_t := "01010101";
constant instr_LRD : instr_t := "01010110";
constant instr_SCD : instr_t := "01010111";
constant instr_AMOSWAPD : instr_t := "01011000";
constant instr_AMOADDD : instr_t := "01011001";
constant instr_AMOXORD : instr_t := "01011010";
constant instr_AMOANDD : instr_t := "01011011";
constant instr_AMOORD : instr_t := "01011100";
constant instr_AMOMIND : instr_t := "01011101";
constant instr_AMOMAXD : instr_t := "01011110";
constant instr_AMOMINUD : instr_t := "01011111";
constant instr_AMOMAXUD : instr_t := "01100000";
constant instr_FLW : instr_t := "01100001";
constant instr_FSW : instr_t := "01100010";
constant instr_FMADDS : instr_t := "01100011";
constant instr_FMSUBS : instr_t := "01100100";
constant instr_FNMSUBS : instr_t := "01100101";
constant instr_FNMADDS : instr_t := "01100110";
constant instr_FADDS : instr_t := "01100111";
constant instr_FSUBS : instr_t := "01101000";
constant instr_FMULS : instr_t := "01101001";
constant instr_FDIVS : instr_t := "01101010";
constant instr_FSQRTS : instr_t := "01101011";
constant instr_FSGNJS : instr_t := "01101100";
constant instr_FSGNJNS : instr_t := "01101101";
constant instr_FSGNJXS : instr_t := "01101110";
constant instr_FMINS : instr_t := "01101111";
constant instr_FMAXS : instr_t := "01110000";
constant instr_FCVTWS : instr_t := "01110001";
constant instr_FCVTWUS : instr_t := "01110010";
constant instr_FMVXW : instr_t := "01110011";
constant instr_FEQS : instr_t := "01110100";
constant instr_FLTS : instr_t := "01110101";
constant instr_FLES : instr_t := "01110110";
constant instr_FCLASSS : instr_t := "01110111";
constant instr_FCVTSW : instr_t := "01111000";
constant instr_FCVTSWU : instr_t := "01111001";
constant instr_FMVWX : instr_t := "01111010";
constant instr_FCVTLS : instr_t := "01111011";
constant instr_FCVTLUS : instr_t := "01111100";
constant instr_FCVTSL : instr_t := "01111101";
constant instr_FCVTSLU : instr_t := "01111110";
constant instr_FLD : instr_t := "01111111";
constant instr_FSD : instr_t := "10000000";
constant instr_FMADDD : instr_t := "10000001";
constant instr_FMSUBD : instr_t := "10000010";
constant instr_FNMSUBD : instr_t := "10000011";
constant instr_FNMADDD : instr_t := "10000100";
constant instr_FADDD : instr_t := "10000101";
constant instr_FSUBD : instr_t := "10000110";
constant instr_FMULD : instr_t := "10000111";
constant instr_FDIVD : instr_t := "10001000";
constant instr_FSQRTD : instr_t := "10001001";
constant instr_FSGNJD : instr_t := "10001010";
constant instr_FSGNJND : instr_t := "10001011";
constant instr_FSGNJXD : instr_t := "10001100";
constant instr_FMIND : instr_t := "10001101";
constant instr_FMAXD : instr_t := "10001110";
constant instr_FCVTSD : instr_t := "10001111";
constant instr_FCVTDS : instr_t := "10010000";
constant instr_FEQD : instr_t := "10010001";
constant instr_FLTD : instr_t := "10010010";
constant instr_FLED : instr_t := "10010011";
constant instr_FCLASSD : instr_t := "10010100";
constant instr_FCVTWD : instr_t := "10010101";
constant instr_FCVTWUD : instr_t := "10010110";
constant instr_FCVTDW : instr_t := "10010111";
constant instr_FCVTDWU : instr_t := "10011000";
constant instr_FCVTLD : instr_t := "10011001";
constant instr_FCVTLUD : instr_t := "10011010";
constant instr_FMVXD : instr_t := "10011011";
constant instr_FCVTDL : instr_t := "10011100";
constant instr_FCVTDLU : instr_t := "10011101";
constant instr_FMVDX : instr_t := "10011110";
constant instr_URET : instr_t := "10011111";
constant instr_SRET : instr_t := "10100000";
constant instr_MRET : instr_t := "10100001";
constant instr_WFI : instr_t := "10100010";
constant instr_SFENCEVM : instr_t := "10100011";
-- Forward declare static functions
function CSR_write(CSR: natural; value: doubleword) return doubleword;
function CSR_read(CSR: natural; value: doubleword) return doubleword;
function HEX_TO_ASCII(word: std_logic_vector(3 downto 0)) return std_logic_vector;
function ASCII_TO_HEX(word: std_logic_vector(7 downto 0)) return integer;
end package config;
-- Package body defined derived constants and subroutines (i.e. functions)
package body config is
-- TODO - Might need additional parameters to specify the privilege mode, double check
-- CSR function for writing as a function of CSR register
--@param CSR The familiar name of the CSR register, encoded above in the package declaration
--@param value The raw value to be written
--@return the modified value to be written back the the given CSR
function CSR_write(CSR: natural; value: doubleword) return doubleword is
begin
return zero_word & zero_word;
end;
-- CSR function for reading as a function of CSR register
--@param CSR The familiar name of the CSR register, encoded above in the package declaration
--@param value The raw contents of the given CSR
--@return the adjusted value of the CSR to be reported back
function CSR_read(CSR: natural; value: doubleword) return doubleword is
begin
return value;
end;
function HEX_TO_ASCII(word: std_logic_vector(3 downto 0)) return std_logic_vector is
begin
if(unsigned(word) < 10) then
return "0011" & word;
elsif(unsigned(word) = 11) then
return "01100001";
elsif(unsigned(word) = 12) then
return "01100010";
elsif(unsigned(word) = 13) then
return "01100011";
elsif(unsigned(word) = 14) then
return "01100100";
elsif(unsigned(word) = 15) then
return "01100100";
else
return "00110000";
end if;
end;
-- Takes an ASCII character and returns an integer value
function ASCII_TO_HEX(word: std_logic_vector(7 downto 0)) return integer is
begin
if(unsigned(word) > 47 AND unsigned(word) < 58) then
return to_integer(unsigned(word)) - 48;
elsif(unsigned(word) > 96 AND unsigned(word) < 103) then
-- We want to return 11 for a, 12 for b, so on
return to_integer(unsigned(word)) - 86;
else --Which happens when the user puts garbage in
return 99;
end if;
end;
end config;
|
-- -----------------------------------------------------------------------
--
-- This is a table driven 65Cx2 core by A.Daly
-- This is a derivative of the excellent FPGA64 core see below
--
-- -----------------------------------------------------------------------
-- Copyright 2005-2008 by Peter Wendrich ([email protected])
-- http://www.syntiac.com/fpga64.html
-- -----------------------------------------------------------------------
library IEEE;
use ieee.std_logic_1164.ALL;
use ieee.numeric_std.ALL;
entity R65C02 is
port (
reset : in std_logic;
clk : in std_logic;
enable : in std_logic;
nmi_n : in std_logic;
irq_n : in std_logic;
di : in unsigned(7 downto 0);
do : out unsigned(7 downto 0);
addr : out unsigned(15 downto 0);
nwe : out std_logic;
sync : out std_logic;
sync_irq : out std_logic;
-- 6502 registers (MSB) PC, SP, P, Y, X, A (LSB)
Regs : out std_logic_vector(63 downto 0)
);
end R65C02;
-- Store Zp (3) => fetch, cycle2, cycleEnd
-- Store Zp,x (4) => fetch, cycle2, preWrite, cycleEnd
-- Read Zp,x (4) => fetch, cycle2, cycleRead, cycleRead2
-- Rmw Zp,x (6) => fetch, cycle2, cycleRead, cycleRead2, cycleRmw, cycleEnd
-- Store Abs (4) => fetch, cycle2, cycle3, cycleEnd
-- Store Abs,x (5) => fetch, cycle2, cycle3, preWrite, cycleEnd
-- Rts (6) => fetch, cycle2, cycle3, cycleRead, cycleJump, cycleIncrEnd
-- Rti (6) => fetch, cycle2, stack1, stack2, stack3, cycleJump
-- Jsr (6) => fetch, cycle2, .. cycle5, cycle6, cycleJump
-- Jmp abs (-) => fetch, cycle2, .., cycleJump
-- Jmp (ind) (-) => fetch, cycle2, .., cycleJump
-- Brk / irq (6) => fetch, cycle2, stack2, stack3, stack4
-- -----------------------------------------------------------------------
architecture Behavioral of R65C02 is
-- signal counter : unsigned(27 downto 0);
-- signal mask_irq : std_logic;
-- signal mask_enable : std_logic;
-- Statemachine
type cpuCycles is (
opcodeFetch, -- New opcode is read and registers updated
cycle2,
cycle3,
cyclePreIndirect,
cycleIndirect,
cycleBranchTaken,
cycleBranchPage,
cyclePreRead, -- Cycle before read while doing zeropage indexed addressing.
cycleRead, -- Read cycle
cycleRead2, -- Second read cycle after page-boundary crossing.
cycleRmw, -- Calculate ALU output for read-modify-write instr.
cyclePreWrite, -- Cycle before write when doing indexed addressing.
cycleWrite, -- Write cycle for zeropage or absolute addressing.
cycleStack1,
cycleStack2,
cycleStack3,
cycleStack4,
cycleJump, -- Last cycle of Jsr, Jmp. Next fetch address is target addr.
cycleEnd
);
signal theCpuCycle : cpuCycles;
signal nextCpuCycle : cpuCycles;
signal updateRegisters : boolean;
signal processIrq : std_logic;
signal nmiReg: std_logic;
signal nmiEdge: std_logic;
signal irqReg : std_logic; -- Delay IRQ input with one clock cycle.
signal soReg : std_logic; -- SO pin edge detection
-- Opcode decoding
constant opcUpdateA : integer := 0;
constant opcUpdateX : integer := 1;
constant opcUpdateY : integer := 2;
constant opcUpdateS : integer := 3;
constant opcUpdateN : integer := 4;
constant opcUpdateV : integer := 5;
constant opcUpdateD : integer := 6;
constant opcUpdateI : integer := 7;
constant opcUpdateZ : integer := 8;
constant opcUpdateC : integer := 9;
constant opcSecondByte : integer := 10;
constant opcAbsolute : integer := 11;
constant opcZeroPage : integer := 12;
constant opcIndirect : integer := 13;
constant opcStackAddr : integer := 14; -- Push/Pop address
constant opcStackData : integer := 15; -- Push/Pop status/data
constant opcJump : integer := 16;
constant opcBranch : integer := 17;
constant indexX : integer := 18;
constant indexY : integer := 19;
constant opcStackUp : integer := 20;
constant opcWrite : integer := 21;
constant opcRmw : integer := 22;
constant opcIncrAfter : integer := 23; -- Insert extra cycle to increment PC (RTS)
constant opcRti : integer := 24;
constant opcIRQ : integer := 25;
constant opcInA : integer := 26;
constant opcInBrk : integer := 27;
constant opcInX : integer := 28;
constant opcInY : integer := 29;
constant opcInS : integer := 30;
constant opcInT : integer := 31;
constant opcInH : integer := 32;
constant opcInClear : integer := 33;
constant aluMode1From : integer := 34;
--
constant aluMode1To : integer := 37;
constant aluMode2From : integer := 38;
--
constant aluMode2To : integer := 40;
--
constant opcInCmp : integer := 41;
constant opcInCpx : integer := 42;
constant opcInCpy : integer := 43;
subtype addrDef is unsigned(0 to 15);
--
-- is Interrupt -----------------+
-- instruction is RTI ----------------+|
-- PC++ on last cycle (RTS) ---------------+||
-- RMW --------------+|||
-- Write -------------+||||
-- Pop/Stack up -------------+|||||
-- Branch ---------+ ||||||
-- Jump ----------+| ||||||
-- Push or Pop data -------+|| ||||||
-- Push or Pop addr ------+||| ||||||
-- Indirect -----+|||| ||||||
-- ZeroPage ----+||||| ||||||
-- Absolute ---+|||||| ||||||
-- PC++ on cycle2 --+||||||| ||||||
-- |AZI||JBXY|WM|||
constant immediate : addrDef := "1000000000000000";
constant implied : addrDef := "0000000000000000";
-- Zero page
constant readZp : addrDef := "1010000000000000";
constant writeZp : addrDef := "1010000000010000";
constant rmwZp : addrDef := "1010000000001000";
-- Zero page indexed
constant readZpX : addrDef := "1010000010000000";
constant writeZpX : addrDef := "1010000010010000";
constant rmwZpX : addrDef := "1010000010001000";
constant readZpY : addrDef := "1010000001000000";
constant writeZpY : addrDef := "1010000001010000";
constant rmwZpY : addrDef := "1010000001001000";
-- Zero page indirect
constant readIndX : addrDef := "1001000010000000";
constant writeIndX : addrDef := "1001000010010000";
constant rmwIndX : addrDef := "1001000010001000";
constant readIndY : addrDef := "1001000001000000";
constant writeIndY : addrDef := "1001000001010000";
constant rmwIndY : addrDef := "1001000001001000";
constant rmwInd : addrDef := "1001000000001000";
constant readInd : addrDef := "1001000000000000";
constant writeInd : addrDef := "1001000000010000";
-- |AZI||JBXY|WM||
-- Absolute
constant readAbs : addrDef := "1100000000000000";
constant writeAbs : addrDef := "1100000000010000";
constant rmwAbs : addrDef := "1100000000001000";
constant readAbsX : addrDef := "1100000010000000";
constant writeAbsX : addrDef := "1100000010010000";
constant rmwAbsX : addrDef := "1100000010001000";
constant readAbsY : addrDef := "1100000001000000";
constant writeAbsY : addrDef := "1100000001010000";
constant rmwAbsY : addrDef := "1100000001001000";
-- PHA PHP
constant push : addrDef := "0000010000000000";
-- PLA PLP
constant pop : addrDef := "0000010000100000";
-- Jumps
constant jsr : addrDef := "1000101000000000";
constant jumpAbs : addrDef := "1000001000000000";
constant jumpInd : addrDef := "1100001000000000";
constant jumpIndX : addrDef := "1100001010000000";
constant relative : addrDef := "1000000100000000";
-- Specials
constant rts : addrDef := "0000101000100100";
constant rti : addrDef := "0000111000100010";
constant brk : addrDef := "1000111000000001";
-- constant irq : addrDef := "0000111000000001";
-- constant : unsigned(0 to 0) := "0";
constant xxxxxxxx : addrDef := "----------0---00";
-- A = accu
-- X = index X
-- Y = index Y
-- S = Stack pointer
-- H = indexH
--
-- AEXYSTHc
constant aluInA : unsigned(0 to 7) := "10000000";
constant aluInBrk : unsigned(0 to 7) := "01000000";
constant aluInX : unsigned(0 to 7) := "00100000";
constant aluInY : unsigned(0 to 7) := "00010000";
constant aluInS : unsigned(0 to 7) := "00001000";
constant aluInT : unsigned(0 to 7) := "00000100";
constant aluInClr : unsigned(0 to 7) := "00000001";
constant aluInSet : unsigned(0 to 7) := "00000000";
constant aluInXXX : unsigned(0 to 7) := "--------";
-- Most of the aluModes are just like the opcodes.
-- aluModeInp -> input is output. calculate N and Z
-- aluModeCmp -> Compare for CMP, CPX, CPY
-- aluModeFlg -> input to flags needed for PLP, RTI and CLC, SEC, CLV
-- aluModeInc -> for INC but also INX, INY
-- aluModeDec -> for DEC but also DEX, DEY
subtype aluMode1 is unsigned(0 to 3);
subtype aluMode2 is unsigned(0 to 2);
subtype aluMode is unsigned(0 to 9);
-- Logic/Shift ALU
constant aluModeInp : aluMode1 := "0000";
constant aluModeP : aluMode1 := "0001";
constant aluModeInc : aluMode1 := "0010";
constant aluModeDec : aluMode1 := "0011";
constant aluModeFlg : aluMode1 := "0100";
constant aluModeBit : aluMode1 := "0101";
-- 0110
-- 0111
constant aluModeLsr : aluMode1 := "1000";
constant aluModeRor : aluMode1 := "1001";
constant aluModeAsl : aluMode1 := "1010";
constant aluModeRol : aluMode1 := "1011";
constant aluModeTSB : aluMode1 := "1100";
constant aluModeTRB : aluMode1 := "1101";
-- 1110
-- 1111;
-- Arithmetic ALU
constant aluModePss : aluMode2 := "000";
constant aluModeCmp : aluMode2 := "001";
constant aluModeAdc : aluMode2 := "010";
constant aluModeSbc : aluMode2 := "011";
constant aluModeAnd : aluMode2 := "100";
constant aluModeOra : aluMode2 := "101";
constant aluModeEor : aluMode2 := "110";
constant aluModeNoF : aluMode2 := "111";
--aluModeBRK
--constant aluBrk : aluMode := aluModeBRK & aluModePss & "---";
--constant aluFix : aluMode := aluModeInp & aluModeNoF & "---";
constant aluInp : aluMode := aluModeInp & aluModePss & "---";
constant aluP : aluMode := aluModeP & aluModePss & "---";
constant aluInc : aluMode := aluModeInc & aluModePss & "---";
constant aluDec : aluMode := aluModeDec & aluModePss & "---";
constant aluFlg : aluMode := aluModeFlg & aluModePss & "---";
constant aluBit : aluMode := aluModeBit & aluModeAnd & "---";
constant aluRor : aluMode := aluModeRor & aluModePss & "---";
constant aluLsr : aluMode := aluModeLsr & aluModePss & "---";
constant aluRol : aluMode := aluModeRol & aluModePss & "---";
constant aluAsl : aluMode := aluModeAsl & aluModePss & "---";
constant aluTSB : aluMode := aluModeTSB & aluModePss & "---";
constant aluTRB : aluMode := aluModeTRB & aluModePss & "---";
constant aluCmp : aluMode := aluModeInp & aluModeCmp & "100";
constant aluCpx : aluMode := aluModeInp & aluModeCmp & "010";
constant aluCpy : aluMode := aluModeInp & aluModeCmp & "001";
constant aluAdc : aluMode := aluModeInp & aluModeAdc & "---";
constant aluSbc : aluMode := aluModeInp & aluModeSbc & "---";
constant aluAnd : aluMode := aluModeInp & aluModeAnd & "---";
constant aluOra : aluMode := aluModeInp & aluModeOra & "---";
constant aluEor : aluMode := aluModeInp & aluModeEor & "---";
constant aluXXX : aluMode := (others => '-');
-- Stack operations. Push/Pop/None
constant stackInc : unsigned(0 to 0) := "0";
constant stackDec : unsigned(0 to 0) := "1";
constant stackXXX : unsigned(0 to 0) := "-";
subtype decodedBitsDef is unsigned(0 to 43);
type opcodeInfoTableDef is array(0 to 255) of decodedBitsDef;
constant opcodeInfoTable : opcodeInfoTableDef := (
-- +------- Update register A
-- |+------ Update register X
-- ||+----- Update register Y
-- |||+---- Update register S
-- |||| +-- Update Flags
-- |||| |
-- |||| _|__
-- |||| / \
-- AXYS NVDIZC addressing aluInput aluMode
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "001100" & brk & aluInBrk & aluP, -- 00 BRK
"1000" & "100010" & readIndX & aluInT & aluOra, -- 01 ORA (zp,x)
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- 02 NOP ------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 03 NOP ------- 65C02
"0000" & "000010" & rmwZp & aluInT & aluTSB, -- 04 TSB zp ----------- 65C02
"1000" & "100010" & readZp & aluInT & aluOra, -- 05 ORA zp
"0000" & "100011" & rmwZp & aluInT & aluAsl, -- 06 ASL zp
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 07 NOP ------- 65C02
"0000" & "000000" & push & aluInXXX & aluP, -- 08 PHP
"1000" & "100010" & immediate & aluInT & aluOra, -- 09 ORA imm
"1000" & "100011" & implied & aluInA & aluAsl, -- 0A ASL accu
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 0B NOP ------- 65C02
"0000" & "000010" & rmwAbs & aluInT & aluTSB, -- 0C TSB abs ---------- 65C02
"1000" & "100010" & readAbs & aluInT & aluOra, -- 0D ORA abs
"0000" & "100011" & rmwAbs & aluInT & aluAsl, -- 0E ASL abs
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 0F NOP ------- 65C02
"0000" & "000000" & relative & aluInXXX & aluXXX, -- 10 BPL
"1000" & "100010" & readIndY & aluInT & aluOra, -- 11 ORA (zp),y
"1000" & "100010" & readInd & aluInT & aluOra, -- 12 ORA (zp) --------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 13 NOP ------- 65C02
"0000" & "000010" & rmwZp & aluInT & aluTRB, -- 14 TRB zp ~---------- 65C02
"1000" & "100010" & readZpX & aluInT & aluOra, -- 15 ORA zp,x
"0000" & "100011" & rmwZpX & aluInT & aluAsl, -- 16 ASL zp,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 17 NOP ------- 65C02
"0000" & "000001" & implied & aluInClr & aluFlg, -- 18 CLC
"1000" & "100010" & readAbsY & aluInT & aluOra, -- 19 ORA abs,y
"1000" & "100010" & implied & aluInA & aluInc, -- 1A INC accu --------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 1B NOP ------- 65C02
"0000" & "000010" & rmwAbs & aluInT & aluTRB, -- 1C TRB abs ~----- --- 65C02
"1000" & "100010" & readAbsX & aluInT & aluOra, -- 1D ORA abs,x
"0000" & "100011" & rmwAbsX & aluInT & aluAsl, -- 1E ASL abs,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 1F NOP ------- 65C02
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "000000" & jsr & aluInXXX & aluXXX, -- 20 JSR
"1000" & "100010" & readIndX & aluInT & aluAnd, -- 21 AND (zp,x)
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- 22 NOP ------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 23 NOP ------- 65C02
"0000" & "110010" & readZp & aluInT & aluBit, -- 24 BIT zp
"1000" & "100010" & readZp & aluInT & aluAnd, -- 25 AND zp
"0000" & "100011" & rmwZp & aluInT & aluRol, -- 26 ROL zp
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 27 NOP ------- 65C02
"0000" & "111111" & pop & aluInT & aluFlg, -- 28 PLP
"1000" & "100010" & immediate & aluInT & aluAnd, -- 29 AND imm
"1000" & "100011" & implied & aluInA & aluRol, -- 2A ROL accu
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 2B NOP ------- 65C02
"0000" & "110010" & readAbs & aluInT & aluBit, -- 2C BIT abs
"1000" & "100010" & readAbs & aluInT & aluAnd, -- 2D AND abs
"0000" & "100011" & rmwAbs & aluInT & aluRol, -- 2E ROL abs
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 2F NOP ------- 65C02
"0000" & "000000" & relative & aluInXXX & aluXXX, -- 30 BMI
"1000" & "100010" & readIndY & aluInT & aluAnd, -- 31 AND (zp),y
"1000" & "100010" & readInd & aluInT & aluAnd, -- 32 AND (zp) -------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 33 NOP ------- 65C02
"0000" & "110010" & readZpX & aluInT & aluBit, -- 34 BIT zp,x -------- 65C02
"1000" & "100010" & readZpX & aluInT & aluAnd, -- 35 AND zp,x
"0000" & "100011" & rmwZpX & aluInT & aluRol, -- 36 ROL zp,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 37 NOP ------- 65C02
"0000" & "000001" & implied & aluInSet & aluFlg, -- 38 SEC
"1000" & "100010" & readAbsY & aluInT & aluAnd, -- 39 AND abs,y
"1000" & "100010" & implied & aluInA & aluDec, -- 3A DEC accu -------- 65C12
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 3B NOP ------- 65C02
"0000" & "110010" & readAbsX & aluInT & aluBit, -- 3C BIT abs,x ------- 65C02
"1000" & "100010" & readAbsX & aluInT & aluAnd, -- 3D AND abs,x
"0000" & "100011" & rmwAbsX & aluInT & aluRol, -- 3E ROL abs,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 3F NOP ------- 65C02
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "111111" & rti & aluInT & aluFlg, -- 40 RTI
"1000" & "100010" & readIndX & aluInT & aluEor, -- 41 EOR (zp,x)
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- 42 NOP ------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 43 NOP ------- 65C02
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- 44 NOP ------- 65C02
"1000" & "100010" & readZp & aluInT & aluEor, -- 45 EOR zp
"0000" & "100011" & rmwZp & aluInT & aluLsr, -- 46 LSR zp
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 47 NOP ------- 65C02
"0000" & "000000" & push & aluInA & aluInp, -- 48 PHA
"1000" & "100010" & immediate & aluInT & aluEor, -- 49 EOR imm
"1000" & "100011" & implied & aluInA & aluLsr, -- 4A LSR accu -------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 4B NOP ------- 65C02
"0000" & "000000" & jumpAbs & aluInXXX & aluXXX, -- 4C JMP abs
"1000" & "100010" & readAbs & aluInT & aluEor, -- 4D EOR abs
"0000" & "100011" & rmwAbs & aluInT & aluLsr, -- 4E LSR abs
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 4F NOP ------- 65C02
"0000" & "000000" & relative & aluInXXX & aluXXX, -- 50 BVC
"1000" & "100010" & readIndY & aluInT & aluEor, -- 51 EOR (zp),y
"1000" & "100010" & readInd & aluInT & aluEor, -- 52 EOR (zp) -------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 53 NOP ------- 65C02
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- 54 NOP ------- 65C02
"1000" & "100010" & readZpX & aluInT & aluEor, -- 55 EOR zp,x
"0000" & "100011" & rmwZpX & aluInT & aluLsr, -- 56 LSR zp,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 57 NOP ------- 65C02
"0000" & "000100" & implied & aluInClr & aluXXX, -- 58 CLI
"1000" & "100010" & readAbsY & aluInT & aluEor, -- 59 EOR abs,y
"0000" & "000000" & push & aluInY & aluInp, -- 5A PHY ------------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 5B NOP ------- 65C02
"0000" & "000000" & readAbs & aluInXXX & aluXXX, -- 5C NOP ------- 65C02
"1000" & "100010" & readAbsX & aluInT & aluEor, -- 5D EOR abs,x
"0000" & "100011" & rmwAbsX & aluInT & aluLsr, -- 5E LSR abs,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 5F NOP ------- 65C02
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "000000" & rts & aluInXXX & aluXXX, -- 60 RTS
"1000" & "110011" & readIndX & aluInT & aluAdc, -- 61 ADC (zp,x)
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- 62 NOP ------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 63 NOP ------- 65C02
"0000" & "000000" & writeZp & aluInClr & aluInp, -- 64 STZ zp ---------- 65C02
"1000" & "110011" & readZp & aluInT & aluAdc, -- 65 ADC zp
"0000" & "100011" & rmwZp & aluInT & aluRor, -- 66 ROR zp
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 67 NOP ------- 65C02
"1000" & "100010" & pop & aluInT & aluInp, -- 68 PLA
"1000" & "110011" & immediate & aluInT & aluAdc, -- 69 ADC imm
"1000" & "100011" & implied & aluInA & aluRor, -- 6A ROR accu
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 6B NOP ------ 65C02
"0000" & "000000" & jumpInd & aluInXXX & aluXXX, -- 6C JMP indirect
"1000" & "110011" & readAbs & aluInT & aluAdc, -- 6D ADC abs
"0000" & "100011" & rmwAbs & aluInT & aluRor, -- 6E ROR abs
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 6F NOP ------ 65C02
"0000" & "000000" & relative & aluInXXX & aluXXX, -- 70 BVS
"1000" & "110011" & readIndY & aluInT & aluAdc, -- 71 ADC (zp),y
"1000" & "110011" & readInd & aluInT & aluAdc, -- 72 ADC (zp) -------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 73 NOP ------ 65C02
"0000" & "000000" & writeZpX & aluInClr & aluInp, -- 74 STZ zp,x -------- 65C02
"1000" & "110011" & readZpX & aluInT & aluAdc, -- 75 ADC zp,x
"0000" & "100011" & rmwZpX & aluInT & aluRor, -- 76 ROR zp,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 77 NOP ----- 65C02
"0000" & "000100" & implied & aluInSet & aluXXX, -- 78 SEI
"1000" & "110011" & readAbsY & aluInT & aluAdc, -- 79 ADC abs,y
"0010" & "100010" & pop & aluInT & aluInp, -- 7A PLY ------------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 7B NOP ----- 65C02
"0000" & "000000" & jumpIndX & aluInXXX & aluXXX, -- 7C JMP indirect,x -- 65C02
--"0000" & "000000" & jumpInd & aluInXXX & aluXXX, -- 6C JMP indirect
"1000" & "110011" & readAbsX & aluInT & aluAdc, -- 7D ADC abs,x
"0000" & "100011" & rmwAbsX & aluInT & aluRor, -- 7E ROR abs,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 7F NOP ----- 65C02
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "000000" & relative & aluInXXX & aluXXX, -- 80 BRA ----------- 65C02
"0000" & "000000" & writeIndX & aluInA & aluInp, -- 81 STA (zp,x)
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- 82 NOP ----- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 83 NOP ----- 65C02
"0000" & "000000" & writeZp & aluInY & aluInp, -- 84 STY zp
"0000" & "000000" & writeZp & aluInA & aluInp, -- 85 STA zp
"0000" & "000000" & writeZp & aluInX & aluInp, -- 86 STX zp
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 87 NOP ----- 65C02
"0010" & "100010" & implied & aluInY & aluDec, -- 88 DEY
"0000" & "000010" & immediate & aluInT & aluBit, -- 89 BIT imm ------- 65C02
"1000" & "100010" & implied & aluInX & aluInp, -- 8A TXA
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 8B NOP ----- 65C02
"0000" & "000000" & writeAbs & aluInY & aluInp, -- 8C STY abs ------- 65C02
"0000" & "000000" & writeAbs & aluInA & aluInp, -- 8D STA abs
"0000" & "000000" & writeAbs & aluInX & aluInp, -- 8E STX abs
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 8F NOP ----- 65C02
"0000" & "000000" & relative & aluInXXX & aluXXX, -- 90 BCC
"0000" & "000000" & writeIndY & aluInA & aluInp, -- 91 STA (zp),y
"0000" & "000000" & writeInd & aluInA & aluInp, -- 92 STA (zp) ------ 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 93 NOP ----- 65C02
"0000" & "000000" & writeZpX & aluInY & aluInp, -- 94 STY zp,x
"0000" & "000000" & writeZpX & aluInA & aluInp, -- 95 STA zp,x
"0000" & "000000" & writeZpY & aluInX & aluInp, -- 96 STX zp,y
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 97 NOP ----- 65C02
"1000" & "100010" & implied & aluInY & aluInp, -- 98 TYA
"0000" & "000000" & writeAbsY & aluInA & aluInp, -- 99 STA abs,y
"0001" & "000000" & implied & aluInX & aluInp, -- 9A TXS
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 9B NOP ----- 65C02
"0000" & "000000" & writeAbs & aluInClr & aluInp, -- 9C STZ Abs ------- 65C02
"0000" & "000000" & writeAbsX & aluInA & aluInp, -- 9D STA abs,x
"0000" & "000000" & writeAbsX & aluInClr & aluInp, -- 9C STZ Abs,x ----- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 9F NOP ----- 65C02
-- AXYS NVDIZC addressing aluInput aluMode
"0010" & "100010" & immediate & aluInT & aluInp, -- A0 LDY imm
"1000" & "100010" & readIndX & aluInT & aluInp, -- A1 LDA (zp,x)
"0100" & "100010" & immediate & aluInT & aluInp, -- A2 LDX imm
"0000" & "000000" & implied & aluInXXX & aluXXX, -- A3 NOP ----- 65C02
"0010" & "100010" & readZp & aluInT & aluInp, -- A4 LDY zp
"1000" & "100010" & readZp & aluInT & aluInp, -- A5 LDA zp
"0100" & "100010" & readZp & aluInT & aluInp, -- A6 LDX zp
"0000" & "000000" & implied & aluInXXX & aluXXX, -- A7 NOP ----- 65C02
"0010" & "100010" & implied & aluInA & aluInp, -- A8 TAY
"1000" & "100010" & immediate & aluInT & aluInp, -- A9 LDA imm
"0100" & "100010" & implied & aluInA & aluInp, -- AA TAX
"0000" & "000000" & implied & aluInXXX & aluXXX, -- AB NOP ----- 65C02
"0010" & "100010" & readAbs & aluInT & aluInp, -- AC LDY abs
"1000" & "100010" & readAbs & aluInT & aluInp, -- AD LDA abs
"0100" & "100010" & readAbs & aluInT & aluInp, -- AE LDX abs
"0000" & "000000" & implied & aluInXXX & aluXXX, -- AF NOP ----- 65C02
"0000" & "000000" & relative & aluInXXX & aluXXX, -- B0 BCS
"1000" & "100010" & readIndY & aluInT & aluInp, -- B1 LDA (zp),y
"1000" & "100010" & readInd & aluInT & aluInp, -- B2 LDA (zp) ------ 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- B3 NOP ----- 65C02
"0010" & "100010" & readZpX & aluInT & aluInp, -- B4 LDY zp,x
"1000" & "100010" & readZpX & aluInT & aluInp, -- B5 LDA zp,x
"0100" & "100010" & readZpY & aluInT & aluInp, -- B6 LDX zp,y
"0000" & "000000" & implied & aluInXXX & aluXXX, -- B7 NOP ----- 65C02
"0000" & "010000" & implied & aluInClr & aluFlg, -- B8 CLV
"1000" & "100010" & readAbsY & aluInT & aluInp, -- B9 LDA abs,y
"0100" & "100010" & implied & aluInS & aluInp, -- BA TSX
"0000" & "000000" & implied & aluInXXX & aluXXX, -- BB NOP ----- 65C02
"0010" & "100010" & readAbsX & aluInT & aluInp, -- BC LDY abs,x
"1000" & "100010" & readAbsX & aluInT & aluInp, -- BD LDA abs,x
"0100" & "100010" & readAbsY & aluInT & aluInp, -- BE LDX abs,y
"0000" & "000000" & implied & aluInXXX & aluXXX, -- BF NOP ----- 65C02
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "100011" & immediate & aluInT & aluCpy, -- C0 CPY imm
"0000" & "100011" & readIndX & aluInT & aluCmp, -- C1 CMP (zp,x)
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- C2 NOP ----- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- C3 NOP ----- 65C02
"0000" & "100011" & readZp & aluInT & aluCpy, -- C4 CPY zp
"0000" & "100011" & readZp & aluInT & aluCmp, -- C5 CMP zp
"0000" & "100010" & rmwZp & aluInT & aluDec, -- C6 DEC zp
"0000" & "000000" & implied & aluInXXX & aluXXX, -- C7 NOP ----- 65C02
"0010" & "100010" & implied & aluInY & aluInc, -- C8 INY
"0000" & "100011" & immediate & aluInT & aluCmp, -- C9 CMP imm
"0100" & "100010" & implied & aluInX & aluDec, -- CA DEX
"0000" & "000000" & implied & aluInXXX & aluXXX, -- CB NOP ----- 65C02
"0000" & "100011" & readAbs & aluInT & aluCpy, -- CC CPY abs
"0000" & "100011" & readAbs & aluInT & aluCmp, -- CD CMP abs
"0000" & "100010" & rmwAbs & aluInT & aluDec, -- CE DEC abs
"0000" & "000000" & implied & aluInXXX & aluXXX, -- CF NOP ----- 65C02
"0000" & "000000" & relative & aluInXXX & aluXXX, -- D0 BNE
"0000" & "100011" & readIndY & aluInT & aluCmp, -- D1 CMP (zp),y
"0000" & "100011" & readInd & aluInT & aluCmp, -- D2 CMP (zp) ------ 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- D3 NOP ----- 65C02
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- D4 NOP ----- 65C02
"0000" & "100011" & readZpX & aluInT & aluCmp, -- D5 CMP zp,x
"0000" & "100010" & rmwZpX & aluInT & aluDec, -- D6 DEC zp,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- D7 NOP ----- 65C02
"0000" & "001000" & implied & aluInClr & aluXXX, -- D8 CLD
"0000" & "100011" & readAbsY & aluInT & aluCmp, -- D9 CMP abs,y
"0000" & "000000" & push & aluInX & aluInp, -- DA PHX ----------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- DB NOP ----- 65C02
"0000" & "000000" & readAbs & aluInXXX & aluXXX, -- DC NOP ----- 65C02
"0000" & "100011" & readAbsX & aluInT & aluCmp, -- DD CMP abs,x
"0000" & "100010" & rmwAbsX & aluInT & aluDec, -- DE DEC abs,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- DF NOP ----- 65C02
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "100011" & immediate & aluInT & aluCpx, -- E0 CPX imm
"1000" & "110011" & readIndX & aluInT & aluSbc, -- E1 SBC (zp,x)
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- E2 NOP ----- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- E3 NOP ----- 65C02
"0000" & "100011" & readZp & aluInT & aluCpx, -- E4 CPX zp
"1000" & "110011" & readZp & aluInT & aluSbc, -- E5 SBC zp
"0000" & "100010" & rmwZp & aluInT & aluInc, -- E6 INC zp
"0000" & "000000" & implied & aluInXXX & aluXXX, -- E7 NOP ----- 65C02
"0100" & "100010" & implied & aluInX & aluInc, -- E8 INX
"1000" & "110011" & immediate & aluInT & aluSbc, -- E9 SBC imm
"0000" & "000000" & implied & aluInXXX & aluXXX, -- EA NOP
"0000" & "000000" & implied & aluInXXX & aluXXX, -- EB NOP ----- 65C02
"0000" & "100011" & readAbs & aluInT & aluCpx, -- EC CPX abs
"1000" & "110011" & readAbs & aluInT & aluSbc, -- ED SBC abs
"0000" & "100010" & rmwAbs & aluInT & aluInc, -- EE INC abs
"0000" & "000000" & implied & aluInXXX & aluXXX, -- EF NOP ----- 65C02
"0000" & "000000" & relative & aluInXXX & aluXXX, -- F0 BEQ
"1000" & "110011" & readIndY & aluInT & aluSbc, -- F1 SBC (zp),y
"1000" & "110011" & readInd & aluInT & aluSbc, -- F2 SBC (zp) ------ 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- F3 NOP ----- 65C02
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- F4 NOP ----- 65C02
"1000" & "110011" & readZpX & aluInT & aluSbc, -- F5 SBC zp,x
"0000" & "100010" & rmwZpX & aluInT & aluInc, -- F6 INC zp,x
"0000" & "000000" & implied & aluInXXX & aluXXX, -- F7 NOP ---- 65C02
"0000" & "001000" & implied & aluInSet & aluXXX, -- F8 SED
"1000" & "110011" & readAbsY & aluInT & aluSbc, -- F9 SBC abs,y
"0100" & "100010" & pop & aluInT & aluInp, -- FA PLX ----------- 65C02
"0000" & "000000" & implied & aluInXXX & aluXXX, -- FB NOP ----- 65C02
"0000" & "000000" & readAbs & aluInXXX & aluXXX, -- FC NOP ----- 65C02
"1000" & "110011" & readAbsX & aluInT & aluSbc, -- FD SBC abs,x
"0000" & "100010" & rmwAbsX & aluInT & aluInc, -- FE INC abs,x
"0000" & "000000" & implied & aluInXXX & aluXXX -- FF NOP ----- 65C02
);
signal opcInfo : decodedBitsDef;
signal nextOpcInfo : decodedBitsDef; -- Next opcode (decoded)
signal nextOpcInfoReg : decodedBitsDef; -- Next opcode (decoded) pipelined
signal theOpcode : unsigned(7 downto 0);
signal nextOpcode : unsigned(7 downto 0);
-- Program counter
signal PC : unsigned(15 downto 0); -- Program counter
-- Address generation
type nextAddrDef is (
nextAddrHold,
nextAddrIncr,
nextAddrIncrL, -- Increment low bits only (zeropage accesses)
nextAddrIncrH, -- Increment high bits only (page-boundary)
nextAddrDecrH, -- Decrement high bits (branch backwards)
nextAddrPc,
nextAddrIrq,
nextAddrReset,
nextAddrAbs,
nextAddrAbsIndexed,
nextAddrZeroPage,
nextAddrZPIndexed,
nextAddrStack,
nextAddrRelative
);
signal nextAddr : nextAddrDef;
signal myAddr : unsigned(15 downto 0);
signal myAddrIncr : unsigned(15 downto 0);
signal myAddrIncrH : unsigned(7 downto 0);
signal myAddrDecrH : unsigned(7 downto 0);
signal theWe : std_logic;
signal irqActive : std_logic;
-- Output register
signal doReg : unsigned(7 downto 0);
-- Buffer register
signal T : unsigned(7 downto 0);
-- General registers
signal A: unsigned(7 downto 0); -- Accumulator
signal X: unsigned(7 downto 0); -- Index X
signal Y: unsigned(7 downto 0); -- Index Y
signal S: unsigned(7 downto 0); -- stack pointer
-- Status register
signal C: std_logic; -- Carry
signal Z: std_logic; -- Zero flag
signal I: std_logic; -- Interrupt flag
signal D: std_logic; -- Decimal mode
signal B: std_logic; -- Break software interrupt
signal R: std_logic; -- always 1
signal V: std_logic; -- Overflow
signal N: std_logic; -- Negative
-- ALU
-- ALU input
signal aluInput : unsigned(7 downto 0);
signal aluCmpInput : unsigned(7 downto 0);
-- ALU output
signal aluRegisterOut : unsigned(7 downto 0);
signal aluRmwOut : unsigned(7 downto 0);
signal aluC : std_logic;
signal aluZ : std_logic;
signal aluV : std_logic;
signal aluN : std_logic;
-- Indexing
signal indexOut : unsigned(8 downto 0);
signal realbrk : std_logic;
begin
processAluInput: process(clk, opcInfo, A, X, Y, T, S)
variable temp : unsigned(7 downto 0);
begin
temp := (others => '1');
if opcInfo(opcInA) = '1' then
temp := temp and A;
end if;
if opcInfo(opcInX) = '1' then
temp := temp and X;
end if;
if opcInfo(opcInY) = '1' then
temp := temp and Y;
end if;
if opcInfo(opcInS) = '1' then
temp := temp and S;
end if;
if opcInfo(opcInT) = '1' then
temp := temp and T;
end if;
if opcInfo(opcInBrk) = '1' then
temp := temp and "11100111"; -- also DMB clear D (bit 3)
end if;
if opcInfo(opcInClear) = '1' then
temp := (others => '0');
end if;
aluInput <= temp;
end process;
processCmpInput: process(clk, opcInfo, A, X, Y)
variable temp : unsigned(7 downto 0);
begin
temp := (others => '1');
if opcInfo(opcInCmp) = '1' then
temp := temp and A;
end if;
if opcInfo(opcInCpx) = '1' then
temp := temp and X;
end if;
if opcInfo(opcInCpy) = '1' then
temp := temp and Y;
end if;
aluCmpInput <= temp;
end process;
-- ALU consists of two parts
-- Read-Modify-Write or index instructions: INC/DEC/ASL/LSR/ROR/ROL
-- Accumulator instructions: ADC, SBC, EOR, AND, EOR, ORA
-- Some instructions are both RMW and accumulator so for most
-- instructions the rmw results are routed through accu alu too.
-- The B flag
------------
--No actual "B" flag exists inside the 6502's processor status register. The B
--flag only exists in the status flag byte pushed to the stack. Naturally,
--when the flags are restored (via PLP or RTI), the B bit is discarded.
--
--Depending on the means, the B status flag will be pushed to the stack as
--either 0 or 1.
--
--software instructions BRK & PHP will push the B flag as being 1.
--hardware interrupts IRQ & NMI will push the B flag as being 0.
processAlu: process(clk, opcInfo, aluInput, aluCmpInput, A, T, irqActive, N, V, R, D, I, Z, C)
variable lowBits: unsigned(5 downto 0);
variable nineBits: unsigned(8 downto 0);
variable rmwBits: unsigned(8 downto 0);
variable tsxBits: unsigned(8 downto 0);
variable varC : std_logic;
variable varZ : std_logic;
variable varV : std_logic;
variable varN : std_logic;
begin
lowBits := (others => '-');
nineBits := (others => '-');
rmwBits := (others => '-');
tsxBits := (others => '-');
R <= '1';
-- Shift unit
case opcInfo(aluMode1From to aluMode1To) is
when aluModeInp => rmwBits := C & aluInput;
when aluModeP => rmwBits := C & N & V & R & (not irqActive) & D & I & Z & C; -- irqActive
when aluModeInc => rmwBits := C & (aluInput + 1);
when aluModeDec => rmwBits := C & (aluInput - 1);
when aluModeAsl => rmwBits := aluInput & "0";
when aluModeTSB => rmwBits := "0" & (aluInput(7 downto 0) or A); -- added by alan for 65c02
tsxBits := "0" & (aluInput(7 downto 0) and A);
when aluModeTRB => rmwBits := "0" & (aluInput(7 downto 0) and (not A)); -- added by alan for 65c02
tsxBits := "0" & (aluInput(7 downto 0) and A);
when aluModeFlg => rmwBits := aluInput(0) & aluInput;
when aluModeLsr => rmwBits := aluInput(0) & "0" & aluInput(7 downto 1);
when aluModeRol => rmwBits := aluInput & C;
when aluModeRoR => rmwBits := aluInput(0) & C & aluInput(7 downto 1);
when others => rmwBits := C & aluInput;
end case;
-- ALU
case opcInfo(aluMode2From to aluMode2To) is
when aluModeAdc => lowBits := ("0" & A(3 downto 0) & rmwBits(8)) + ("0" & rmwBits(3 downto 0) & "1");
ninebits := ("0" & A) + ("0" & rmwBits(7 downto 0)) + (B"00000000" & rmwBits(8));
when aluModeSbc => lowBits := ("0" & A(3 downto 0) & rmwBits(8)) + ("0" & (not rmwBits(3 downto 0)) & "1");
ninebits := ("0" & A) + ("0" & (not rmwBits(7 downto 0))) + (B"00000000" & rmwBits(8));
when aluModeCmp => ninebits := ("0" & aluCmpInput) + ("0" & (not rmwBits(7 downto 0))) + "000000001";
when aluModeAnd => ninebits := rmwBits(8) & (A and rmwBits(7 downto 0));
when aluModeEor => ninebits := rmwBits(8) & (A xor rmwBits(7 downto 0));
when aluModeOra => ninebits := rmwBits(8) & (A or rmwBits(7 downto 0));
when aluModeNoF => ninebits := "000110000";
when others => ninebits := rmwBits;
end case;
varV := aluInput(6); -- Default for BIT / PLP / RTI
if (opcInfo(aluMode1From to aluMode1To) = aluModeFlg) then
varZ := rmwBits(1);
elsif (opcInfo(aluMode1From to aluMode1To) = aluModeTSB) or (opcInfo(aluMode1From to aluMode1To) = aluModeTRB) then
if tsxBits(7 downto 0) = X"00" then
varZ := '1';
else
varZ := '0';
end if;
elsif ninebits(7 downto 0) = X"00" then
varZ := '1';
else
varZ := '0';
end if;
if (opcInfo(aluMode1From to aluMode1To) = aluModeBit) or (opcInfo(aluMode1From to aluMode1To) = aluModeFlg) then
varN := rmwBits(7);
else
varN := nineBits(7);
end if;
varC := ninebits(8);
case opcInfo(aluMode2From to aluMode2To) is
-- Flags Affected: n v — — — — z c
-- n Set if most significant bit of result is set; else cleared.
-- v Set if signed overflow; cleared if valid signed result.
-- z Set if result is zero; else cleared.
-- c Set if unsigned overflow; cleared if valid unsigned result
when aluModeAdc =>
-- decimal mode low bits correction, is done after setting Z flag.
if D = '1' then
if lowBits(5 downto 1) > 9 then
ninebits(3 downto 0) := ninebits(3 downto 0) + 6;
if lowBits(5) = '0' then
ninebits(8 downto 4) := ninebits(8 downto 4) + 1;
end if;
end if;
end if;
when others => null;
end case;
case opcInfo(aluMode2From to aluMode2To) is
when aluModeAdc =>
-- decimal mode high bits correction, is done after setting Z and N flags
varV := (A(7) xor ninebits(7)) and (rmwBits(7) xor ninebits(7));
if D = '1' then
if ninebits(8 downto 4) > 9 then
ninebits(8 downto 4) := ninebits(8 downto 4) + 6;
varC := '1';
end if;
end if;
when aluModeSbc =>
varV := (A(7) xor ninebits(7)) and ((not rmwBits(7)) xor ninebits(7));
if D = '1' then
-- Check for borrow (lower 4 bits)
if lowBits(5) = '0' then
ninebits(7 downto 0) := ninebits(7 downto 0) - 6;
end if;
-- Check for borrow (upper 4 bits)
if ninebits(8) = '0' then
ninebits(8 downto 4) := ninebits(8 downto 4) - 6;
end if;
end if;
when others => null;
end case;
-- fix n and z flag for 65c02 adc sbc instructions in decimal mode
case opcInfo(aluMode2From to aluMode2To) is
when aluModeAdc =>
if D = '1' then
if ninebits(7 downto 0) = X"00" then
varZ := '1';
else
varZ := '0';
end if;
varN := ninebits(7);
end if;
when aluModeSbc =>
if D = '1' then
if ninebits(7 downto 0) = X"00" then
varZ := '1';
else
varZ := '0';
end if;
varN := ninebits(7);
end if;
when others => null;
end case;
-- DMB Remove Pipelining
-- if rising_edge(clk) then
aluRmwOut <= rmwBits(7 downto 0);
aluRegisterOut <= ninebits(7 downto 0);
aluC <= varC;
aluZ <= varZ;
aluV <= varV;
aluN <= varN;
-- end if;
end process;
calcInterrupt: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
if theCpuCycle = cycleStack4 or reset = '0' then
nmiReg <= '1';
end if;
if nextCpuCycle /= cycleBranchTaken and nextCpuCycle /= opcodeFetch then
irqReg <= irq_n;
nmiEdge <= nmi_n;
if (nmiEdge = '1') and (nmi_n = '0') then
nmiReg <= '0';
end if;
end if;
-- The 'or opcInfo(opcSetI)' prevents NMI immediately after BRK or IRQ.
-- Presumably this is done in the real 6502/6510 to prevent a double IRQ.
processIrq <= not ((nmiReg and (irqReg or I)) or opcInfo(opcIRQ));
end if;
end if;
end process;
--pipeirq: process(clk)
-- begin
-- if rising_edge(clk) then
-- if enable = '1' then
-- if (reset = '0') or (theCpuCycle = opcodeFetch) then
-- -- The 'or opcInfo(opcSetI)' prevents NMI immediately after BRK or IRQ.
-- -- Presumably this is done in the real 6502/6510 to prevent a double IRQ.
-- processIrq <= not ((nmiReg and (irqReg or I)) or opcInfo(opcIRQ));
-- end if;
-- end if;
-- end if;
-- end process;
calcNextOpcode: process(clk, di, reset, processIrq)
variable myNextOpcode : unsigned(7 downto 0);
begin
-- Next opcode is read from input unless a reset or IRQ is pending.
myNextOpcode := di;
if reset = '0' then
myNextOpcode := X"4C";
elsif processIrq = '1' then
myNextOpcode := X"00";
end if;
nextOpcode <= myNextOpcode;
end process;
nextOpcInfo <= opcodeInfoTable(to_integer(nextOpcode));
-- DMB Remove Pipelining
-- process(clk)
-- begin
-- if rising_edge(clk) then
nextOpcInfoReg <= nextOpcInfo;
-- end if;
-- end process;
-- Read bits and flags from opcodeInfoTable and store in opcInfo.
-- This info is used to control the execution of the opcode.
calcOpcInfo: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
if (reset = '0') or (theCpuCycle = opcodeFetch) then
opcInfo <= nextOpcInfo;
end if;
end if;
end if;
end process;
calcTheOpcode: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
if theCpuCycle = opcodeFetch then
irqActive <= '0';
if processIrq = '1' then
irqActive <= '1';
end if;
-- Fetch opcode
theOpcode <= nextOpcode;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- State machine
-- -----------------------------------------------------------------------
process(enable, theCpuCycle, opcInfo)
begin
updateRegisters <= false;
if enable = '1' then
if opcInfo(opcRti) = '1' then
if theCpuCycle = cycleRead then
updateRegisters <= true;
end if;
elsif theCpuCycle = opcodeFetch then
updateRegisters <= true;
end if;
end if;
end process;
process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
theCpuCycle <= nextCpuCycle;
end if;
if reset = '0' then
theCpuCycle <= cycle2;
end if;
end if;
end process;
-- Determine the next cpu cycle. After the last cycle we always
-- go to opcodeFetch to get the next opcode.
calcNextCpuCycle: process(theCpuCycle, opcInfo, theOpcode, indexOut, T, N, V, C, Z)
begin
nextCpuCycle <= opcodeFetch;
case theCpuCycle is
when opcodeFetch => nextCpuCycle <= cycle2;
when cycle2 => if opcInfo(opcBranch) = '1' then
if (N = theOpcode(5) and theOpcode(7 downto 6) = "00")
or (V = theOpcode(5) and theOpcode(7 downto 6) = "01")
or (C = theOpcode(5) and theOpcode(7 downto 6) = "10")
or (Z = theOpcode(5) and theOpcode(7 downto 6) = "11")
or (theOpcode(7 downto 0) = x"80") then -- Branch condition is true
nextCpuCycle <= cycleBranchTaken;
end if;
elsif (opcInfo(opcStackUp) = '1') then
nextCpuCycle <= cycleStack1;
elsif opcInfo(opcStackAddr) = '1' and opcInfo(opcStackData) = '1' then
nextCpuCycle <= cycleStack2;
elsif opcInfo(opcStackAddr) = '1' then
nextCpuCycle <= cycleStack1;
elsif opcInfo(opcStackData) = '1' then
nextCpuCycle <= cycleWrite;
elsif opcInfo(opcAbsolute) = '1' then
nextCpuCycle <= cycle3;
elsif opcInfo(opcIndirect) = '1' then
if opcInfo(indexX) = '1' then
nextCpuCycle <= cyclePreIndirect;
else
nextCpuCycle <= cycleIndirect;
end if;
elsif opcInfo(opcZeroPage) = '1' then
if opcInfo(opcWrite) = '1' then
if (opcInfo(indexX) = '1') or (opcInfo(indexY) = '1') then
nextCpuCycle <= cyclePreWrite;
else
nextCpuCycle <= cycleWrite;
end if;
else
if (opcInfo(indexX) = '1') or (opcInfo(indexY) = '1') then
nextCpuCycle <= cyclePreRead;
else
nextCpuCycle <= cycleRead2;
end if;
end if;
elsif opcInfo(opcJump) = '1' then
nextCpuCycle <= cycleJump;
end if;
when cycle3 => nextCpuCycle <= cycleRead;
if opcInfo(opcWrite) = '1' then
if (opcInfo(indexX) = '1') or (opcInfo(indexY) = '1') then
nextCpuCycle <= cyclePreWrite;
else
nextCpuCycle <= cycleWrite;
end if;
end if;
if (opcInfo(opcIndirect) = '1') and (opcInfo(indexX) = '1') then
if opcInfo(opcWrite) = '1' then
nextCpuCycle <= cycleWrite;
else
nextCpuCycle <= cycleRead2;
end if;
end if;
when cyclePreIndirect => nextCpuCycle <= cycleIndirect;
when cycleIndirect => nextCpuCycle <= cycle3;
when cycleBranchTaken => if indexOut(8) /= T(7) then
nextCpuCycle <= cycleBranchPage;
end if;
when cyclePreRead => if opcInfo(opcZeroPage) = '1' then
nextCpuCycle <= cycleRead2;
end if;
when cycleRead =>
if opcInfo(opcJump) = '1' then
nextCpuCycle <= cycleJump;
elsif indexOut(8) = '1' then
nextCpuCycle <= cycleRead2;
elsif opcInfo(opcRmw) = '1' then
nextCpuCycle <= cycleRmw;
if opcInfo(indexX) = '1' or opcInfo(indexY) = '1' then
nextCpuCycle <= cycleRead2;
end if;
end if;
when cycleRead2 => if opcInfo(opcRmw) = '1' then
nextCpuCycle <= cycleRmw;
end if;
when cycleRmw => nextCpuCycle <= cycleWrite;
when cyclePreWrite => nextCpuCycle <= cycleWrite;
when cycleStack1 => nextCpuCycle <= cycleRead;
if opcInfo(opcStackAddr) = '1' then
nextCpuCycle <= cycleStack2;
end if;
when cycleStack2 => nextCpuCycle <= cycleStack3;
if opcInfo(opcRti) = '1' then
nextCpuCycle <= cycleRead;
end if;
if opcInfo(opcStackData) = '0' and opcInfo(opcStackUp) = '1' then
nextCpuCycle <= cycleJump;
end if;
when cycleStack3 => nextCpuCycle <= cycleRead;
if opcInfo(opcStackData) = '0' or opcInfo(opcStackUp) = '1' then
nextCpuCycle <= cycleJump;
elsif opcInfo(opcStackAddr) = '1' then
nextCpuCycle <= cycleStack4;
end if;
when cycleStack4 => nextCpuCycle <= cycleRead;
when cycleJump => if opcInfo(opcIncrAfter) = '1' then
nextCpuCycle <= cycleEnd;
end if;
when others => null;
end case;
end process;
-- -----------------------------------------------------------------------
-- T register
-- -----------------------------------------------------------------------
calcT: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
case theCpuCycle is
when cycle2 => T <= di;
when cycleStack1 | cycleStack2 =>
if opcInfo(opcStackUp) = '1' then
if theOpcode = x"28" or theOpcode = x"40" then -- plp or rti pulling the flags off the stack
T <= (di or "00110000"); -- Read from stack
else
T <= di;
end if;
end if;
when cycleIndirect | cycleRead | cycleRead2 => T <= di;
when others => null;
end case;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- A register
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateA) = '1' then
A <= aluRegisterOut;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- X register
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateX) = '1' then
X <= aluRegisterOut;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- Y register
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateY) = '1' then
Y <= aluRegisterOut;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- C flag
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateC) = '1' then
C <= aluC;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- Z flag
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateZ) = '1' then
Z <= aluZ;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- I flag interupt flag
-- -----------------------------------------------------------------------
process(clk, reset)
begin
if reset = '0' then
I <= '1';
elsif rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateI) = '1' then
I <= aluInput(2);
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- D flag
-- -----------------------------------------------------------------------
process(clk, reset)
begin
if reset = '0' then
D <= '0';
elsif rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateD) = '1' then
D <= aluInput(3);
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- V flag
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateV) = '1' then
V <= aluV;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- N flag
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateN) = '1' then
N <= aluN;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- Stack pointer
-- -----------------------------------------------------------------------
process(clk)
variable sIncDec : unsigned(7 downto 0);
variable updateFlag : boolean;
begin
if rising_edge(clk) then
if opcInfo(opcStackUp) = '1' then
sIncDec := S + 1;
else
sIncDec := S - 1;
end if;
if enable = '1' then
updateFlag := false;
case nextCpuCycle is
when cycleStack1 =>
if (opcInfo(opcStackUp) = '1') or (opcInfo(opcStackData) = '1') then
updateFlag := true;
end if;
when cycleStack2 => updateFlag := true;
when cycleStack3 => updateFlag := true;
when cycleStack4 => updateFlag := true;
when cycleRead => if opcInfo(opcRti) = '1' then
updateFlag := true;
end if;
when cycleWrite => if opcInfo(opcStackData) = '1' then
updateFlag := true;
end if;
when others => null;
end case;
if updateFlag then
S <= sIncDec;
end if;
end if;
if updateRegisters then
if opcInfo(opcUpdateS) = '1' then
S <= aluRegisterOut;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- Data out
-- -----------------------------------------------------------------------
calcDo: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
doReg <= aluRmwOut;
case nextCpuCycle is
when cycleStack2 => if opcInfo(opcIRQ) = '1' and irqActive = '0' then
doReg <= myAddrIncr(15 downto 8);
else
doReg <= PC(15 downto 8);
end if;
when cycleStack3 => doReg <= PC(7 downto 0);
when cycleRmw => doReg <= di; -- Read-modify-write write old value first.
when others => null;
end case;
end if;
end if;
end process;
do <= doReg;
-- -----------------------------------------------------------------------
-- Write enable
-- -----------------------------------------------------------------------
calcWe: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
theWe <= '1';
case nextCpuCycle is
when cycleStack1 =>
if opcInfo(opcStackUp) = '0' and ((opcInfo(opcStackAddr) = '0') or (opcInfo(opcStackData) = '1')) then
theWe <= '0';
end if;
when cycleStack2 | cycleStack3 | cycleStack4 =>
if opcInfo(opcStackUp) = '0' then
theWe <= '0';
end if;
when cycleRmw => theWe <= '0';
when cycleWrite => theWe <= '0';
when others => null;
end case;
end if;
end if;
--nwe <= theWe;
end process;
nwe <= theWe;
-- -----------------------------------------------------------------------
-- Program counter
-- -----------------------------------------------------------------------
calcPC: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
case theCpuCycle is
when opcodeFetch => PC <= myAddr;
when cycle2 => if irqActive = '0' then
if opcInfo(opcSecondByte) = '1' then
PC <= myAddrIncr;
else
PC <= myAddr;
end if;
end if;
when cycle3 => if opcInfo(opcAbsolute) = '1' then
PC <= myAddrIncr;
end if;
when others => null;
end case;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- Address generation
-- -----------------------------------------------------------------------
calcNextAddr: process(theCpuCycle, opcInfo, indexOut, T, reset)
begin
nextAddr <= nextAddrIncr;
case theCpuCycle is
when cycle2 => if opcInfo(opcStackAddr) = '1' or opcInfo(opcStackData) = '1' then
nextAddr <= nextAddrStack;
elsif opcInfo(opcAbsolute) = '1' then
nextAddr <= nextAddrIncr;
elsif opcInfo(opcZeroPage) = '1' then
nextAddr <= nextAddrZeroPage;
elsif opcInfo(opcIndirect) = '1' then
nextAddr <= nextAddrZeroPage;
elsif opcInfo(opcSecondByte) = '1' then
nextAddr <= nextAddrIncr;
else
nextAddr <= nextAddrHold;
end if;
when cycle3 => if (opcInfo(opcIndirect) = '1') and (opcInfo(indexX) = '1') then
nextAddr <= nextAddrAbs;
else
nextAddr <= nextAddrAbsIndexed;
end if;
when cyclePreIndirect => nextAddr <= nextAddrZPIndexed;
when cycleIndirect => nextAddr <= nextAddrIncrL;
when cycleBranchTaken => nextAddr <= nextAddrRelative;
when cycleBranchPage => if T(7) = '0' then
nextAddr <= nextAddrIncrH;
else
nextAddr <= nextAddrDecrH;
end if;
when cyclePreRead => nextAddr <= nextAddrZPIndexed;
when cycleRead => nextAddr <= nextAddrPc;
if opcInfo(opcJump) = '1' then
-- Emulate 6510 bug, jmp(xxFF) fetches from same page.
-- Replace with nextAddrIncr if emulating 65C02 or later cpu.
nextAddr <= nextAddrIncr;
--nextAddr <= nextAddrIncrL;
elsif indexOut(8) = '1' then
nextAddr <= nextAddrIncrH;
elsif opcInfo(opcRmw) = '1' then
nextAddr <= nextAddrHold;
end if;
when cycleRead2 => nextAddr <= nextAddrPc;
if opcInfo(opcRmw) = '1' then
nextAddr <= nextAddrHold;
end if;
when cycleRmw => nextAddr <= nextAddrHold;
when cyclePreWrite => nextAddr <= nextAddrHold;
if opcInfo(opcZeroPage) = '1' then
nextAddr <= nextAddrZPIndexed;
elsif indexOut(8) = '1' then
nextAddr <= nextAddrIncrH;
end if;
when cycleWrite => nextAddr <= nextAddrPc;
when cycleStack1 => nextAddr <= nextAddrStack;
when cycleStack2 => nextAddr <= nextAddrStack;
when cycleStack3 => nextAddr <= nextAddrStack;
if opcInfo(opcStackData) = '0' then
nextAddr <= nextAddrPc;
end if;
when cycleStack4 => nextAddr <= nextAddrIrq;
when cycleJump => nextAddr <= nextAddrAbs;
when others => null;
end case;
if reset = '0' then
nextAddr <= nextAddrReset;
end if;
end process;
indexAlu: process(opcInfo, myAddr, T, X, Y)
begin
if opcInfo(indexX) = '1' then
indexOut <= (B"0" & T) + (B"0" & X);
elsif opcInfo(indexY) = '1' then
indexOut <= (B"0" & T) + (B"0" & Y);
elsif opcInfo(opcBranch) = '1' then
indexOut <= (B"0" & T) + (B"0" & myAddr(7 downto 0));
else
indexOut <= B"0" & T;
end if;
end process;
calcAddr: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
case nextAddr is
when nextAddrIncr => myAddr <= myAddrIncr;
when nextAddrIncrL => myAddr(7 downto 0) <= myAddrIncr(7 downto 0);
when nextAddrIncrH => myAddr(15 downto 8) <= myAddrIncrH;
when nextAddrDecrH => myAddr(15 downto 8) <= myAddrDecrH;
when nextAddrPc => myAddr <= PC;
when nextAddrIrq =>myAddr <= X"FFFE";
if nmiReg = '0' then
myAddr <= X"FFFA";
end if;
when nextAddrReset => myAddr <= X"FFFC";
when nextAddrAbs => myAddr <= di & T;
when nextAddrAbsIndexed =>--myAddr <= di & indexOut(7 downto 0);
if theOpcode = x"7C" then
myAddr <= (di & T) + (x"00"& X);
else
myAddr <= di & indexOut(7 downto 0);
end if;
when nextAddrZeroPage => myAddr <= "00000000" & di;
when nextAddrZPIndexed => myAddr <= "00000000" & indexOut(7 downto 0);
when nextAddrStack => myAddr <= "00000001" & S;
when nextAddrRelative => myAddr(7 downto 0) <= indexOut(7 downto 0);
when others => null;
end case;
end if;
end if;
end process;
myAddrIncr <= myAddr + 1;
myAddrIncrH <= myAddr(15 downto 8) + 1;
myAddrDecrH <= myAddr(15 downto 8) - 1;
addr <= myAddr;
-- DMB This looked plain broken and inferred a latch
--
-- calcsync: process(clk)
-- begin
--
-- if enable = '1' then
-- case theCpuCycle is
-- when opcodeFetch => sync <= '1';
-- when others => sync <= '0';
-- end case;
-- end if;
-- end process;
sync <= '1' when theCpuCycle = opcodeFetch else '0';
sync_irq <= irqActive;
Regs <= std_logic_vector(PC) &
"00000001" & std_logic_vector(S)&
N & V & R & B & D & I & Z & C &
std_logic_vector(Y) &
std_logic_vector(X) &
std_logic_vector(A);
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library UNISIM;
use UNISIM.Vcomponents.all;
entity DCM0 is
port (CLKIN_IN : in std_logic;
CLK0_OUT : out std_logic;
CLK0_OUT1 : out std_logic;
CLK2X_OUT : out std_logic);
end DCM0;
architecture BEHAVIORAL of DCM0 is
signal CLKFX_BUF : std_logic;
signal CLKIN_IBUFG : std_logic;
signal GND_BIT : std_logic;
begin
GND_BIT <= '0';
CLKFX_BUFG_INST : BUFG
port map (I => CLKFX_BUF, O => CLK0_OUT);
DCM_INST : DCM
generic map(CLK_FEEDBACK => "NONE",
CLKDV_DIVIDE => 4.0, -- 25.368 =49.152 * 16 / 31
CLKFX_DIVIDE => 31,
CLKFX_MULTIPLY => 16,
CLKIN_DIVIDE_BY_2 => false,
CLKIN_PERIOD => 20.344,
CLKOUT_PHASE_SHIFT => "NONE",
DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
DFS_FREQUENCY_MODE => "LOW",
DLL_FREQUENCY_MODE => "LOW",
DUTY_CYCLE_CORRECTION => true,
FACTORY_JF => x"C080",
PHASE_SHIFT => 0,
STARTUP_WAIT => false)
port map (CLKFB => GND_BIT,
CLKIN => CLKIN_IN,
DSSEN => GND_BIT,
PSCLK => GND_BIT,
PSEN => GND_BIT,
PSINCDEC => GND_BIT,
RST => GND_BIT,
CLKDV => open,
CLKFX => CLKFX_BUF,
CLKFX180 => open,
CLK0 => open,
CLK2X => CLK2X_OUT,
CLK2X180 => open,
CLK90 => open,
CLK180 => open,
CLK270 => open,
LOCKED => open,
PSDONE => open,
STATUS => open);
end BEHAVIORAL;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library UNISIM;
use UNISIM.Vcomponents.all;
entity DCM0 is
port (CLKIN_IN : in std_logic;
CLK0_OUT : out std_logic;
CLK0_OUT1 : out std_logic;
CLK2X_OUT : out std_logic);
end DCM0;
architecture BEHAVIORAL of DCM0 is
signal CLKFX_BUF : std_logic;
signal CLKIN_IBUFG : std_logic;
signal GND_BIT : std_logic;
begin
GND_BIT <= '0';
CLKFX_BUFG_INST : BUFG
port map (I => CLKFX_BUF, O => CLK0_OUT);
DCM_INST : DCM
generic map(CLK_FEEDBACK => "NONE",
CLKDV_DIVIDE => 4.0, -- 25.368 =49.152 * 16 / 31
CLKFX_DIVIDE => 31,
CLKFX_MULTIPLY => 16,
CLKIN_DIVIDE_BY_2 => false,
CLKIN_PERIOD => 20.344,
CLKOUT_PHASE_SHIFT => "NONE",
DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
DFS_FREQUENCY_MODE => "LOW",
DLL_FREQUENCY_MODE => "LOW",
DUTY_CYCLE_CORRECTION => true,
FACTORY_JF => x"C080",
PHASE_SHIFT => 0,
STARTUP_WAIT => false)
port map (CLKFB => GND_BIT,
CLKIN => CLKIN_IN,
DSSEN => GND_BIT,
PSCLK => GND_BIT,
PSEN => GND_BIT,
PSINCDEC => GND_BIT,
RST => GND_BIT,
CLKDV => open,
CLKFX => CLKFX_BUF,
CLKFX180 => open,
CLK0 => open,
CLK2X => CLK2X_OUT,
CLK2X180 => open,
CLK90 => open,
CLK180 => open,
CLK270 => open,
LOCKED => open,
PSDONE => open,
STATUS => open);
end BEHAVIORAL;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: mmu_cache
-- File: mmu_cache.vhd
-- Author: Jiri Gaisler
-- Description: Cache controllers and AHB interface
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.libiu.all;
use gaisler.libcache.all;
use gaisler.libleon3.all;
use gaisler.mmuconfig.all;
use gaisler.mmuiface.all;
use gaisler.libmmu.all;
entity mmu_cache is
generic (
hindex : integer := 0;
memtech : integer range 0 to NTECH := 0;
dsu : integer range 0 to 1 := 0;
icen : integer range 0 to 1 := 0;
irepl : integer range 0 to 3 := 0;
isets : integer range 1 to 4 := 1;
ilinesize : integer range 4 to 8 := 4;
isetsize : integer range 1 to 256 := 1;
isetlock : integer range 0 to 1 := 0;
dcen : integer range 0 to 1 := 0;
drepl : integer range 0 to 3 := 0;
dsets : integer range 1 to 4 := 1;
dlinesize : integer range 4 to 8 := 4;
dsetsize : integer range 1 to 256 := 1;
dsetlock : integer range 0 to 1 := 0;
dsnoop : integer range 0 to 6 := 0;
ilram : integer range 0 to 1 := 0;
ilramsize : integer range 1 to 512 := 1;
ilramstart : integer range 0 to 255 := 16#8e#;
dlram : integer range 0 to 1 := 0;
dlramsize : integer range 1 to 512 := 1;
dlramstart : integer range 0 to 255 := 16#8f#;
itlbnum : integer range 2 to 64 := 8;
dtlbnum : integer range 2 to 64 := 8;
tlb_type : integer range 0 to 3 := 1;
tlb_rep : integer range 0 to 1 := 0;
cached : integer := 0;
clk2x : integer := 0;
scantest : integer := 0;
mmupgsz : integer range 0 to 5 := 0;
smp : integer := 0;
mmuen : integer range 0 to 1 := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ici : in icache_in_type;
ico : out icache_out_type;
dci : in dcache_in_type;
dco : out dcache_out_type;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : in ahb_slv_out_vector;
crami : out cram_in_type;
cramo : in cram_out_type;
fpuholdn : in std_ulogic;
hclk, sclk : in std_ulogic;
hclken : in std_ulogic
);
end;
architecture rtl of mmu_cache is
signal icol : icache_out_type;
signal dcol : dcache_out_type;
signal mcii : memory_ic_in_type;
signal mcio : memory_ic_out_type;
signal mcdi : memory_dc_in_type;
signal mcdo : memory_dc_out_type;
signal mcmmi : memory_mm_in_type;
signal mcmmo : memory_mm_out_type;
signal mmudci : mmudc_in_type;
signal mmudco : mmudc_out_type;
signal mmuici : mmuic_in_type;
signal mmuico : mmuic_out_type;
signal ahbsi2 : ahb_slv_in_type;
signal ahbi2 : ahb_mst_in_type;
signal ahbo2 : ahb_mst_out_type;
signal gndv: std_logic_vector(1 downto 0);
begin
gndv <= (others => '0');
icache0 : mmu_icache
generic map (icen, irepl, isets, ilinesize, isetsize, isetlock, ilram,
ilramsize, ilramstart,
mmuen)
port map (rst, clk, ici, icol, dci, dcol, mcii, mcio,
crami.icramin, cramo.icramo, fpuholdn, mmudci, mmuici, mmuico);
dcache0 : mmu_dcache
generic map (dsu, dcen, drepl, dsets, dlinesize, dsetsize, dsetlock, dsnoop,
dlram, dlramsize, dlramstart, ilram, ilramstart,
itlbnum, dtlbnum, tlb_type,
memtech, cached, mmupgsz, smp, mmuen)
port map (rst, clk, dci, dcol, icol, mcdi, mcdo, ahbsi2,
crami.dcramin, cramo.dcramo, fpuholdn, mmudci, mmudco, sclk, ahbso);
-- AMBA AHB interface
a0 : mmu_acache
generic map (hindex, ilinesize, cached, clk2x, scantest
)
port map (rst, sclk, mcii, mcio, mcdi, mcdo, mcmmi, mcmmo, ahbi2, ahbo2, ahbso, hclken);
-- MMU
mmugen : if mmuen = 1 generate
m0 : mmu
generic map (memtech, itlbnum, dtlbnum, tlb_type, tlb_rep, mmupgsz, 1)
port map (rst, clk, mmudci, mmudco, mmuici, mmuico, mcmmo, mcmmi,
gndv(0), gndv(1 downto 0), open);
end generate;
nommu : if mmuen = 0 generate
mcmmi <= mci_zero; mmudco <= mmudco_zero; mmuico <= mmuico_zero;
end generate;
ico <= icol;
dco <= dcol;
clk2xgen: if clk2x /= 0 generate
sync0 : clk2xsync generic map (hindex, clk2x)
port map (rst, hclk, clk, ahbi, ahbi2, ahbo2, ahbo, ahbsi, ahbsi2,
mcii, mcdi, mcdo, mcmmi.req, mcmmo.grant, hclken);
end generate;
noclk2x : if clk2x = 0 generate
ahbsi2 <= ahbsi;
ahbi2 <= ahbi;
ahbo <= ahbo2;
end generate;
end;
|
library ieee;
use ieee.std_logic_1164.all;
use work.arch_defs.all;
use work.txt_utils.all;
entity MUX1bit is
-- With VHDL2008 we could MAX type generic and make this obsolete
port (
sel: in ctrl_t;
input0 : in std_logic;
input1 : in std_logic;
output : out std_logic
);
end entity;
architecture behav of MUX1bit is
begin
output <= input0 when sel = '0' else input1;
end architecture behav;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VBYbGwO/HAKGC3VUbR8sfTM3DEm/zYtt7XfuTUQm1aDgprMgAnCXOW1AjWlFh/q4RdtJSVqMDxdK
bsi45Ak06w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K1CQefQqfbRMnajU1lqWXjvpR0SXlfqmJ38eDZxrWftvcdPAyZpgLYBU2kuqc3yCueWKITvJlOxe
MkrTLioDWGWJsHrxfd0jlT/WkCYLY5/JvfqUGKClIsOoSlO154U8is9Og1dJXshpnlTKe5wlvtR0
nwXmJGRs6zy26jgBTNY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YM3DY7NgABkFNFo73owKf8ltU1TcMZitA7Ak7hHKdldVZ8QpY5qWOvSTh4euOLAoY+zaEH5YhX0D
YmkHUVVy+6iiha11Zz/0NQyIvr+4K6AOkhV50pqKCU5QcJVT0UTjboSt0jwIyqTuQTb0v+y6DjC6
WIPYPnXLIgiKZU9lxbg8vv26ia6f1j4pBqYZnsMIJ6le/+xhZkP2WwYVtFPXt4LhX+UkWjRPnwms
wqAyVC2ZN2oS3SnvQdRQm83UWrRJkOEKRnx+fPKCXFUslCKqq16WzfSlZbD+/vGQG/aDLRHT8b4y
awIwMB2zWfzM4VsmVw/73IFyXBuehp7ZPmPCfA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ePKdSVz045exe4Dfdm3AhnEuUQ/kh73Cs/DOz67WLxW6jTiPxyjIzIagRWcIFD53atP0FnYYV6RM
VToq1VjfpIB7FDeOywCZGOpuPMJXyE4vpzPVmO8z2ale6D4R4wL3p4mlsOzDVpqJRqJNo2v7dn7Z
Aub/O0NQaU4qDMGL/c4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gurUCrFhb7/wCA6+WYt4RxDmumDPXUkhqhYxrSj5XWhjw+zW78yAbOCuK1QXuFjhUUqbTovPugtB
QrBTFZlhTCNOrc+NPiTmw9ndA155DQtqJedmgHkfYykyNrDR5XMhdqf6dGKhNcTSCXiHcZ13ycy5
spzUsJunWkjeQILHOc567LsQz6kxC2m/Y3JYiUKR/uSX89r4YcEbEMeVdU1ncndtabsexE8OfKzE
tcllQDsjwkOxpxCGaFKmCBPwhqv2RBCxeg3hB+kwI1MajDlJEA74YOomo0FVUDuR5Sd3HbIRzlN9
34lID6bJGRDUa5OrdOGN6oesWGoG7YPoq8xkLw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13056)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VBYbGwO/HAKGC3VUbR8sfTM3DEm/zYtt7XfuTUQm1aDgprMgAnCXOW1AjWlFh/q4RdtJSVqMDxdK
bsi45Ak06w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K1CQefQqfbRMnajU1lqWXjvpR0SXlfqmJ38eDZxrWftvcdPAyZpgLYBU2kuqc3yCueWKITvJlOxe
MkrTLioDWGWJsHrxfd0jlT/WkCYLY5/JvfqUGKClIsOoSlO154U8is9Og1dJXshpnlTKe5wlvtR0
nwXmJGRs6zy26jgBTNY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YM3DY7NgABkFNFo73owKf8ltU1TcMZitA7Ak7hHKdldVZ8QpY5qWOvSTh4euOLAoY+zaEH5YhX0D
YmkHUVVy+6iiha11Zz/0NQyIvr+4K6AOkhV50pqKCU5QcJVT0UTjboSt0jwIyqTuQTb0v+y6DjC6
WIPYPnXLIgiKZU9lxbg8vv26ia6f1j4pBqYZnsMIJ6le/+xhZkP2WwYVtFPXt4LhX+UkWjRPnwms
wqAyVC2ZN2oS3SnvQdRQm83UWrRJkOEKRnx+fPKCXFUslCKqq16WzfSlZbD+/vGQG/aDLRHT8b4y
awIwMB2zWfzM4VsmVw/73IFyXBuehp7ZPmPCfA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ePKdSVz045exe4Dfdm3AhnEuUQ/kh73Cs/DOz67WLxW6jTiPxyjIzIagRWcIFD53atP0FnYYV6RM
VToq1VjfpIB7FDeOywCZGOpuPMJXyE4vpzPVmO8z2ale6D4R4wL3p4mlsOzDVpqJRqJNo2v7dn7Z
Aub/O0NQaU4qDMGL/c4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gurUCrFhb7/wCA6+WYt4RxDmumDPXUkhqhYxrSj5XWhjw+zW78yAbOCuK1QXuFjhUUqbTovPugtB
QrBTFZlhTCNOrc+NPiTmw9ndA155DQtqJedmgHkfYykyNrDR5XMhdqf6dGKhNcTSCXiHcZ13ycy5
spzUsJunWkjeQILHOc567LsQz6kxC2m/Y3JYiUKR/uSX89r4YcEbEMeVdU1ncndtabsexE8OfKzE
tcllQDsjwkOxpxCGaFKmCBPwhqv2RBCxeg3hB+kwI1MajDlJEA74YOomo0FVUDuR5Sd3HbIRzlN9
34lID6bJGRDUa5OrdOGN6oesWGoG7YPoq8xkLw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13056)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VBYbGwO/HAKGC3VUbR8sfTM3DEm/zYtt7XfuTUQm1aDgprMgAnCXOW1AjWlFh/q4RdtJSVqMDxdK
bsi45Ak06w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K1CQefQqfbRMnajU1lqWXjvpR0SXlfqmJ38eDZxrWftvcdPAyZpgLYBU2kuqc3yCueWKITvJlOxe
MkrTLioDWGWJsHrxfd0jlT/WkCYLY5/JvfqUGKClIsOoSlO154U8is9Og1dJXshpnlTKe5wlvtR0
nwXmJGRs6zy26jgBTNY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YM3DY7NgABkFNFo73owKf8ltU1TcMZitA7Ak7hHKdldVZ8QpY5qWOvSTh4euOLAoY+zaEH5YhX0D
YmkHUVVy+6iiha11Zz/0NQyIvr+4K6AOkhV50pqKCU5QcJVT0UTjboSt0jwIyqTuQTb0v+y6DjC6
WIPYPnXLIgiKZU9lxbg8vv26ia6f1j4pBqYZnsMIJ6le/+xhZkP2WwYVtFPXt4LhX+UkWjRPnwms
wqAyVC2ZN2oS3SnvQdRQm83UWrRJkOEKRnx+fPKCXFUslCKqq16WzfSlZbD+/vGQG/aDLRHT8b4y
awIwMB2zWfzM4VsmVw/73IFyXBuehp7ZPmPCfA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ePKdSVz045exe4Dfdm3AhnEuUQ/kh73Cs/DOz67WLxW6jTiPxyjIzIagRWcIFD53atP0FnYYV6RM
VToq1VjfpIB7FDeOywCZGOpuPMJXyE4vpzPVmO8z2ale6D4R4wL3p4mlsOzDVpqJRqJNo2v7dn7Z
Aub/O0NQaU4qDMGL/c4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gurUCrFhb7/wCA6+WYt4RxDmumDPXUkhqhYxrSj5XWhjw+zW78yAbOCuK1QXuFjhUUqbTovPugtB
QrBTFZlhTCNOrc+NPiTmw9ndA155DQtqJedmgHkfYykyNrDR5XMhdqf6dGKhNcTSCXiHcZ13ycy5
spzUsJunWkjeQILHOc567LsQz6kxC2m/Y3JYiUKR/uSX89r4YcEbEMeVdU1ncndtabsexE8OfKzE
tcllQDsjwkOxpxCGaFKmCBPwhqv2RBCxeg3hB+kwI1MajDlJEA74YOomo0FVUDuR5Sd3HbIRzlN9
34lID6bJGRDUa5OrdOGN6oesWGoG7YPoq8xkLw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13056)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VBYbGwO/HAKGC3VUbR8sfTM3DEm/zYtt7XfuTUQm1aDgprMgAnCXOW1AjWlFh/q4RdtJSVqMDxdK
bsi45Ak06w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K1CQefQqfbRMnajU1lqWXjvpR0SXlfqmJ38eDZxrWftvcdPAyZpgLYBU2kuqc3yCueWKITvJlOxe
MkrTLioDWGWJsHrxfd0jlT/WkCYLY5/JvfqUGKClIsOoSlO154U8is9Og1dJXshpnlTKe5wlvtR0
nwXmJGRs6zy26jgBTNY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YM3DY7NgABkFNFo73owKf8ltU1TcMZitA7Ak7hHKdldVZ8QpY5qWOvSTh4euOLAoY+zaEH5YhX0D
YmkHUVVy+6iiha11Zz/0NQyIvr+4K6AOkhV50pqKCU5QcJVT0UTjboSt0jwIyqTuQTb0v+y6DjC6
WIPYPnXLIgiKZU9lxbg8vv26ia6f1j4pBqYZnsMIJ6le/+xhZkP2WwYVtFPXt4LhX+UkWjRPnwms
wqAyVC2ZN2oS3SnvQdRQm83UWrRJkOEKRnx+fPKCXFUslCKqq16WzfSlZbD+/vGQG/aDLRHT8b4y
awIwMB2zWfzM4VsmVw/73IFyXBuehp7ZPmPCfA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ePKdSVz045exe4Dfdm3AhnEuUQ/kh73Cs/DOz67WLxW6jTiPxyjIzIagRWcIFD53atP0FnYYV6RM
VToq1VjfpIB7FDeOywCZGOpuPMJXyE4vpzPVmO8z2ale6D4R4wL3p4mlsOzDVpqJRqJNo2v7dn7Z
Aub/O0NQaU4qDMGL/c4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gurUCrFhb7/wCA6+WYt4RxDmumDPXUkhqhYxrSj5XWhjw+zW78yAbOCuK1QXuFjhUUqbTovPugtB
QrBTFZlhTCNOrc+NPiTmw9ndA155DQtqJedmgHkfYykyNrDR5XMhdqf6dGKhNcTSCXiHcZ13ycy5
spzUsJunWkjeQILHOc567LsQz6kxC2m/Y3JYiUKR/uSX89r4YcEbEMeVdU1ncndtabsexE8OfKzE
tcllQDsjwkOxpxCGaFKmCBPwhqv2RBCxeg3hB+kwI1MajDlJEA74YOomo0FVUDuR5Sd3HbIRzlN9
34lID6bJGRDUa5OrdOGN6oesWGoG7YPoq8xkLw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13056)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VBYbGwO/HAKGC3VUbR8sfTM3DEm/zYtt7XfuTUQm1aDgprMgAnCXOW1AjWlFh/q4RdtJSVqMDxdK
bsi45Ak06w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K1CQefQqfbRMnajU1lqWXjvpR0SXlfqmJ38eDZxrWftvcdPAyZpgLYBU2kuqc3yCueWKITvJlOxe
MkrTLioDWGWJsHrxfd0jlT/WkCYLY5/JvfqUGKClIsOoSlO154U8is9Og1dJXshpnlTKe5wlvtR0
nwXmJGRs6zy26jgBTNY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YM3DY7NgABkFNFo73owKf8ltU1TcMZitA7Ak7hHKdldVZ8QpY5qWOvSTh4euOLAoY+zaEH5YhX0D
YmkHUVVy+6iiha11Zz/0NQyIvr+4K6AOkhV50pqKCU5QcJVT0UTjboSt0jwIyqTuQTb0v+y6DjC6
WIPYPnXLIgiKZU9lxbg8vv26ia6f1j4pBqYZnsMIJ6le/+xhZkP2WwYVtFPXt4LhX+UkWjRPnwms
wqAyVC2ZN2oS3SnvQdRQm83UWrRJkOEKRnx+fPKCXFUslCKqq16WzfSlZbD+/vGQG/aDLRHT8b4y
awIwMB2zWfzM4VsmVw/73IFyXBuehp7ZPmPCfA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ePKdSVz045exe4Dfdm3AhnEuUQ/kh73Cs/DOz67WLxW6jTiPxyjIzIagRWcIFD53atP0FnYYV6RM
VToq1VjfpIB7FDeOywCZGOpuPMJXyE4vpzPVmO8z2ale6D4R4wL3p4mlsOzDVpqJRqJNo2v7dn7Z
Aub/O0NQaU4qDMGL/c4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gurUCrFhb7/wCA6+WYt4RxDmumDPXUkhqhYxrSj5XWhjw+zW78yAbOCuK1QXuFjhUUqbTovPugtB
QrBTFZlhTCNOrc+NPiTmw9ndA155DQtqJedmgHkfYykyNrDR5XMhdqf6dGKhNcTSCXiHcZ13ycy5
spzUsJunWkjeQILHOc567LsQz6kxC2m/Y3JYiUKR/uSX89r4YcEbEMeVdU1ncndtabsexE8OfKzE
tcllQDsjwkOxpxCGaFKmCBPwhqv2RBCxeg3hB+kwI1MajDlJEA74YOomo0FVUDuR5Sd3HbIRzlN9
34lID6bJGRDUa5OrdOGN6oesWGoG7YPoq8xkLw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13056)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VBYbGwO/HAKGC3VUbR8sfTM3DEm/zYtt7XfuTUQm1aDgprMgAnCXOW1AjWlFh/q4RdtJSVqMDxdK
bsi45Ak06w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K1CQefQqfbRMnajU1lqWXjvpR0SXlfqmJ38eDZxrWftvcdPAyZpgLYBU2kuqc3yCueWKITvJlOxe
MkrTLioDWGWJsHrxfd0jlT/WkCYLY5/JvfqUGKClIsOoSlO154U8is9Og1dJXshpnlTKe5wlvtR0
nwXmJGRs6zy26jgBTNY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YM3DY7NgABkFNFo73owKf8ltU1TcMZitA7Ak7hHKdldVZ8QpY5qWOvSTh4euOLAoY+zaEH5YhX0D
YmkHUVVy+6iiha11Zz/0NQyIvr+4K6AOkhV50pqKCU5QcJVT0UTjboSt0jwIyqTuQTb0v+y6DjC6
WIPYPnXLIgiKZU9lxbg8vv26ia6f1j4pBqYZnsMIJ6le/+xhZkP2WwYVtFPXt4LhX+UkWjRPnwms
wqAyVC2ZN2oS3SnvQdRQm83UWrRJkOEKRnx+fPKCXFUslCKqq16WzfSlZbD+/vGQG/aDLRHT8b4y
awIwMB2zWfzM4VsmVw/73IFyXBuehp7ZPmPCfA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ePKdSVz045exe4Dfdm3AhnEuUQ/kh73Cs/DOz67WLxW6jTiPxyjIzIagRWcIFD53atP0FnYYV6RM
VToq1VjfpIB7FDeOywCZGOpuPMJXyE4vpzPVmO8z2ale6D4R4wL3p4mlsOzDVpqJRqJNo2v7dn7Z
Aub/O0NQaU4qDMGL/c4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gurUCrFhb7/wCA6+WYt4RxDmumDPXUkhqhYxrSj5XWhjw+zW78yAbOCuK1QXuFjhUUqbTovPugtB
QrBTFZlhTCNOrc+NPiTmw9ndA155DQtqJedmgHkfYykyNrDR5XMhdqf6dGKhNcTSCXiHcZ13ycy5
spzUsJunWkjeQILHOc567LsQz6kxC2m/Y3JYiUKR/uSX89r4YcEbEMeVdU1ncndtabsexE8OfKzE
tcllQDsjwkOxpxCGaFKmCBPwhqv2RBCxeg3hB+kwI1MajDlJEA74YOomo0FVUDuR5Sd3HbIRzlN9
34lID6bJGRDUa5OrdOGN6oesWGoG7YPoq8xkLw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13056)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VBYbGwO/HAKGC3VUbR8sfTM3DEm/zYtt7XfuTUQm1aDgprMgAnCXOW1AjWlFh/q4RdtJSVqMDxdK
bsi45Ak06w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K1CQefQqfbRMnajU1lqWXjvpR0SXlfqmJ38eDZxrWftvcdPAyZpgLYBU2kuqc3yCueWKITvJlOxe
MkrTLioDWGWJsHrxfd0jlT/WkCYLY5/JvfqUGKClIsOoSlO154U8is9Og1dJXshpnlTKe5wlvtR0
nwXmJGRs6zy26jgBTNY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YM3DY7NgABkFNFo73owKf8ltU1TcMZitA7Ak7hHKdldVZ8QpY5qWOvSTh4euOLAoY+zaEH5YhX0D
YmkHUVVy+6iiha11Zz/0NQyIvr+4K6AOkhV50pqKCU5QcJVT0UTjboSt0jwIyqTuQTb0v+y6DjC6
WIPYPnXLIgiKZU9lxbg8vv26ia6f1j4pBqYZnsMIJ6le/+xhZkP2WwYVtFPXt4LhX+UkWjRPnwms
wqAyVC2ZN2oS3SnvQdRQm83UWrRJkOEKRnx+fPKCXFUslCKqq16WzfSlZbD+/vGQG/aDLRHT8b4y
awIwMB2zWfzM4VsmVw/73IFyXBuehp7ZPmPCfA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ePKdSVz045exe4Dfdm3AhnEuUQ/kh73Cs/DOz67WLxW6jTiPxyjIzIagRWcIFD53atP0FnYYV6RM
VToq1VjfpIB7FDeOywCZGOpuPMJXyE4vpzPVmO8z2ale6D4R4wL3p4mlsOzDVpqJRqJNo2v7dn7Z
Aub/O0NQaU4qDMGL/c4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gurUCrFhb7/wCA6+WYt4RxDmumDPXUkhqhYxrSj5XWhjw+zW78yAbOCuK1QXuFjhUUqbTovPugtB
QrBTFZlhTCNOrc+NPiTmw9ndA155DQtqJedmgHkfYykyNrDR5XMhdqf6dGKhNcTSCXiHcZ13ycy5
spzUsJunWkjeQILHOc567LsQz6kxC2m/Y3JYiUKR/uSX89r4YcEbEMeVdU1ncndtabsexE8OfKzE
tcllQDsjwkOxpxCGaFKmCBPwhqv2RBCxeg3hB+kwI1MajDlJEA74YOomo0FVUDuR5Sd3HbIRzlN9
34lID6bJGRDUa5OrdOGN6oesWGoG7YPoq8xkLw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13056)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VBYbGwO/HAKGC3VUbR8sfTM3DEm/zYtt7XfuTUQm1aDgprMgAnCXOW1AjWlFh/q4RdtJSVqMDxdK
bsi45Ak06w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K1CQefQqfbRMnajU1lqWXjvpR0SXlfqmJ38eDZxrWftvcdPAyZpgLYBU2kuqc3yCueWKITvJlOxe
MkrTLioDWGWJsHrxfd0jlT/WkCYLY5/JvfqUGKClIsOoSlO154U8is9Og1dJXshpnlTKe5wlvtR0
nwXmJGRs6zy26jgBTNY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YM3DY7NgABkFNFo73owKf8ltU1TcMZitA7Ak7hHKdldVZ8QpY5qWOvSTh4euOLAoY+zaEH5YhX0D
YmkHUVVy+6iiha11Zz/0NQyIvr+4K6AOkhV50pqKCU5QcJVT0UTjboSt0jwIyqTuQTb0v+y6DjC6
WIPYPnXLIgiKZU9lxbg8vv26ia6f1j4pBqYZnsMIJ6le/+xhZkP2WwYVtFPXt4LhX+UkWjRPnwms
wqAyVC2ZN2oS3SnvQdRQm83UWrRJkOEKRnx+fPKCXFUslCKqq16WzfSlZbD+/vGQG/aDLRHT8b4y
awIwMB2zWfzM4VsmVw/73IFyXBuehp7ZPmPCfA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ePKdSVz045exe4Dfdm3AhnEuUQ/kh73Cs/DOz67WLxW6jTiPxyjIzIagRWcIFD53atP0FnYYV6RM
VToq1VjfpIB7FDeOywCZGOpuPMJXyE4vpzPVmO8z2ale6D4R4wL3p4mlsOzDVpqJRqJNo2v7dn7Z
Aub/O0NQaU4qDMGL/c4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gurUCrFhb7/wCA6+WYt4RxDmumDPXUkhqhYxrSj5XWhjw+zW78yAbOCuK1QXuFjhUUqbTovPugtB
QrBTFZlhTCNOrc+NPiTmw9ndA155DQtqJedmgHkfYykyNrDR5XMhdqf6dGKhNcTSCXiHcZ13ycy5
spzUsJunWkjeQILHOc567LsQz6kxC2m/Y3JYiUKR/uSX89r4YcEbEMeVdU1ncndtabsexE8OfKzE
tcllQDsjwkOxpxCGaFKmCBPwhqv2RBCxeg3hB+kwI1MajDlJEA74YOomo0FVUDuR5Sd3HbIRzlN9
34lID6bJGRDUa5OrdOGN6oesWGoG7YPoq8xkLw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13056)
`protect data_block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`protect end_protected
|
library verilog;
use verilog.vl_types.all;
entity altlvds_rx is
generic(
number_of_channels: integer := 1;
deserialization_factor: integer := 4;
registered_output: string := "ON";
inclock_period : integer := 10000;
inclock_boost : vl_notype;
cds_mode : string := "UNUSED";
intended_device_family: string := "Stratix";
input_data_rate : integer := 0;
inclock_data_alignment: string := "UNUSED";
registered_data_align_input: string := "ON";
common_rx_tx_pll: string := "ON";
enable_dpa_mode : string := "OFF";
enable_dpa_calibration: string := "ON";
enable_dpa_pll_calibration: string := "OFF";
enable_dpa_fifo : string := "ON";
use_dpll_rawperror: string := "OFF";
use_coreclock_input: string := "OFF";
dpll_lock_count : integer := 0;
dpll_lock_window: integer := 0;
outclock_resource: string := "AUTO";
data_align_rollover: vl_notype;
lose_lock_on_one_change: string := "OFF";
reset_fifo_at_first_lock: string := "ON";
use_external_pll: string := "OFF";
implement_in_les: string := "OFF";
buffer_implementation: string := "RAM";
port_rx_data_align: string := "PORT_CONNECTIVITY";
port_rx_channel_data_align: string := "PORT_CONNECTIVITY";
pll_operation_mode: string := "NORMAL";
x_on_bitslip : string := "ON";
use_no_phase_shift: string := "ON";
rx_align_data_reg: string := "RISING_EDGE";
inclock_phase_shift: integer := 0;
enable_soft_cdr_mode: string := "OFF";
sim_dpa_output_clock_phase_shift: integer := 0;
sim_dpa_is_negative_ppm_drift: string := "OFF";
sim_dpa_net_ppm_variation: integer := 0;
enable_dpa_align_to_rising_edge_only: string := "OFF";
enable_dpa_initial_phase_selection: string := "OFF";
dpa_initial_phase_value: integer := 0;
pll_self_reset_on_loss_lock: string := "OFF";
refclk_frequency: string := "UNUSED";
enable_clock_pin_mode: string := "UNUSED";
data_rate : string := "UNUSED";
lpm_hint : string := "UNUSED";
lpm_type : string := "altlvds_rx";
clk_src_is_pll : string := "off";
STRATIX_RX_STYLE: vl_notype;
STRATIXGX_DPA_RX_STYLE: vl_notype;
STRATIXII_RX_STYLE: vl_notype;
CYCLONE_RX_STYLE: vl_notype;
CYCLONEII_RX_STYLE: vl_notype;
STRATIXIII_RX_STYLE: vl_notype;
ARRIAII_RX_STYLE: vl_notype;
STRATIXV_RX_STYLE: vl_notype;
CYCLONEIII_RX_STYLE: vl_notype;
FAMILY_HAS_FLEXIBLE_LVDS: vl_notype;
FAMILY_HAS_STRATIX_STYLE_PLL: vl_notype;
FAMILY_HAS_STRATIXII_STYLE_PLL: vl_notype;
FAMILY_HAS_STRATIXIII_STYLE_PLL: vl_notype;
INT_CLOCK_BOOST : vl_notype;
PLL_M_VALUE : vl_notype;
PLL_D_VALUE : vl_notype;
STRATIX_INCLOCK_BOOST: vl_notype;
PHASE_SHIFT : vl_notype;
STXII_PHASE_SHIFT: vl_notype;
STXII_LE_PHASE_SHIFT: vl_notype;
STXIII_LE_PHASE_SHIFT: vl_notype;
REGISTER_WIDTH : vl_notype;
CLOCK_PERIOD : vl_notype;
FAST_CLK_ENA_PHASE_SHIFT: vl_notype;
use_dpa_calibration: vl_notype
);
port(
rx_in : in vl_logic_vector;
rx_inclock : in vl_logic;
rx_syncclock : in vl_logic;
rx_dpaclock : in vl_logic;
rx_readclock : in vl_logic;
rx_enable : in vl_logic;
rx_deskew : in vl_logic;
rx_pll_enable : in vl_logic;
rx_data_align : in vl_logic;
rx_data_align_reset: in vl_logic;
rx_reset : in vl_logic_vector;
rx_dpll_reset : in vl_logic_vector;
rx_dpll_hold : in vl_logic_vector;
rx_dpll_enable : in vl_logic_vector;
rx_fifo_reset : in vl_logic_vector;
rx_channel_data_align: in vl_logic_vector;
rx_cda_reset : in vl_logic_vector;
rx_coreclk : in vl_logic_vector;
pll_areset : in vl_logic;
pll_phasedone : in vl_logic;
dpa_pll_recal : in vl_logic;
rx_dpa_lock_reset: in vl_logic_vector;
rx_out : out vl_logic_vector;
rx_outclock : out vl_logic;
rx_locked : out vl_logic;
rx_dpa_locked : out vl_logic_vector;
rx_cda_max : out vl_logic_vector;
rx_divfwdclk : out vl_logic_vector;
pll_phasestep : out vl_logic;
pll_phaseupdown : out vl_logic;
pll_phasecounterselect: out vl_logic_vector(3 downto 0);
pll_scanclk : out vl_logic;
dpa_pll_cal_busy: out vl_logic;
rx_data_reset : in vl_logic
);
attribute mti_svvh_generic_type : integer;
attribute mti_svvh_generic_type of number_of_channels : constant is 1;
attribute mti_svvh_generic_type of deserialization_factor : constant is 1;
attribute mti_svvh_generic_type of registered_output : constant is 1;
attribute mti_svvh_generic_type of inclock_period : constant is 1;
attribute mti_svvh_generic_type of inclock_boost : constant is 3;
attribute mti_svvh_generic_type of cds_mode : constant is 1;
attribute mti_svvh_generic_type of intended_device_family : constant is 1;
attribute mti_svvh_generic_type of input_data_rate : constant is 1;
attribute mti_svvh_generic_type of inclock_data_alignment : constant is 1;
attribute mti_svvh_generic_type of registered_data_align_input : constant is 1;
attribute mti_svvh_generic_type of common_rx_tx_pll : constant is 1;
attribute mti_svvh_generic_type of enable_dpa_mode : constant is 1;
attribute mti_svvh_generic_type of enable_dpa_calibration : constant is 1;
attribute mti_svvh_generic_type of enable_dpa_pll_calibration : constant is 1;
attribute mti_svvh_generic_type of enable_dpa_fifo : constant is 1;
attribute mti_svvh_generic_type of use_dpll_rawperror : constant is 1;
attribute mti_svvh_generic_type of use_coreclock_input : constant is 1;
attribute mti_svvh_generic_type of dpll_lock_count : constant is 1;
attribute mti_svvh_generic_type of dpll_lock_window : constant is 1;
attribute mti_svvh_generic_type of outclock_resource : constant is 1;
attribute mti_svvh_generic_type of data_align_rollover : constant is 3;
attribute mti_svvh_generic_type of lose_lock_on_one_change : constant is 1;
attribute mti_svvh_generic_type of reset_fifo_at_first_lock : constant is 1;
attribute mti_svvh_generic_type of use_external_pll : constant is 1;
attribute mti_svvh_generic_type of implement_in_les : constant is 1;
attribute mti_svvh_generic_type of buffer_implementation : constant is 1;
attribute mti_svvh_generic_type of port_rx_data_align : constant is 1;
attribute mti_svvh_generic_type of port_rx_channel_data_align : constant is 1;
attribute mti_svvh_generic_type of pll_operation_mode : constant is 1;
attribute mti_svvh_generic_type of x_on_bitslip : constant is 1;
attribute mti_svvh_generic_type of use_no_phase_shift : constant is 1;
attribute mti_svvh_generic_type of rx_align_data_reg : constant is 1;
attribute mti_svvh_generic_type of inclock_phase_shift : constant is 1;
attribute mti_svvh_generic_type of enable_soft_cdr_mode : constant is 1;
attribute mti_svvh_generic_type of sim_dpa_output_clock_phase_shift : constant is 1;
attribute mti_svvh_generic_type of sim_dpa_is_negative_ppm_drift : constant is 1;
attribute mti_svvh_generic_type of sim_dpa_net_ppm_variation : constant is 1;
attribute mti_svvh_generic_type of enable_dpa_align_to_rising_edge_only : constant is 1;
attribute mti_svvh_generic_type of enable_dpa_initial_phase_selection : constant is 1;
attribute mti_svvh_generic_type of dpa_initial_phase_value : constant is 1;
attribute mti_svvh_generic_type of pll_self_reset_on_loss_lock : constant is 1;
attribute mti_svvh_generic_type of refclk_frequency : constant is 1;
attribute mti_svvh_generic_type of enable_clock_pin_mode : constant is 1;
attribute mti_svvh_generic_type of data_rate : constant is 1;
attribute mti_svvh_generic_type of lpm_hint : constant is 1;
attribute mti_svvh_generic_type of lpm_type : constant is 1;
attribute mti_svvh_generic_type of clk_src_is_pll : constant is 1;
attribute mti_svvh_generic_type of STRATIX_RX_STYLE : constant is 3;
attribute mti_svvh_generic_type of STRATIXGX_DPA_RX_STYLE : constant is 3;
attribute mti_svvh_generic_type of STRATIXII_RX_STYLE : constant is 3;
attribute mti_svvh_generic_type of CYCLONE_RX_STYLE : constant is 3;
attribute mti_svvh_generic_type of CYCLONEII_RX_STYLE : constant is 3;
attribute mti_svvh_generic_type of STRATIXIII_RX_STYLE : constant is 3;
attribute mti_svvh_generic_type of ARRIAII_RX_STYLE : constant is 3;
attribute mti_svvh_generic_type of STRATIXV_RX_STYLE : constant is 3;
attribute mti_svvh_generic_type of CYCLONEIII_RX_STYLE : constant is 3;
attribute mti_svvh_generic_type of FAMILY_HAS_FLEXIBLE_LVDS : constant is 3;
attribute mti_svvh_generic_type of FAMILY_HAS_STRATIX_STYLE_PLL : constant is 3;
attribute mti_svvh_generic_type of FAMILY_HAS_STRATIXII_STYLE_PLL : constant is 3;
attribute mti_svvh_generic_type of FAMILY_HAS_STRATIXIII_STYLE_PLL : constant is 3;
attribute mti_svvh_generic_type of INT_CLOCK_BOOST : constant is 3;
attribute mti_svvh_generic_type of PLL_M_VALUE : constant is 3;
attribute mti_svvh_generic_type of PLL_D_VALUE : constant is 3;
attribute mti_svvh_generic_type of STRATIX_INCLOCK_BOOST : constant is 3;
attribute mti_svvh_generic_type of PHASE_SHIFT : constant is 3;
attribute mti_svvh_generic_type of STXII_PHASE_SHIFT : constant is 3;
attribute mti_svvh_generic_type of STXII_LE_PHASE_SHIFT : constant is 3;
attribute mti_svvh_generic_type of STXIII_LE_PHASE_SHIFT : constant is 3;
attribute mti_svvh_generic_type of REGISTER_WIDTH : constant is 3;
attribute mti_svvh_generic_type of CLOCK_PERIOD : constant is 3;
attribute mti_svvh_generic_type of FAST_CLK_ENA_PHASE_SHIFT : constant is 3;
attribute mti_svvh_generic_type of use_dpa_calibration : constant is 3;
end altlvds_rx;
|
----------------------------------------------------------------------------------
--
-- Author: Adam Howard - [email protected], Ben Olson - [email protected]
-- ECE-351: Course Project - Greenhouse Monitor
-- Notes: Project top module.
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity control is
PORT(
mclk : in std_logic; --main clock
rst : in std_logic; --async reset
swi_bus : in std_logic_vector(7 downto 0); --input from switches
btn_bus : in std_logic_vector(3 downto 0); --input from buttons
led_bus : out std_logic_vector(7 downto 0); --output to LEDs
seg_bus : out std_logic_vector(0 to 7); --output to the 7-seg cathodes
digi_bus : out std_logic_vector(0 to 3); --output to the 7-seg anodes
als_clk : out std_logic;
als_cs : out std_logic;
als_sda : in std_logic
);
end control;
architecture control_arch of control is
component clk_divider is
Port (
rst: in std_logic;
clk_in : in std_logic;
clk_out : out std_logic;
const : in INTEGER);
end component clk_divider;
component btn_control is
Port (
clk : in STD_LOGIC;
rst : in STD_LOGIC;
btn_bus : in STD_LOGIC_VECTOR (3 downto 0);
output_bus : out STD_LOGIC_VECTOR (3 downto 0));
end component btn_control;
component interface is
Port (
rst : in std_logic;
clk : in std_logic;
btn_bus : in std_logic_vector (3 downto 0);
t_data : in std_logic_vector (7 downto 0);
l_data : in std_logic_vector (7 downto 0);
seg_bus : out std_logic_vector (0 to 7);
digi_bus : out std_logic_vector (0 to 3);
led_bus : out std_logic_vector (7 downto 0));
end component interface;
component als_driver is
Port (
rst : in STD_LOGIC;
clk : in STD_LOGIC;
cs : out STD_LOGIC;
scl : out STD_LOGIC;
sda : in STD_LOGIC;
data_out : out STD_LOGIC_VECTOR (7 downto 0));
end component als_driver;
signal btn_buffer : std_logic_vector(3 downto 0) := "0000";
signal l_data, t_data : std_logic_vector(7 downto 0) := "00000000";
begin
--l_data <= swi_bus;
t_data <= swi_bus;
SYS_MAIN: interface port map (
rst => rst,
clk => mclk,
btn_bus => btn_buffer,
t_data => t_data,
l_data => l_data,
seg_bus => seg_bus,
digi_bus => digi_bus,
led_bus => led_bus
);
DEBOUNCE: btn_control port map (
clk => mclk,
rst => rst,
btn_bus => btn_bus,
output_bus => btn_buffer
);
LIGHT_SENSOR: als_driver port map (
rst => rst,
clk => mclk,
cs => als_cs,
scl => als_clk,
sda => als_sda,
data_out => l_data
);
end control_arch;
|
entity FIFO is
port (
I_WR_EN : in std_logic;
I_DATA : in std_logic_vector(31 downto 0);
I_RD_EN : in std_logic;
O_DATA : out std_logic_vector(31 downto 0)
);
end entity FIFO;
entity FIFO is
port (
I_WR_EN : in std_logic;
I_DATA : in std_logic_vector(31 downto 0);
I_RD_EN : in std_logic;
O_DATA : out std_logic_vector(31 downto 0)
);
end entity FIFO;
|
-------------------------------------------------------------------------------
-- CPU86 - VHDL CPU8088 IP core --
-- Copyright (C) 2002-2008 HT-LAB --
-- --
-- Contact/bugs : http://www.ht-lab.com/misc/feedback.html --
-- Web : http://www.ht-lab.com --
-- --
-- CPU86 is released as open-source under the GNU GPL license. This means --
-- that designs based on CPU86 must be distributed in full source code --
-- under the same license. Contact HT-Lab for commercial applications where --
-- source-code distribution is not desirable. --
-- --
-------------------------------------------------------------------------------
-- --
-- This library is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU Lesser General Public --
-- License as published by the Free Software Foundation; either --
-- version 2.1 of the License, or (at your option) any later version. --
-- --
-- This library is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --
-- Lesser General Public License for more details. --
-- --
-- Full details of the license can be found in the file "copying.txt". --
-- --
-- You should have received a copy of the GNU Lesser General Public --
-- License along with this library; if not, write to the Free Software --
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA --
-- --
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Toplevel : CPU86, 256Byte ROM, 16550 UART, 40K8 SRAM (all blockrams used)--
-------------------------------------------------------------------------------
-- Revision History: --
-- --
-- Date: Revision Author --
-- --
-- 30 Dec 2007 0.1 H. Tiggeler First version --
-- 17 May 2008 0.75 H. Tiggeler Updated for CPU86 ver0.75 --
-- 27 Jun 2008 0.79 H. Tiggeler Changed UART to Opencores 16750 --
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
ENTITY drigmorn1_top IS
PORT(
CLOCK_40MHZ : IN std_logic;
CTS : IN std_logic := '1';
PIN3 : IN std_logic;
RXD : IN std_logic;
LED1 : OUT std_logic;
LED2N : OUT std_logic;
LED3N : OUT std_logic;
PIN4 : OUT std_logic;
RTS : OUT std_logic;
TXD : OUT std_logic
);
END drigmorn1_top ;
ARCHITECTURE struct OF drigmorn1_top IS
-- Architecture declarations
signal csromn : std_logic;
-- Internal signal declarations
SIGNAL DCDn : std_logic := '1';
SIGNAL DSRn : std_logic := '1';
SIGNAL RIn : std_logic := '1';
SIGNAL abus : std_logic_vector(19 DOWNTO 0);
SIGNAL clk : std_logic;
SIGNAL cscom1 : std_logic;
SIGNAL dbus_com1 : std_logic_vector(7 DOWNTO 0);
SIGNAL dbus_in : std_logic_vector(7 DOWNTO 0);
SIGNAL dbus_in_cpu : std_logic_vector(7 DOWNTO 0);
SIGNAL dbus_out : std_logic_vector(7 DOWNTO 0);
SIGNAL dbus_rom : std_logic_vector(7 DOWNTO 0);
SIGNAL dout : std_logic;
SIGNAL dout1 : std_logic;
SIGNAL intr : std_logic;
SIGNAL iom : std_logic;
SIGNAL nmi : std_logic;
SIGNAL por : std_logic;
SIGNAL rdn : std_logic;
SIGNAL resoutn : std_logic;
SIGNAL sel_s : std_logic_vector(1 DOWNTO 0);
SIGNAL wea : std_logic_VECTOR(0 DOWNTO 0);
SIGNAL wran : std_logic;
SIGNAL wrcom : std_logic;
SIGNAL wrn : std_logic;
signal rxclk_s : std_logic;
-- Component Declarations
COMPONENT cpu86
PORT(
clk : IN std_logic;
dbus_in : IN std_logic_vector (7 DOWNTO 0);
intr : IN std_logic;
nmi : IN std_logic;
por : IN std_logic;
abus : OUT std_logic_vector (19 DOWNTO 0);
dbus_out : OUT std_logic_vector (7 DOWNTO 0);
cpuerror : OUT std_logic;
inta : OUT std_logic;
iom : OUT std_logic;
rdn : OUT std_logic;
resoutn : OUT std_logic;
wran : OUT std_logic;
wrn : OUT std_logic
);
END COMPONENT;
COMPONENT blk_mem_40K
PORT (
addra : IN std_logic_VECTOR (15 DOWNTO 0);
clka : IN std_logic;
dina : IN std_logic_VECTOR (7 DOWNTO 0);
wea : IN std_logic_VECTOR (0 DOWNTO 0);
douta : OUT std_logic_VECTOR (7 DOWNTO 0)
);
END COMPONENT;
COMPONENT bootstrap
PORT (
abus : IN std_logic_vector (7 DOWNTO 0);
dbus : OUT std_logic_vector (7 DOWNTO 0)
);
END COMPONENT;
COMPONENT uart_top
PORT (
BR_clk : IN std_logic ;
CTSn : IN std_logic := '1';
DCDn : IN std_logic := '1';
DSRn : IN std_logic := '1';
RIn : IN std_logic := '1';
abus : IN std_logic_vector (2 DOWNTO 0);
clk : IN std_logic ;
csn : IN std_logic ;
dbus_in : IN std_logic_vector (7 DOWNTO 0);
rdn : IN std_logic ;
resetn : IN std_logic ;
sRX : IN std_logic ;
wrn : IN std_logic ;
B_CLK : OUT std_logic ;
DTRn : OUT std_logic ;
IRQ : OUT std_logic ;
OUT1n : OUT std_logic ;
OUT2n : OUT std_logic ;
RTSn : OUT std_logic ;
dbus_out : OUT std_logic_vector (7 DOWNTO 0);
stx : OUT std_logic
);
END COMPONENT;
BEGIN
-- Architecture concurrent statements
-- HDL Embedded Text Block 4 mux
-- dmux 1
process(sel_s,dbus_com1,dbus_in,dbus_rom)
begin
case sel_s is
when "01" => dbus_in_cpu <= dbus_com1; -- UART
when "10" => dbus_in_cpu <= dbus_rom; -- BootStrap Loader
when others=> dbus_in_cpu <= dbus_in; -- Embedded SRAM
end case;
end process;
-- HDL Embedded Text Block 7 clogic
clk <= CLOCK_40MHZ;
wrcom <= not wrn;
wea(0)<= not wrn;
PIN4 <= resoutn; -- For debug only
-- dbus_in_cpu multiplexer
sel_s <= cscom1 & csromn;
-- chip_select
-- Comport, uart_16550
-- COM1, 0x3F8-0x3FF
cscom1 <= '0' when (abus(15 downto 3)="0000001111111" AND iom='1') else '1';
-- Bootstrap ROM 256 bytes
-- FFFFF-FF=FFF00
csromn <= '0' when ((abus(19 downto 8)=X"FFF") AND iom='0') else '1';
nmi <= '0';
intr <= '0';
dout <= '0';
dout1 <= '0';
DCDn <= '0';
DSRn <= '0';
RIn <= '0';
por <= NOT(PIN3);
-- Instance port mappings.
U_1 : cpu86
PORT MAP (
clk => clk,
dbus_in => dbus_in_cpu,
intr => intr,
nmi => nmi,
por => por,
abus => abus,
cpuerror => LED1,
dbus_out => dbus_out,
inta => OPEN,
iom => iom,
rdn => rdn,
resoutn => resoutn,
wran => wran,
wrn => wrn
);
U_3 : blk_mem_40K
PORT MAP (
clka => clk,
dina => dbus_out,
addra => abus(15 DOWNTO 0),
wea => wea,
douta => dbus_in
);
U_2 : bootstrap
PORT MAP (
abus => abus(7 DOWNTO 0),
dbus => dbus_rom
);
U_0 : uart_top
PORT MAP (
BR_clk => rxclk_s,
CTSn => CTS,
DCDn => DCDn,
DSRn => DSRn,
RIn => RIn,
abus => abus(2 DOWNTO 0),
clk => clk,
csn => cscom1,
dbus_in => dbus_out,
rdn => rdn,
resetn => resoutn,
sRX => RXD,
wrn => wrn,
B_CLK => rxclk_s,
DTRn => OPEN,
IRQ => OPEN,
OUT1n => led2n,
OUT2n => led3n,
RTSn => RTS,
dbus_out => dbus_com1,
stx => TXD
);
END struct;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2207.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p01n01i02207ent IS
END c07s02b06x00p01n01i02207ent;
ARCHITECTURE c07s02b06x00p01n01i02207arch OF c07s02b06x00p01n01i02207ent IS
BEGIN
TESTING: PROCESS
constant x : real := 15.5;
constant y : integer := 9;
variable z : integer;
BEGIN
z := x mod y; -- Failure_here
-- mod is not defined for real types.
assert FALSE
report "***FAILED TEST: c07s02b06x00p01n01i02207 - Operators mod and rem are predefined for any integer type only."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p01n01i02207arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2207.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p01n01i02207ent IS
END c07s02b06x00p01n01i02207ent;
ARCHITECTURE c07s02b06x00p01n01i02207arch OF c07s02b06x00p01n01i02207ent IS
BEGIN
TESTING: PROCESS
constant x : real := 15.5;
constant y : integer := 9;
variable z : integer;
BEGIN
z := x mod y; -- Failure_here
-- mod is not defined for real types.
assert FALSE
report "***FAILED TEST: c07s02b06x00p01n01i02207 - Operators mod and rem are predefined for any integer type only."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p01n01i02207arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2207.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p01n01i02207ent IS
END c07s02b06x00p01n01i02207ent;
ARCHITECTURE c07s02b06x00p01n01i02207arch OF c07s02b06x00p01n01i02207ent IS
BEGIN
TESTING: PROCESS
constant x : real := 15.5;
constant y : integer := 9;
variable z : integer;
BEGIN
z := x mod y; -- Failure_here
-- mod is not defined for real types.
assert FALSE
report "***FAILED TEST: c07s02b06x00p01n01i02207 - Operators mod and rem are predefined for any integer type only."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p01n01i02207arch;
|
----------------------------------------------------------------------------------
-- stage.vhd
--
-- Copyright (C) 2006 Michael Poppitz
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along
-- with this program; if not, write to the Free Software Foundation, Inc.,
-- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA
--
----------------------------------------------------------------------------------
--
-- Details: http://www.sump.org/projects/analyzer/
--
-- Programmable 32 channel trigger stage. It can operate in serial
-- and parallel mode. In serial mode any of the la_input channels
-- can be used as la_input for the 32bit shift register. Comparison
-- is done using the value and mask registers on the la_input in
-- parallel mode and on the shift register in serial mode.
-- If armed and 'level' has reached the configured minimum value,
-- the stage will start to check for a match.
-- The match and run output signal delay can be configured.
-- The stage will disarm itself after a match occured or when reset is set.
--
-- The stage supports "high speed demux" operation in serial and parallel
-- mode. (Lower and upper 16 channels contain a 16bit sample each.)
--
-- Matching is done using a pipeline. This should not increase the minimum
-- time needed between two dependend trigger stage matches, because the
-- dependence is evaluated in the last pipeline step.
-- It does however increase the delay for the capturing process, but this
-- can easily be compensated by software.
-- (By adjusting the before/after ratio.)
--
-- Changes: Synchronous reset.
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity stage is
port(
la_input : in std_logic_vector (31 downto 0);
la_inputReady : in std_logic;
data : in std_logic_vector (31 downto 0);
clock : in std_logic;
reset : in std_logic;
wrMask : in std_logic;
wrValue : in std_logic;
wrConfig : in std_logic;
arm : in std_logic;
level : in std_logic_vector (1 downto 0);
demuxed : in std_logic;
run : out std_logic;
match : out std_logic
);
end stage;
architecture behavioral of stage is
type STATES is (OFF, ARMED, MATCHED);
signal maskRegister, valueRegister : std_logic_vector (31 downto 0);
signal intermediateRegister, shiftRegister : std_logic_vector (31 downto 0);
signal testValue: std_logic_vector (31 downto 0);
signal cfgStart, cfgSerial : std_logic;
signal cfgChannel : std_logic_vector(4 downto 0);
signal cfgLevel : std_logic_vector(1 downto 0);
signal counter, cfgDelay : std_logic_vector(15 downto 0);
signal matchL16, matchH16, match32Register : std_logic;
signal state : STATES;
signal serialChannelL16, serialChannelH16 : std_logic;
begin
-- use shift register or la_input depending on configuration
testValue <= shiftRegister when cfgSerial = '1' else la_input;
-- apply mask and value and create a additional pipeline step
process(clock)
begin
if rising_edge(clock) then
intermediateRegister <= (testValue xor valueRegister) and maskRegister;
end if;
end process;
-- match upper and lower word separately
matchL16 <= '1' when intermediateRegister(15 downto 0) = "0000000000000000" else '0';
matchH16 <= '1' when intermediateRegister(31 downto 16) = "0000000000000000" else '0';
-- in demux mode only one half must match, in normal mode both words must match
process(clock)
begin
if rising_edge(clock) then
if demuxed = '1' then
match32Register <= matchL16 or matchH16;
else
match32Register <= matchL16 and matchH16;
end if;
end if;
end process;
-- select serial channel based on cfgChannel
process(la_input, cfgChannel)
begin
for i in 0 to 15 loop
if conv_integer(cfgChannel(3 downto 0)) = i then
serialChannelL16 <= la_input(i);
serialChannelH16 <= la_input(i + 16);
end if;
end loop;
end process;
-- shift in bit from selected channel whenever la_input is ready
process(clock)
begin
if rising_edge(clock) then
if la_inputReady = '1' then
if demuxed = '1' then -- in demux mode two bits come in per sample
shiftRegister <= shiftRegister(29 downto 0) & serialChannelH16 & serialChannelL16;
elsif cfgChannel(4) = '1' then
shiftRegister <= shiftRegister(30 downto 0) & serialChannelH16;
else
shiftRegister <= shiftRegister(30 downto 0) & serialChannelL16;
end if;
end if;
end if;
end process;
-- trigger state machine
process(clock, reset)
begin
if rising_edge(clock) then
if reset = '1' then
state <= OFF;
else
run <= '0';
match <= '0';
case state is
when OFF =>
if arm = '1' then
state <= ARMED;
end if;
when ARMED =>
if match32Register = '1' and level >= cfgLevel then
counter <= cfgDelay;
state <= MATCHED;
end if;
when MATCHED =>
if la_inputReady = '1' then
if counter = "0000000000000000" then
run <= cfgStart;
match <= not cfgStart;
state <= OFF;
else
counter <= counter - 1;
end if;
end if;
end case;
end if;
end if;
end process;
-- handle mask, value & config register write requests
process(clock)
begin
if rising_edge(clock) then
if wrMask = '1' then
maskRegister <= data;
end if;
if wrValue = '1' then
valueRegister <= data;
end if;
if wrConfig = '1' then
cfgStart <= data(27);
cfgSerial <= data(26);
cfgChannel <= data(24 downto 20);
cfgLevel <= data(17 downto 16);
cfgDelay <= data(15 downto 0);
end if;
end if;
end process;
end behavioral;
|
----------------------------------------------------------------------------------
-- stage.vhd
--
-- Copyright (C) 2006 Michael Poppitz
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along
-- with this program; if not, write to the Free Software Foundation, Inc.,
-- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA
--
----------------------------------------------------------------------------------
--
-- Details: http://www.sump.org/projects/analyzer/
--
-- Programmable 32 channel trigger stage. It can operate in serial
-- and parallel mode. In serial mode any of the la_input channels
-- can be used as la_input for the 32bit shift register. Comparison
-- is done using the value and mask registers on the la_input in
-- parallel mode and on the shift register in serial mode.
-- If armed and 'level' has reached the configured minimum value,
-- the stage will start to check for a match.
-- The match and run output signal delay can be configured.
-- The stage will disarm itself after a match occured or when reset is set.
--
-- The stage supports "high speed demux" operation in serial and parallel
-- mode. (Lower and upper 16 channels contain a 16bit sample each.)
--
-- Matching is done using a pipeline. This should not increase the minimum
-- time needed between two dependend trigger stage matches, because the
-- dependence is evaluated in the last pipeline step.
-- It does however increase the delay for the capturing process, but this
-- can easily be compensated by software.
-- (By adjusting the before/after ratio.)
--
-- Changes: Synchronous reset.
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity stage is
port(
la_input : in std_logic_vector (31 downto 0);
la_inputReady : in std_logic;
data : in std_logic_vector (31 downto 0);
clock : in std_logic;
reset : in std_logic;
wrMask : in std_logic;
wrValue : in std_logic;
wrConfig : in std_logic;
arm : in std_logic;
level : in std_logic_vector (1 downto 0);
demuxed : in std_logic;
run : out std_logic;
match : out std_logic
);
end stage;
architecture behavioral of stage is
type STATES is (OFF, ARMED, MATCHED);
signal maskRegister, valueRegister : std_logic_vector (31 downto 0);
signal intermediateRegister, shiftRegister : std_logic_vector (31 downto 0);
signal testValue: std_logic_vector (31 downto 0);
signal cfgStart, cfgSerial : std_logic;
signal cfgChannel : std_logic_vector(4 downto 0);
signal cfgLevel : std_logic_vector(1 downto 0);
signal counter, cfgDelay : std_logic_vector(15 downto 0);
signal matchL16, matchH16, match32Register : std_logic;
signal state : STATES;
signal serialChannelL16, serialChannelH16 : std_logic;
begin
-- use shift register or la_input depending on configuration
testValue <= shiftRegister when cfgSerial = '1' else la_input;
-- apply mask and value and create a additional pipeline step
process(clock)
begin
if rising_edge(clock) then
intermediateRegister <= (testValue xor valueRegister) and maskRegister;
end if;
end process;
-- match upper and lower word separately
matchL16 <= '1' when intermediateRegister(15 downto 0) = "0000000000000000" else '0';
matchH16 <= '1' when intermediateRegister(31 downto 16) = "0000000000000000" else '0';
-- in demux mode only one half must match, in normal mode both words must match
process(clock)
begin
if rising_edge(clock) then
if demuxed = '1' then
match32Register <= matchL16 or matchH16;
else
match32Register <= matchL16 and matchH16;
end if;
end if;
end process;
-- select serial channel based on cfgChannel
process(la_input, cfgChannel)
begin
for i in 0 to 15 loop
if conv_integer(cfgChannel(3 downto 0)) = i then
serialChannelL16 <= la_input(i);
serialChannelH16 <= la_input(i + 16);
end if;
end loop;
end process;
-- shift in bit from selected channel whenever la_input is ready
process(clock)
begin
if rising_edge(clock) then
if la_inputReady = '1' then
if demuxed = '1' then -- in demux mode two bits come in per sample
shiftRegister <= shiftRegister(29 downto 0) & serialChannelH16 & serialChannelL16;
elsif cfgChannel(4) = '1' then
shiftRegister <= shiftRegister(30 downto 0) & serialChannelH16;
else
shiftRegister <= shiftRegister(30 downto 0) & serialChannelL16;
end if;
end if;
end if;
end process;
-- trigger state machine
process(clock, reset)
begin
if rising_edge(clock) then
if reset = '1' then
state <= OFF;
else
run <= '0';
match <= '0';
case state is
when OFF =>
if arm = '1' then
state <= ARMED;
end if;
when ARMED =>
if match32Register = '1' and level >= cfgLevel then
counter <= cfgDelay;
state <= MATCHED;
end if;
when MATCHED =>
if la_inputReady = '1' then
if counter = "0000000000000000" then
run <= cfgStart;
match <= not cfgStart;
state <= OFF;
else
counter <= counter - 1;
end if;
end if;
end case;
end if;
end if;
end process;
-- handle mask, value & config register write requests
process(clock)
begin
if rising_edge(clock) then
if wrMask = '1' then
maskRegister <= data;
end if;
if wrValue = '1' then
valueRegister <= data;
end if;
if wrConfig = '1' then
cfgStart <= data(27);
cfgSerial <= data(26);
cfgChannel <= data(24 downto 20);
cfgLevel <= data(17 downto 16);
cfgDelay <= data(15 downto 0);
end if;
end if;
end process;
end behavioral;
|
----------------------------------------------------------------------------------
-- stage.vhd
--
-- Copyright (C) 2006 Michael Poppitz
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along
-- with this program; if not, write to the Free Software Foundation, Inc.,
-- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA
--
----------------------------------------------------------------------------------
--
-- Details: http://www.sump.org/projects/analyzer/
--
-- Programmable 32 channel trigger stage. It can operate in serial
-- and parallel mode. In serial mode any of the la_input channels
-- can be used as la_input for the 32bit shift register. Comparison
-- is done using the value and mask registers on the la_input in
-- parallel mode and on the shift register in serial mode.
-- If armed and 'level' has reached the configured minimum value,
-- the stage will start to check for a match.
-- The match and run output signal delay can be configured.
-- The stage will disarm itself after a match occured or when reset is set.
--
-- The stage supports "high speed demux" operation in serial and parallel
-- mode. (Lower and upper 16 channels contain a 16bit sample each.)
--
-- Matching is done using a pipeline. This should not increase the minimum
-- time needed between two dependend trigger stage matches, because the
-- dependence is evaluated in the last pipeline step.
-- It does however increase the delay for the capturing process, but this
-- can easily be compensated by software.
-- (By adjusting the before/after ratio.)
--
-- Changes: Synchronous reset.
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity stage is
port(
la_input : in std_logic_vector (31 downto 0);
la_inputReady : in std_logic;
data : in std_logic_vector (31 downto 0);
clock : in std_logic;
reset : in std_logic;
wrMask : in std_logic;
wrValue : in std_logic;
wrConfig : in std_logic;
arm : in std_logic;
level : in std_logic_vector (1 downto 0);
demuxed : in std_logic;
run : out std_logic;
match : out std_logic
);
end stage;
architecture behavioral of stage is
type STATES is (OFF, ARMED, MATCHED);
signal maskRegister, valueRegister : std_logic_vector (31 downto 0);
signal intermediateRegister, shiftRegister : std_logic_vector (31 downto 0);
signal testValue: std_logic_vector (31 downto 0);
signal cfgStart, cfgSerial : std_logic;
signal cfgChannel : std_logic_vector(4 downto 0);
signal cfgLevel : std_logic_vector(1 downto 0);
signal counter, cfgDelay : std_logic_vector(15 downto 0);
signal matchL16, matchH16, match32Register : std_logic;
signal state : STATES;
signal serialChannelL16, serialChannelH16 : std_logic;
begin
-- use shift register or la_input depending on configuration
testValue <= shiftRegister when cfgSerial = '1' else la_input;
-- apply mask and value and create a additional pipeline step
process(clock)
begin
if rising_edge(clock) then
intermediateRegister <= (testValue xor valueRegister) and maskRegister;
end if;
end process;
-- match upper and lower word separately
matchL16 <= '1' when intermediateRegister(15 downto 0) = "0000000000000000" else '0';
matchH16 <= '1' when intermediateRegister(31 downto 16) = "0000000000000000" else '0';
-- in demux mode only one half must match, in normal mode both words must match
process(clock)
begin
if rising_edge(clock) then
if demuxed = '1' then
match32Register <= matchL16 or matchH16;
else
match32Register <= matchL16 and matchH16;
end if;
end if;
end process;
-- select serial channel based on cfgChannel
process(la_input, cfgChannel)
begin
for i in 0 to 15 loop
if conv_integer(cfgChannel(3 downto 0)) = i then
serialChannelL16 <= la_input(i);
serialChannelH16 <= la_input(i + 16);
end if;
end loop;
end process;
-- shift in bit from selected channel whenever la_input is ready
process(clock)
begin
if rising_edge(clock) then
if la_inputReady = '1' then
if demuxed = '1' then -- in demux mode two bits come in per sample
shiftRegister <= shiftRegister(29 downto 0) & serialChannelH16 & serialChannelL16;
elsif cfgChannel(4) = '1' then
shiftRegister <= shiftRegister(30 downto 0) & serialChannelH16;
else
shiftRegister <= shiftRegister(30 downto 0) & serialChannelL16;
end if;
end if;
end if;
end process;
-- trigger state machine
process(clock, reset)
begin
if rising_edge(clock) then
if reset = '1' then
state <= OFF;
else
run <= '0';
match <= '0';
case state is
when OFF =>
if arm = '1' then
state <= ARMED;
end if;
when ARMED =>
if match32Register = '1' and level >= cfgLevel then
counter <= cfgDelay;
state <= MATCHED;
end if;
when MATCHED =>
if la_inputReady = '1' then
if counter = "0000000000000000" then
run <= cfgStart;
match <= not cfgStart;
state <= OFF;
else
counter <= counter - 1;
end if;
end if;
end case;
end if;
end if;
end process;
-- handle mask, value & config register write requests
process(clock)
begin
if rising_edge(clock) then
if wrMask = '1' then
maskRegister <= data;
end if;
if wrValue = '1' then
valueRegister <= data;
end if;
if wrConfig = '1' then
cfgStart <= data(27);
cfgSerial <= data(26);
cfgChannel <= data(24 downto 20);
cfgLevel <= data(17 downto 16);
cfgDelay <= data(15 downto 0);
end if;
end if;
end process;
end behavioral;
|
----------------------------------------------------------------------------------
-- stage.vhd
--
-- Copyright (C) 2006 Michael Poppitz
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along
-- with this program; if not, write to the Free Software Foundation, Inc.,
-- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA
--
----------------------------------------------------------------------------------
--
-- Details: http://www.sump.org/projects/analyzer/
--
-- Programmable 32 channel trigger stage. It can operate in serial
-- and parallel mode. In serial mode any of the la_input channels
-- can be used as la_input for the 32bit shift register. Comparison
-- is done using the value and mask registers on the la_input in
-- parallel mode and on the shift register in serial mode.
-- If armed and 'level' has reached the configured minimum value,
-- the stage will start to check for a match.
-- The match and run output signal delay can be configured.
-- The stage will disarm itself after a match occured or when reset is set.
--
-- The stage supports "high speed demux" operation in serial and parallel
-- mode. (Lower and upper 16 channels contain a 16bit sample each.)
--
-- Matching is done using a pipeline. This should not increase the minimum
-- time needed between two dependend trigger stage matches, because the
-- dependence is evaluated in the last pipeline step.
-- It does however increase the delay for the capturing process, but this
-- can easily be compensated by software.
-- (By adjusting the before/after ratio.)
--
-- Changes: Synchronous reset.
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity stage is
port(
la_input : in std_logic_vector (31 downto 0);
la_inputReady : in std_logic;
data : in std_logic_vector (31 downto 0);
clock : in std_logic;
reset : in std_logic;
wrMask : in std_logic;
wrValue : in std_logic;
wrConfig : in std_logic;
arm : in std_logic;
level : in std_logic_vector (1 downto 0);
demuxed : in std_logic;
run : out std_logic;
match : out std_logic
);
end stage;
architecture behavioral of stage is
type STATES is (OFF, ARMED, MATCHED);
signal maskRegister, valueRegister : std_logic_vector (31 downto 0);
signal intermediateRegister, shiftRegister : std_logic_vector (31 downto 0);
signal testValue: std_logic_vector (31 downto 0);
signal cfgStart, cfgSerial : std_logic;
signal cfgChannel : std_logic_vector(4 downto 0);
signal cfgLevel : std_logic_vector(1 downto 0);
signal counter, cfgDelay : std_logic_vector(15 downto 0);
signal matchL16, matchH16, match32Register : std_logic;
signal state : STATES;
signal serialChannelL16, serialChannelH16 : std_logic;
begin
-- use shift register or la_input depending on configuration
testValue <= shiftRegister when cfgSerial = '1' else la_input;
-- apply mask and value and create a additional pipeline step
process(clock)
begin
if rising_edge(clock) then
intermediateRegister <= (testValue xor valueRegister) and maskRegister;
end if;
end process;
-- match upper and lower word separately
matchL16 <= '1' when intermediateRegister(15 downto 0) = "0000000000000000" else '0';
matchH16 <= '1' when intermediateRegister(31 downto 16) = "0000000000000000" else '0';
-- in demux mode only one half must match, in normal mode both words must match
process(clock)
begin
if rising_edge(clock) then
if demuxed = '1' then
match32Register <= matchL16 or matchH16;
else
match32Register <= matchL16 and matchH16;
end if;
end if;
end process;
-- select serial channel based on cfgChannel
process(la_input, cfgChannel)
begin
for i in 0 to 15 loop
if conv_integer(cfgChannel(3 downto 0)) = i then
serialChannelL16 <= la_input(i);
serialChannelH16 <= la_input(i + 16);
end if;
end loop;
end process;
-- shift in bit from selected channel whenever la_input is ready
process(clock)
begin
if rising_edge(clock) then
if la_inputReady = '1' then
if demuxed = '1' then -- in demux mode two bits come in per sample
shiftRegister <= shiftRegister(29 downto 0) & serialChannelH16 & serialChannelL16;
elsif cfgChannel(4) = '1' then
shiftRegister <= shiftRegister(30 downto 0) & serialChannelH16;
else
shiftRegister <= shiftRegister(30 downto 0) & serialChannelL16;
end if;
end if;
end if;
end process;
-- trigger state machine
process(clock, reset)
begin
if rising_edge(clock) then
if reset = '1' then
state <= OFF;
else
run <= '0';
match <= '0';
case state is
when OFF =>
if arm = '1' then
state <= ARMED;
end if;
when ARMED =>
if match32Register = '1' and level >= cfgLevel then
counter <= cfgDelay;
state <= MATCHED;
end if;
when MATCHED =>
if la_inputReady = '1' then
if counter = "0000000000000000" then
run <= cfgStart;
match <= not cfgStart;
state <= OFF;
else
counter <= counter - 1;
end if;
end if;
end case;
end if;
end if;
end process;
-- handle mask, value & config register write requests
process(clock)
begin
if rising_edge(clock) then
if wrMask = '1' then
maskRegister <= data;
end if;
if wrValue = '1' then
valueRegister <= data;
end if;
if wrConfig = '1' then
cfgStart <= data(27);
cfgSerial <= data(26);
cfgChannel <= data(24 downto 20);
cfgLevel <= data(17 downto 16);
cfgDelay <= data(15 downto 0);
end if;
end if;
end process;
end behavioral;
|
----------------------------------------------------------------------------------
-- stage.vhd
--
-- Copyright (C) 2006 Michael Poppitz
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along
-- with this program; if not, write to the Free Software Foundation, Inc.,
-- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA
--
----------------------------------------------------------------------------------
--
-- Details: http://www.sump.org/projects/analyzer/
--
-- Programmable 32 channel trigger stage. It can operate in serial
-- and parallel mode. In serial mode any of the la_input channels
-- can be used as la_input for the 32bit shift register. Comparison
-- is done using the value and mask registers on the la_input in
-- parallel mode and on the shift register in serial mode.
-- If armed and 'level' has reached the configured minimum value,
-- the stage will start to check for a match.
-- The match and run output signal delay can be configured.
-- The stage will disarm itself after a match occured or when reset is set.
--
-- The stage supports "high speed demux" operation in serial and parallel
-- mode. (Lower and upper 16 channels contain a 16bit sample each.)
--
-- Matching is done using a pipeline. This should not increase the minimum
-- time needed between two dependend trigger stage matches, because the
-- dependence is evaluated in the last pipeline step.
-- It does however increase the delay for the capturing process, but this
-- can easily be compensated by software.
-- (By adjusting the before/after ratio.)
--
-- Changes: Synchronous reset.
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity stage is
port(
la_input : in std_logic_vector (31 downto 0);
la_inputReady : in std_logic;
data : in std_logic_vector (31 downto 0);
clock : in std_logic;
reset : in std_logic;
wrMask : in std_logic;
wrValue : in std_logic;
wrConfig : in std_logic;
arm : in std_logic;
level : in std_logic_vector (1 downto 0);
demuxed : in std_logic;
run : out std_logic;
match : out std_logic
);
end stage;
architecture behavioral of stage is
type STATES is (OFF, ARMED, MATCHED);
signal maskRegister, valueRegister : std_logic_vector (31 downto 0);
signal intermediateRegister, shiftRegister : std_logic_vector (31 downto 0);
signal testValue: std_logic_vector (31 downto 0);
signal cfgStart, cfgSerial : std_logic;
signal cfgChannel : std_logic_vector(4 downto 0);
signal cfgLevel : std_logic_vector(1 downto 0);
signal counter, cfgDelay : std_logic_vector(15 downto 0);
signal matchL16, matchH16, match32Register : std_logic;
signal state : STATES;
signal serialChannelL16, serialChannelH16 : std_logic;
begin
-- use shift register or la_input depending on configuration
testValue <= shiftRegister when cfgSerial = '1' else la_input;
-- apply mask and value and create a additional pipeline step
process(clock)
begin
if rising_edge(clock) then
intermediateRegister <= (testValue xor valueRegister) and maskRegister;
end if;
end process;
-- match upper and lower word separately
matchL16 <= '1' when intermediateRegister(15 downto 0) = "0000000000000000" else '0';
matchH16 <= '1' when intermediateRegister(31 downto 16) = "0000000000000000" else '0';
-- in demux mode only one half must match, in normal mode both words must match
process(clock)
begin
if rising_edge(clock) then
if demuxed = '1' then
match32Register <= matchL16 or matchH16;
else
match32Register <= matchL16 and matchH16;
end if;
end if;
end process;
-- select serial channel based on cfgChannel
process(la_input, cfgChannel)
begin
for i in 0 to 15 loop
if conv_integer(cfgChannel(3 downto 0)) = i then
serialChannelL16 <= la_input(i);
serialChannelH16 <= la_input(i + 16);
end if;
end loop;
end process;
-- shift in bit from selected channel whenever la_input is ready
process(clock)
begin
if rising_edge(clock) then
if la_inputReady = '1' then
if demuxed = '1' then -- in demux mode two bits come in per sample
shiftRegister <= shiftRegister(29 downto 0) & serialChannelH16 & serialChannelL16;
elsif cfgChannel(4) = '1' then
shiftRegister <= shiftRegister(30 downto 0) & serialChannelH16;
else
shiftRegister <= shiftRegister(30 downto 0) & serialChannelL16;
end if;
end if;
end if;
end process;
-- trigger state machine
process(clock, reset)
begin
if rising_edge(clock) then
if reset = '1' then
state <= OFF;
else
run <= '0';
match <= '0';
case state is
when OFF =>
if arm = '1' then
state <= ARMED;
end if;
when ARMED =>
if match32Register = '1' and level >= cfgLevel then
counter <= cfgDelay;
state <= MATCHED;
end if;
when MATCHED =>
if la_inputReady = '1' then
if counter = "0000000000000000" then
run <= cfgStart;
match <= not cfgStart;
state <= OFF;
else
counter <= counter - 1;
end if;
end if;
end case;
end if;
end if;
end process;
-- handle mask, value & config register write requests
process(clock)
begin
if rising_edge(clock) then
if wrMask = '1' then
maskRegister <= data;
end if;
if wrValue = '1' then
valueRegister <= data;
end if;
if wrConfig = '1' then
cfgStart <= data(27);
cfgSerial <= data(26);
cfgChannel <= data(24 downto 20);
cfgLevel <= data(17 downto 16);
cfgDelay <= data(15 downto 0);
end if;
end if;
end process;
end behavioral;
|
----------------------------------------------------------------------------------
-- stage.vhd
--
-- Copyright (C) 2006 Michael Poppitz
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along
-- with this program; if not, write to the Free Software Foundation, Inc.,
-- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA
--
----------------------------------------------------------------------------------
--
-- Details: http://www.sump.org/projects/analyzer/
--
-- Programmable 32 channel trigger stage. It can operate in serial
-- and parallel mode. In serial mode any of the la_input channels
-- can be used as la_input for the 32bit shift register. Comparison
-- is done using the value and mask registers on the la_input in
-- parallel mode and on the shift register in serial mode.
-- If armed and 'level' has reached the configured minimum value,
-- the stage will start to check for a match.
-- The match and run output signal delay can be configured.
-- The stage will disarm itself after a match occured or when reset is set.
--
-- The stage supports "high speed demux" operation in serial and parallel
-- mode. (Lower and upper 16 channels contain a 16bit sample each.)
--
-- Matching is done using a pipeline. This should not increase the minimum
-- time needed between two dependend trigger stage matches, because the
-- dependence is evaluated in the last pipeline step.
-- It does however increase the delay for the capturing process, but this
-- can easily be compensated by software.
-- (By adjusting the before/after ratio.)
--
-- Changes: Synchronous reset.
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity stage is
port(
la_input : in std_logic_vector (31 downto 0);
la_inputReady : in std_logic;
data : in std_logic_vector (31 downto 0);
clock : in std_logic;
reset : in std_logic;
wrMask : in std_logic;
wrValue : in std_logic;
wrConfig : in std_logic;
arm : in std_logic;
level : in std_logic_vector (1 downto 0);
demuxed : in std_logic;
run : out std_logic;
match : out std_logic
);
end stage;
architecture behavioral of stage is
type STATES is (OFF, ARMED, MATCHED);
signal maskRegister, valueRegister : std_logic_vector (31 downto 0);
signal intermediateRegister, shiftRegister : std_logic_vector (31 downto 0);
signal testValue: std_logic_vector (31 downto 0);
signal cfgStart, cfgSerial : std_logic;
signal cfgChannel : std_logic_vector(4 downto 0);
signal cfgLevel : std_logic_vector(1 downto 0);
signal counter, cfgDelay : std_logic_vector(15 downto 0);
signal matchL16, matchH16, match32Register : std_logic;
signal state : STATES;
signal serialChannelL16, serialChannelH16 : std_logic;
begin
-- use shift register or la_input depending on configuration
testValue <= shiftRegister when cfgSerial = '1' else la_input;
-- apply mask and value and create a additional pipeline step
process(clock)
begin
if rising_edge(clock) then
intermediateRegister <= (testValue xor valueRegister) and maskRegister;
end if;
end process;
-- match upper and lower word separately
matchL16 <= '1' when intermediateRegister(15 downto 0) = "0000000000000000" else '0';
matchH16 <= '1' when intermediateRegister(31 downto 16) = "0000000000000000" else '0';
-- in demux mode only one half must match, in normal mode both words must match
process(clock)
begin
if rising_edge(clock) then
if demuxed = '1' then
match32Register <= matchL16 or matchH16;
else
match32Register <= matchL16 and matchH16;
end if;
end if;
end process;
-- select serial channel based on cfgChannel
process(la_input, cfgChannel)
begin
for i in 0 to 15 loop
if conv_integer(cfgChannel(3 downto 0)) = i then
serialChannelL16 <= la_input(i);
serialChannelH16 <= la_input(i + 16);
end if;
end loop;
end process;
-- shift in bit from selected channel whenever la_input is ready
process(clock)
begin
if rising_edge(clock) then
if la_inputReady = '1' then
if demuxed = '1' then -- in demux mode two bits come in per sample
shiftRegister <= shiftRegister(29 downto 0) & serialChannelH16 & serialChannelL16;
elsif cfgChannel(4) = '1' then
shiftRegister <= shiftRegister(30 downto 0) & serialChannelH16;
else
shiftRegister <= shiftRegister(30 downto 0) & serialChannelL16;
end if;
end if;
end if;
end process;
-- trigger state machine
process(clock, reset)
begin
if rising_edge(clock) then
if reset = '1' then
state <= OFF;
else
run <= '0';
match <= '0';
case state is
when OFF =>
if arm = '1' then
state <= ARMED;
end if;
when ARMED =>
if match32Register = '1' and level >= cfgLevel then
counter <= cfgDelay;
state <= MATCHED;
end if;
when MATCHED =>
if la_inputReady = '1' then
if counter = "0000000000000000" then
run <= cfgStart;
match <= not cfgStart;
state <= OFF;
else
counter <= counter - 1;
end if;
end if;
end case;
end if;
end if;
end process;
-- handle mask, value & config register write requests
process(clock)
begin
if rising_edge(clock) then
if wrMask = '1' then
maskRegister <= data;
end if;
if wrValue = '1' then
valueRegister <= data;
end if;
if wrConfig = '1' then
cfgStart <= data(27);
cfgSerial <= data(26);
cfgChannel <= data(24 downto 20);
cfgLevel <= data(17 downto 16);
cfgDelay <= data(15 downto 0);
end if;
end if;
end process;
end behavioral;
|
----------------------------------------------------------------------------------
-- stage.vhd
--
-- Copyright (C) 2006 Michael Poppitz
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along
-- with this program; if not, write to the Free Software Foundation, Inc.,
-- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA
--
----------------------------------------------------------------------------------
--
-- Details: http://www.sump.org/projects/analyzer/
--
-- Programmable 32 channel trigger stage. It can operate in serial
-- and parallel mode. In serial mode any of the la_input channels
-- can be used as la_input for the 32bit shift register. Comparison
-- is done using the value and mask registers on the la_input in
-- parallel mode and on the shift register in serial mode.
-- If armed and 'level' has reached the configured minimum value,
-- the stage will start to check for a match.
-- The match and run output signal delay can be configured.
-- The stage will disarm itself after a match occured or when reset is set.
--
-- The stage supports "high speed demux" operation in serial and parallel
-- mode. (Lower and upper 16 channels contain a 16bit sample each.)
--
-- Matching is done using a pipeline. This should not increase the minimum
-- time needed between two dependend trigger stage matches, because the
-- dependence is evaluated in the last pipeline step.
-- It does however increase the delay for the capturing process, but this
-- can easily be compensated by software.
-- (By adjusting the before/after ratio.)
--
-- Changes: Synchronous reset.
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity stage is
port(
la_input : in std_logic_vector (31 downto 0);
la_inputReady : in std_logic;
data : in std_logic_vector (31 downto 0);
clock : in std_logic;
reset : in std_logic;
wrMask : in std_logic;
wrValue : in std_logic;
wrConfig : in std_logic;
arm : in std_logic;
level : in std_logic_vector (1 downto 0);
demuxed : in std_logic;
run : out std_logic;
match : out std_logic
);
end stage;
architecture behavioral of stage is
type STATES is (OFF, ARMED, MATCHED);
signal maskRegister, valueRegister : std_logic_vector (31 downto 0);
signal intermediateRegister, shiftRegister : std_logic_vector (31 downto 0);
signal testValue: std_logic_vector (31 downto 0);
signal cfgStart, cfgSerial : std_logic;
signal cfgChannel : std_logic_vector(4 downto 0);
signal cfgLevel : std_logic_vector(1 downto 0);
signal counter, cfgDelay : std_logic_vector(15 downto 0);
signal matchL16, matchH16, match32Register : std_logic;
signal state : STATES;
signal serialChannelL16, serialChannelH16 : std_logic;
begin
-- use shift register or la_input depending on configuration
testValue <= shiftRegister when cfgSerial = '1' else la_input;
-- apply mask and value and create a additional pipeline step
process(clock)
begin
if rising_edge(clock) then
intermediateRegister <= (testValue xor valueRegister) and maskRegister;
end if;
end process;
-- match upper and lower word separately
matchL16 <= '1' when intermediateRegister(15 downto 0) = "0000000000000000" else '0';
matchH16 <= '1' when intermediateRegister(31 downto 16) = "0000000000000000" else '0';
-- in demux mode only one half must match, in normal mode both words must match
process(clock)
begin
if rising_edge(clock) then
if demuxed = '1' then
match32Register <= matchL16 or matchH16;
else
match32Register <= matchL16 and matchH16;
end if;
end if;
end process;
-- select serial channel based on cfgChannel
process(la_input, cfgChannel)
begin
for i in 0 to 15 loop
if conv_integer(cfgChannel(3 downto 0)) = i then
serialChannelL16 <= la_input(i);
serialChannelH16 <= la_input(i + 16);
end if;
end loop;
end process;
-- shift in bit from selected channel whenever la_input is ready
process(clock)
begin
if rising_edge(clock) then
if la_inputReady = '1' then
if demuxed = '1' then -- in demux mode two bits come in per sample
shiftRegister <= shiftRegister(29 downto 0) & serialChannelH16 & serialChannelL16;
elsif cfgChannel(4) = '1' then
shiftRegister <= shiftRegister(30 downto 0) & serialChannelH16;
else
shiftRegister <= shiftRegister(30 downto 0) & serialChannelL16;
end if;
end if;
end if;
end process;
-- trigger state machine
process(clock, reset)
begin
if rising_edge(clock) then
if reset = '1' then
state <= OFF;
else
run <= '0';
match <= '0';
case state is
when OFF =>
if arm = '1' then
state <= ARMED;
end if;
when ARMED =>
if match32Register = '1' and level >= cfgLevel then
counter <= cfgDelay;
state <= MATCHED;
end if;
when MATCHED =>
if la_inputReady = '1' then
if counter = "0000000000000000" then
run <= cfgStart;
match <= not cfgStart;
state <= OFF;
else
counter <= counter - 1;
end if;
end if;
end case;
end if;
end if;
end process;
-- handle mask, value & config register write requests
process(clock)
begin
if rising_edge(clock) then
if wrMask = '1' then
maskRegister <= data;
end if;
if wrValue = '1' then
valueRegister <= data;
end if;
if wrConfig = '1' then
cfgStart <= data(27);
cfgSerial <= data(26);
cfgChannel <= data(24 downto 20);
cfgLevel <= data(17 downto 16);
cfgDelay <= data(15 downto 0);
end if;
end if;
end process;
end behavioral;
|
----------------------------------------------------------------------------------
-- stage.vhd
--
-- Copyright (C) 2006 Michael Poppitz
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along
-- with this program; if not, write to the Free Software Foundation, Inc.,
-- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA
--
----------------------------------------------------------------------------------
--
-- Details: http://www.sump.org/projects/analyzer/
--
-- Programmable 32 channel trigger stage. It can operate in serial
-- and parallel mode. In serial mode any of the la_input channels
-- can be used as la_input for the 32bit shift register. Comparison
-- is done using the value and mask registers on the la_input in
-- parallel mode and on the shift register in serial mode.
-- If armed and 'level' has reached the configured minimum value,
-- the stage will start to check for a match.
-- The match and run output signal delay can be configured.
-- The stage will disarm itself after a match occured or when reset is set.
--
-- The stage supports "high speed demux" operation in serial and parallel
-- mode. (Lower and upper 16 channels contain a 16bit sample each.)
--
-- Matching is done using a pipeline. This should not increase the minimum
-- time needed between two dependend trigger stage matches, because the
-- dependence is evaluated in the last pipeline step.
-- It does however increase the delay for the capturing process, but this
-- can easily be compensated by software.
-- (By adjusting the before/after ratio.)
--
-- Changes: Synchronous reset.
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity stage is
port(
la_input : in std_logic_vector (31 downto 0);
la_inputReady : in std_logic;
data : in std_logic_vector (31 downto 0);
clock : in std_logic;
reset : in std_logic;
wrMask : in std_logic;
wrValue : in std_logic;
wrConfig : in std_logic;
arm : in std_logic;
level : in std_logic_vector (1 downto 0);
demuxed : in std_logic;
run : out std_logic;
match : out std_logic
);
end stage;
architecture behavioral of stage is
type STATES is (OFF, ARMED, MATCHED);
signal maskRegister, valueRegister : std_logic_vector (31 downto 0);
signal intermediateRegister, shiftRegister : std_logic_vector (31 downto 0);
signal testValue: std_logic_vector (31 downto 0);
signal cfgStart, cfgSerial : std_logic;
signal cfgChannel : std_logic_vector(4 downto 0);
signal cfgLevel : std_logic_vector(1 downto 0);
signal counter, cfgDelay : std_logic_vector(15 downto 0);
signal matchL16, matchH16, match32Register : std_logic;
signal state : STATES;
signal serialChannelL16, serialChannelH16 : std_logic;
begin
-- use shift register or la_input depending on configuration
testValue <= shiftRegister when cfgSerial = '1' else la_input;
-- apply mask and value and create a additional pipeline step
process(clock)
begin
if rising_edge(clock) then
intermediateRegister <= (testValue xor valueRegister) and maskRegister;
end if;
end process;
-- match upper and lower word separately
matchL16 <= '1' when intermediateRegister(15 downto 0) = "0000000000000000" else '0';
matchH16 <= '1' when intermediateRegister(31 downto 16) = "0000000000000000" else '0';
-- in demux mode only one half must match, in normal mode both words must match
process(clock)
begin
if rising_edge(clock) then
if demuxed = '1' then
match32Register <= matchL16 or matchH16;
else
match32Register <= matchL16 and matchH16;
end if;
end if;
end process;
-- select serial channel based on cfgChannel
process(la_input, cfgChannel)
begin
for i in 0 to 15 loop
if conv_integer(cfgChannel(3 downto 0)) = i then
serialChannelL16 <= la_input(i);
serialChannelH16 <= la_input(i + 16);
end if;
end loop;
end process;
-- shift in bit from selected channel whenever la_input is ready
process(clock)
begin
if rising_edge(clock) then
if la_inputReady = '1' then
if demuxed = '1' then -- in demux mode two bits come in per sample
shiftRegister <= shiftRegister(29 downto 0) & serialChannelH16 & serialChannelL16;
elsif cfgChannel(4) = '1' then
shiftRegister <= shiftRegister(30 downto 0) & serialChannelH16;
else
shiftRegister <= shiftRegister(30 downto 0) & serialChannelL16;
end if;
end if;
end if;
end process;
-- trigger state machine
process(clock, reset)
begin
if rising_edge(clock) then
if reset = '1' then
state <= OFF;
else
run <= '0';
match <= '0';
case state is
when OFF =>
if arm = '1' then
state <= ARMED;
end if;
when ARMED =>
if match32Register = '1' and level >= cfgLevel then
counter <= cfgDelay;
state <= MATCHED;
end if;
when MATCHED =>
if la_inputReady = '1' then
if counter = "0000000000000000" then
run <= cfgStart;
match <= not cfgStart;
state <= OFF;
else
counter <= counter - 1;
end if;
end if;
end case;
end if;
end if;
end process;
-- handle mask, value & config register write requests
process(clock)
begin
if rising_edge(clock) then
if wrMask = '1' then
maskRegister <= data;
end if;
if wrValue = '1' then
valueRegister <= data;
end if;
if wrConfig = '1' then
cfgStart <= data(27);
cfgSerial <= data(26);
cfgChannel <= data(24 downto 20);
cfgLevel <= data(17 downto 16);
cfgDelay <= data(15 downto 0);
end if;
end if;
end process;
end behavioral;
|
----------------------------------------------------------------------------------
-- stage.vhd
--
-- Copyright (C) 2006 Michael Poppitz
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along
-- with this program; if not, write to the Free Software Foundation, Inc.,
-- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA
--
----------------------------------------------------------------------------------
--
-- Details: http://www.sump.org/projects/analyzer/
--
-- Programmable 32 channel trigger stage. It can operate in serial
-- and parallel mode. In serial mode any of the la_input channels
-- can be used as la_input for the 32bit shift register. Comparison
-- is done using the value and mask registers on the la_input in
-- parallel mode and on the shift register in serial mode.
-- If armed and 'level' has reached the configured minimum value,
-- the stage will start to check for a match.
-- The match and run output signal delay can be configured.
-- The stage will disarm itself after a match occured or when reset is set.
--
-- The stage supports "high speed demux" operation in serial and parallel
-- mode. (Lower and upper 16 channels contain a 16bit sample each.)
--
-- Matching is done using a pipeline. This should not increase the minimum
-- time needed between two dependend trigger stage matches, because the
-- dependence is evaluated in the last pipeline step.
-- It does however increase the delay for the capturing process, but this
-- can easily be compensated by software.
-- (By adjusting the before/after ratio.)
--
-- Changes: Synchronous reset.
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity stage is
port(
la_input : in std_logic_vector (31 downto 0);
la_inputReady : in std_logic;
data : in std_logic_vector (31 downto 0);
clock : in std_logic;
reset : in std_logic;
wrMask : in std_logic;
wrValue : in std_logic;
wrConfig : in std_logic;
arm : in std_logic;
level : in std_logic_vector (1 downto 0);
demuxed : in std_logic;
run : out std_logic;
match : out std_logic
);
end stage;
architecture behavioral of stage is
type STATES is (OFF, ARMED, MATCHED);
signal maskRegister, valueRegister : std_logic_vector (31 downto 0);
signal intermediateRegister, shiftRegister : std_logic_vector (31 downto 0);
signal testValue: std_logic_vector (31 downto 0);
signal cfgStart, cfgSerial : std_logic;
signal cfgChannel : std_logic_vector(4 downto 0);
signal cfgLevel : std_logic_vector(1 downto 0);
signal counter, cfgDelay : std_logic_vector(15 downto 0);
signal matchL16, matchH16, match32Register : std_logic;
signal state : STATES;
signal serialChannelL16, serialChannelH16 : std_logic;
begin
-- use shift register or la_input depending on configuration
testValue <= shiftRegister when cfgSerial = '1' else la_input;
-- apply mask and value and create a additional pipeline step
process(clock)
begin
if rising_edge(clock) then
intermediateRegister <= (testValue xor valueRegister) and maskRegister;
end if;
end process;
-- match upper and lower word separately
matchL16 <= '1' when intermediateRegister(15 downto 0) = "0000000000000000" else '0';
matchH16 <= '1' when intermediateRegister(31 downto 16) = "0000000000000000" else '0';
-- in demux mode only one half must match, in normal mode both words must match
process(clock)
begin
if rising_edge(clock) then
if demuxed = '1' then
match32Register <= matchL16 or matchH16;
else
match32Register <= matchL16 and matchH16;
end if;
end if;
end process;
-- select serial channel based on cfgChannel
process(la_input, cfgChannel)
begin
for i in 0 to 15 loop
if conv_integer(cfgChannel(3 downto 0)) = i then
serialChannelL16 <= la_input(i);
serialChannelH16 <= la_input(i + 16);
end if;
end loop;
end process;
-- shift in bit from selected channel whenever la_input is ready
process(clock)
begin
if rising_edge(clock) then
if la_inputReady = '1' then
if demuxed = '1' then -- in demux mode two bits come in per sample
shiftRegister <= shiftRegister(29 downto 0) & serialChannelH16 & serialChannelL16;
elsif cfgChannel(4) = '1' then
shiftRegister <= shiftRegister(30 downto 0) & serialChannelH16;
else
shiftRegister <= shiftRegister(30 downto 0) & serialChannelL16;
end if;
end if;
end if;
end process;
-- trigger state machine
process(clock, reset)
begin
if rising_edge(clock) then
if reset = '1' then
state <= OFF;
else
run <= '0';
match <= '0';
case state is
when OFF =>
if arm = '1' then
state <= ARMED;
end if;
when ARMED =>
if match32Register = '1' and level >= cfgLevel then
counter <= cfgDelay;
state <= MATCHED;
end if;
when MATCHED =>
if la_inputReady = '1' then
if counter = "0000000000000000" then
run <= cfgStart;
match <= not cfgStart;
state <= OFF;
else
counter <= counter - 1;
end if;
end if;
end case;
end if;
end if;
end process;
-- handle mask, value & config register write requests
process(clock)
begin
if rising_edge(clock) then
if wrMask = '1' then
maskRegister <= data;
end if;
if wrValue = '1' then
valueRegister <= data;
end if;
if wrConfig = '1' then
cfgStart <= data(27);
cfgSerial <= data(26);
cfgChannel <= data(24 downto 20);
cfgLevel <= data(17 downto 16);
cfgDelay <= data(15 downto 0);
end if;
end if;
end process;
end behavioral;
|
----------------------------------------------------------------------------------
-- stage.vhd
--
-- Copyright (C) 2006 Michael Poppitz
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along
-- with this program; if not, write to the Free Software Foundation, Inc.,
-- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA
--
----------------------------------------------------------------------------------
--
-- Details: http://www.sump.org/projects/analyzer/
--
-- Programmable 32 channel trigger stage. It can operate in serial
-- and parallel mode. In serial mode any of the la_input channels
-- can be used as la_input for the 32bit shift register. Comparison
-- is done using the value and mask registers on the la_input in
-- parallel mode and on the shift register in serial mode.
-- If armed and 'level' has reached the configured minimum value,
-- the stage will start to check for a match.
-- The match and run output signal delay can be configured.
-- The stage will disarm itself after a match occured or when reset is set.
--
-- The stage supports "high speed demux" operation in serial and parallel
-- mode. (Lower and upper 16 channels contain a 16bit sample each.)
--
-- Matching is done using a pipeline. This should not increase the minimum
-- time needed between two dependend trigger stage matches, because the
-- dependence is evaluated in the last pipeline step.
-- It does however increase the delay for the capturing process, but this
-- can easily be compensated by software.
-- (By adjusting the before/after ratio.)
--
-- Changes: Synchronous reset.
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity stage is
port(
la_input : in std_logic_vector (31 downto 0);
la_inputReady : in std_logic;
data : in std_logic_vector (31 downto 0);
clock : in std_logic;
reset : in std_logic;
wrMask : in std_logic;
wrValue : in std_logic;
wrConfig : in std_logic;
arm : in std_logic;
level : in std_logic_vector (1 downto 0);
demuxed : in std_logic;
run : out std_logic;
match : out std_logic
);
end stage;
architecture behavioral of stage is
type STATES is (OFF, ARMED, MATCHED);
signal maskRegister, valueRegister : std_logic_vector (31 downto 0);
signal intermediateRegister, shiftRegister : std_logic_vector (31 downto 0);
signal testValue: std_logic_vector (31 downto 0);
signal cfgStart, cfgSerial : std_logic;
signal cfgChannel : std_logic_vector(4 downto 0);
signal cfgLevel : std_logic_vector(1 downto 0);
signal counter, cfgDelay : std_logic_vector(15 downto 0);
signal matchL16, matchH16, match32Register : std_logic;
signal state : STATES;
signal serialChannelL16, serialChannelH16 : std_logic;
begin
-- use shift register or la_input depending on configuration
testValue <= shiftRegister when cfgSerial = '1' else la_input;
-- apply mask and value and create a additional pipeline step
process(clock)
begin
if rising_edge(clock) then
intermediateRegister <= (testValue xor valueRegister) and maskRegister;
end if;
end process;
-- match upper and lower word separately
matchL16 <= '1' when intermediateRegister(15 downto 0) = "0000000000000000" else '0';
matchH16 <= '1' when intermediateRegister(31 downto 16) = "0000000000000000" else '0';
-- in demux mode only one half must match, in normal mode both words must match
process(clock)
begin
if rising_edge(clock) then
if demuxed = '1' then
match32Register <= matchL16 or matchH16;
else
match32Register <= matchL16 and matchH16;
end if;
end if;
end process;
-- select serial channel based on cfgChannel
process(la_input, cfgChannel)
begin
for i in 0 to 15 loop
if conv_integer(cfgChannel(3 downto 0)) = i then
serialChannelL16 <= la_input(i);
serialChannelH16 <= la_input(i + 16);
end if;
end loop;
end process;
-- shift in bit from selected channel whenever la_input is ready
process(clock)
begin
if rising_edge(clock) then
if la_inputReady = '1' then
if demuxed = '1' then -- in demux mode two bits come in per sample
shiftRegister <= shiftRegister(29 downto 0) & serialChannelH16 & serialChannelL16;
elsif cfgChannel(4) = '1' then
shiftRegister <= shiftRegister(30 downto 0) & serialChannelH16;
else
shiftRegister <= shiftRegister(30 downto 0) & serialChannelL16;
end if;
end if;
end if;
end process;
-- trigger state machine
process(clock, reset)
begin
if rising_edge(clock) then
if reset = '1' then
state <= OFF;
else
run <= '0';
match <= '0';
case state is
when OFF =>
if arm = '1' then
state <= ARMED;
end if;
when ARMED =>
if match32Register = '1' and level >= cfgLevel then
counter <= cfgDelay;
state <= MATCHED;
end if;
when MATCHED =>
if la_inputReady = '1' then
if counter = "0000000000000000" then
run <= cfgStart;
match <= not cfgStart;
state <= OFF;
else
counter <= counter - 1;
end if;
end if;
end case;
end if;
end if;
end process;
-- handle mask, value & config register write requests
process(clock)
begin
if rising_edge(clock) then
if wrMask = '1' then
maskRegister <= data;
end if;
if wrValue = '1' then
valueRegister <= data;
end if;
if wrConfig = '1' then
cfgStart <= data(27);
cfgSerial <= data(26);
cfgChannel <= data(24 downto 20);
cfgLevel <= data(17 downto 16);
cfgDelay <= data(15 downto 0);
end if;
end if;
end process;
end behavioral;
|
----------------------------------------------------------------------------------
-- stage.vhd
--
-- Copyright (C) 2006 Michael Poppitz
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along
-- with this program; if not, write to the Free Software Foundation, Inc.,
-- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA
--
----------------------------------------------------------------------------------
--
-- Details: http://www.sump.org/projects/analyzer/
--
-- Programmable 32 channel trigger stage. It can operate in serial
-- and parallel mode. In serial mode any of the la_input channels
-- can be used as la_input for the 32bit shift register. Comparison
-- is done using the value and mask registers on the la_input in
-- parallel mode and on the shift register in serial mode.
-- If armed and 'level' has reached the configured minimum value,
-- the stage will start to check for a match.
-- The match and run output signal delay can be configured.
-- The stage will disarm itself after a match occured or when reset is set.
--
-- The stage supports "high speed demux" operation in serial and parallel
-- mode. (Lower and upper 16 channels contain a 16bit sample each.)
--
-- Matching is done using a pipeline. This should not increase the minimum
-- time needed between two dependend trigger stage matches, because the
-- dependence is evaluated in the last pipeline step.
-- It does however increase the delay for the capturing process, but this
-- can easily be compensated by software.
-- (By adjusting the before/after ratio.)
--
-- Changes: Synchronous reset.
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity stage is
port(
la_input : in std_logic_vector (31 downto 0);
la_inputReady : in std_logic;
data : in std_logic_vector (31 downto 0);
clock : in std_logic;
reset : in std_logic;
wrMask : in std_logic;
wrValue : in std_logic;
wrConfig : in std_logic;
arm : in std_logic;
level : in std_logic_vector (1 downto 0);
demuxed : in std_logic;
run : out std_logic;
match : out std_logic
);
end stage;
architecture behavioral of stage is
type STATES is (OFF, ARMED, MATCHED);
signal maskRegister, valueRegister : std_logic_vector (31 downto 0);
signal intermediateRegister, shiftRegister : std_logic_vector (31 downto 0);
signal testValue: std_logic_vector (31 downto 0);
signal cfgStart, cfgSerial : std_logic;
signal cfgChannel : std_logic_vector(4 downto 0);
signal cfgLevel : std_logic_vector(1 downto 0);
signal counter, cfgDelay : std_logic_vector(15 downto 0);
signal matchL16, matchH16, match32Register : std_logic;
signal state : STATES;
signal serialChannelL16, serialChannelH16 : std_logic;
begin
-- use shift register or la_input depending on configuration
testValue <= shiftRegister when cfgSerial = '1' else la_input;
-- apply mask and value and create a additional pipeline step
process(clock)
begin
if rising_edge(clock) then
intermediateRegister <= (testValue xor valueRegister) and maskRegister;
end if;
end process;
-- match upper and lower word separately
matchL16 <= '1' when intermediateRegister(15 downto 0) = "0000000000000000" else '0';
matchH16 <= '1' when intermediateRegister(31 downto 16) = "0000000000000000" else '0';
-- in demux mode only one half must match, in normal mode both words must match
process(clock)
begin
if rising_edge(clock) then
if demuxed = '1' then
match32Register <= matchL16 or matchH16;
else
match32Register <= matchL16 and matchH16;
end if;
end if;
end process;
-- select serial channel based on cfgChannel
process(la_input, cfgChannel)
begin
for i in 0 to 15 loop
if conv_integer(cfgChannel(3 downto 0)) = i then
serialChannelL16 <= la_input(i);
serialChannelH16 <= la_input(i + 16);
end if;
end loop;
end process;
-- shift in bit from selected channel whenever la_input is ready
process(clock)
begin
if rising_edge(clock) then
if la_inputReady = '1' then
if demuxed = '1' then -- in demux mode two bits come in per sample
shiftRegister <= shiftRegister(29 downto 0) & serialChannelH16 & serialChannelL16;
elsif cfgChannel(4) = '1' then
shiftRegister <= shiftRegister(30 downto 0) & serialChannelH16;
else
shiftRegister <= shiftRegister(30 downto 0) & serialChannelL16;
end if;
end if;
end if;
end process;
-- trigger state machine
process(clock, reset)
begin
if rising_edge(clock) then
if reset = '1' then
state <= OFF;
else
run <= '0';
match <= '0';
case state is
when OFF =>
if arm = '1' then
state <= ARMED;
end if;
when ARMED =>
if match32Register = '1' and level >= cfgLevel then
counter <= cfgDelay;
state <= MATCHED;
end if;
when MATCHED =>
if la_inputReady = '1' then
if counter = "0000000000000000" then
run <= cfgStart;
match <= not cfgStart;
state <= OFF;
else
counter <= counter - 1;
end if;
end if;
end case;
end if;
end if;
end process;
-- handle mask, value & config register write requests
process(clock)
begin
if rising_edge(clock) then
if wrMask = '1' then
maskRegister <= data;
end if;
if wrValue = '1' then
valueRegister <= data;
end if;
if wrConfig = '1' then
cfgStart <= data(27);
cfgSerial <= data(26);
cfgChannel <= data(24 downto 20);
cfgLevel <= data(17 downto 16);
cfgDelay <= data(15 downto 0);
end if;
end if;
end process;
end behavioral;
|
----------------------------------------------------------------------------------
-- stage.vhd
--
-- Copyright (C) 2006 Michael Poppitz
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along
-- with this program; if not, write to the Free Software Foundation, Inc.,
-- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA
--
----------------------------------------------------------------------------------
--
-- Details: http://www.sump.org/projects/analyzer/
--
-- Programmable 32 channel trigger stage. It can operate in serial
-- and parallel mode. In serial mode any of the la_input channels
-- can be used as la_input for the 32bit shift register. Comparison
-- is done using the value and mask registers on the la_input in
-- parallel mode and on the shift register in serial mode.
-- If armed and 'level' has reached the configured minimum value,
-- the stage will start to check for a match.
-- The match and run output signal delay can be configured.
-- The stage will disarm itself after a match occured or when reset is set.
--
-- The stage supports "high speed demux" operation in serial and parallel
-- mode. (Lower and upper 16 channels contain a 16bit sample each.)
--
-- Matching is done using a pipeline. This should not increase the minimum
-- time needed between two dependend trigger stage matches, because the
-- dependence is evaluated in the last pipeline step.
-- It does however increase the delay for the capturing process, but this
-- can easily be compensated by software.
-- (By adjusting the before/after ratio.)
--
-- Changes: Synchronous reset.
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity stage is
port(
la_input : in std_logic_vector (31 downto 0);
la_inputReady : in std_logic;
data : in std_logic_vector (31 downto 0);
clock : in std_logic;
reset : in std_logic;
wrMask : in std_logic;
wrValue : in std_logic;
wrConfig : in std_logic;
arm : in std_logic;
level : in std_logic_vector (1 downto 0);
demuxed : in std_logic;
run : out std_logic;
match : out std_logic
);
end stage;
architecture behavioral of stage is
type STATES is (OFF, ARMED, MATCHED);
signal maskRegister, valueRegister : std_logic_vector (31 downto 0);
signal intermediateRegister, shiftRegister : std_logic_vector (31 downto 0);
signal testValue: std_logic_vector (31 downto 0);
signal cfgStart, cfgSerial : std_logic;
signal cfgChannel : std_logic_vector(4 downto 0);
signal cfgLevel : std_logic_vector(1 downto 0);
signal counter, cfgDelay : std_logic_vector(15 downto 0);
signal matchL16, matchH16, match32Register : std_logic;
signal state : STATES;
signal serialChannelL16, serialChannelH16 : std_logic;
begin
-- use shift register or la_input depending on configuration
testValue <= shiftRegister when cfgSerial = '1' else la_input;
-- apply mask and value and create a additional pipeline step
process(clock)
begin
if rising_edge(clock) then
intermediateRegister <= (testValue xor valueRegister) and maskRegister;
end if;
end process;
-- match upper and lower word separately
matchL16 <= '1' when intermediateRegister(15 downto 0) = "0000000000000000" else '0';
matchH16 <= '1' when intermediateRegister(31 downto 16) = "0000000000000000" else '0';
-- in demux mode only one half must match, in normal mode both words must match
process(clock)
begin
if rising_edge(clock) then
if demuxed = '1' then
match32Register <= matchL16 or matchH16;
else
match32Register <= matchL16 and matchH16;
end if;
end if;
end process;
-- select serial channel based on cfgChannel
process(la_input, cfgChannel)
begin
for i in 0 to 15 loop
if conv_integer(cfgChannel(3 downto 0)) = i then
serialChannelL16 <= la_input(i);
serialChannelH16 <= la_input(i + 16);
end if;
end loop;
end process;
-- shift in bit from selected channel whenever la_input is ready
process(clock)
begin
if rising_edge(clock) then
if la_inputReady = '1' then
if demuxed = '1' then -- in demux mode two bits come in per sample
shiftRegister <= shiftRegister(29 downto 0) & serialChannelH16 & serialChannelL16;
elsif cfgChannel(4) = '1' then
shiftRegister <= shiftRegister(30 downto 0) & serialChannelH16;
else
shiftRegister <= shiftRegister(30 downto 0) & serialChannelL16;
end if;
end if;
end if;
end process;
-- trigger state machine
process(clock, reset)
begin
if rising_edge(clock) then
if reset = '1' then
state <= OFF;
else
run <= '0';
match <= '0';
case state is
when OFF =>
if arm = '1' then
state <= ARMED;
end if;
when ARMED =>
if match32Register = '1' and level >= cfgLevel then
counter <= cfgDelay;
state <= MATCHED;
end if;
when MATCHED =>
if la_inputReady = '1' then
if counter = "0000000000000000" then
run <= cfgStart;
match <= not cfgStart;
state <= OFF;
else
counter <= counter - 1;
end if;
end if;
end case;
end if;
end if;
end process;
-- handle mask, value & config register write requests
process(clock)
begin
if rising_edge(clock) then
if wrMask = '1' then
maskRegister <= data;
end if;
if wrValue = '1' then
valueRegister <= data;
end if;
if wrConfig = '1' then
cfgStart <= data(27);
cfgSerial <= data(26);
cfgChannel <= data(24 downto 20);
cfgLevel <= data(17 downto 16);
cfgDelay <= data(15 downto 0);
end if;
end if;
end process;
end behavioral;
|
----------------------------------------------------------------------------------
-- stage.vhd
--
-- Copyright (C) 2006 Michael Poppitz
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along
-- with this program; if not, write to the Free Software Foundation, Inc.,
-- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA
--
----------------------------------------------------------------------------------
--
-- Details: http://www.sump.org/projects/analyzer/
--
-- Programmable 32 channel trigger stage. It can operate in serial
-- and parallel mode. In serial mode any of the la_input channels
-- can be used as la_input for the 32bit shift register. Comparison
-- is done using the value and mask registers on the la_input in
-- parallel mode and on the shift register in serial mode.
-- If armed and 'level' has reached the configured minimum value,
-- the stage will start to check for a match.
-- The match and run output signal delay can be configured.
-- The stage will disarm itself after a match occured or when reset is set.
--
-- The stage supports "high speed demux" operation in serial and parallel
-- mode. (Lower and upper 16 channels contain a 16bit sample each.)
--
-- Matching is done using a pipeline. This should not increase the minimum
-- time needed between two dependend trigger stage matches, because the
-- dependence is evaluated in the last pipeline step.
-- It does however increase the delay for the capturing process, but this
-- can easily be compensated by software.
-- (By adjusting the before/after ratio.)
--
-- Changes: Synchronous reset.
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity stage is
port(
la_input : in std_logic_vector (31 downto 0);
la_inputReady : in std_logic;
data : in std_logic_vector (31 downto 0);
clock : in std_logic;
reset : in std_logic;
wrMask : in std_logic;
wrValue : in std_logic;
wrConfig : in std_logic;
arm : in std_logic;
level : in std_logic_vector (1 downto 0);
demuxed : in std_logic;
run : out std_logic;
match : out std_logic
);
end stage;
architecture behavioral of stage is
type STATES is (OFF, ARMED, MATCHED);
signal maskRegister, valueRegister : std_logic_vector (31 downto 0);
signal intermediateRegister, shiftRegister : std_logic_vector (31 downto 0);
signal testValue: std_logic_vector (31 downto 0);
signal cfgStart, cfgSerial : std_logic;
signal cfgChannel : std_logic_vector(4 downto 0);
signal cfgLevel : std_logic_vector(1 downto 0);
signal counter, cfgDelay : std_logic_vector(15 downto 0);
signal matchL16, matchH16, match32Register : std_logic;
signal state : STATES;
signal serialChannelL16, serialChannelH16 : std_logic;
begin
-- use shift register or la_input depending on configuration
testValue <= shiftRegister when cfgSerial = '1' else la_input;
-- apply mask and value and create a additional pipeline step
process(clock)
begin
if rising_edge(clock) then
intermediateRegister <= (testValue xor valueRegister) and maskRegister;
end if;
end process;
-- match upper and lower word separately
matchL16 <= '1' when intermediateRegister(15 downto 0) = "0000000000000000" else '0';
matchH16 <= '1' when intermediateRegister(31 downto 16) = "0000000000000000" else '0';
-- in demux mode only one half must match, in normal mode both words must match
process(clock)
begin
if rising_edge(clock) then
if demuxed = '1' then
match32Register <= matchL16 or matchH16;
else
match32Register <= matchL16 and matchH16;
end if;
end if;
end process;
-- select serial channel based on cfgChannel
process(la_input, cfgChannel)
begin
for i in 0 to 15 loop
if conv_integer(cfgChannel(3 downto 0)) = i then
serialChannelL16 <= la_input(i);
serialChannelH16 <= la_input(i + 16);
end if;
end loop;
end process;
-- shift in bit from selected channel whenever la_input is ready
process(clock)
begin
if rising_edge(clock) then
if la_inputReady = '1' then
if demuxed = '1' then -- in demux mode two bits come in per sample
shiftRegister <= shiftRegister(29 downto 0) & serialChannelH16 & serialChannelL16;
elsif cfgChannel(4) = '1' then
shiftRegister <= shiftRegister(30 downto 0) & serialChannelH16;
else
shiftRegister <= shiftRegister(30 downto 0) & serialChannelL16;
end if;
end if;
end if;
end process;
-- trigger state machine
process(clock, reset)
begin
if rising_edge(clock) then
if reset = '1' then
state <= OFF;
else
run <= '0';
match <= '0';
case state is
when OFF =>
if arm = '1' then
state <= ARMED;
end if;
when ARMED =>
if match32Register = '1' and level >= cfgLevel then
counter <= cfgDelay;
state <= MATCHED;
end if;
when MATCHED =>
if la_inputReady = '1' then
if counter = "0000000000000000" then
run <= cfgStart;
match <= not cfgStart;
state <= OFF;
else
counter <= counter - 1;
end if;
end if;
end case;
end if;
end if;
end process;
-- handle mask, value & config register write requests
process(clock)
begin
if rising_edge(clock) then
if wrMask = '1' then
maskRegister <= data;
end if;
if wrValue = '1' then
valueRegister <= data;
end if;
if wrConfig = '1' then
cfgStart <= data(27);
cfgSerial <= data(26);
cfgChannel <= data(24 downto 20);
cfgLevel <= data(17 downto 16);
cfgDelay <= data(15 downto 0);
end if;
end if;
end process;
end behavioral;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1929.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b01x00p01n02i01929ent IS
END c07s02b01x00p01n02i01929ent;
ARCHITECTURE c07s02b01x00p01n02i01929arch OF c07s02b01x00p01n02i01929ent IS
BEGIN
TESTING: PROCESS
type B is array ( 1 to 1, 1 to 1 ) of BIT;
variable B1 : B;
BEGIN
B1 := not B'(1=>(1=>'0')); -- Failure_here
-- SEMANTIC ERROR: "not" not defined for multi-dimensional arrays.
assert FALSE
report "***FAILED TEST: c07s02b01x00p01n02i01929 - Logical operators are not valid for multi-dimensional arrays."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b01x00p01n02i01929arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1929.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b01x00p01n02i01929ent IS
END c07s02b01x00p01n02i01929ent;
ARCHITECTURE c07s02b01x00p01n02i01929arch OF c07s02b01x00p01n02i01929ent IS
BEGIN
TESTING: PROCESS
type B is array ( 1 to 1, 1 to 1 ) of BIT;
variable B1 : B;
BEGIN
B1 := not B'(1=>(1=>'0')); -- Failure_here
-- SEMANTIC ERROR: "not" not defined for multi-dimensional arrays.
assert FALSE
report "***FAILED TEST: c07s02b01x00p01n02i01929 - Logical operators are not valid for multi-dimensional arrays."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b01x00p01n02i01929arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1929.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b01x00p01n02i01929ent IS
END c07s02b01x00p01n02i01929ent;
ARCHITECTURE c07s02b01x00p01n02i01929arch OF c07s02b01x00p01n02i01929ent IS
BEGIN
TESTING: PROCESS
type B is array ( 1 to 1, 1 to 1 ) of BIT;
variable B1 : B;
BEGIN
B1 := not B'(1=>(1=>'0')); -- Failure_here
-- SEMANTIC ERROR: "not" not defined for multi-dimensional arrays.
assert FALSE
report "***FAILED TEST: c07s02b01x00p01n02i01929 - Logical operators are not valid for multi-dimensional arrays."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b01x00p01n02i01929arch;
|
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.1 (lin64) Build 881834 Fri Apr 4 14:00:25 MDT 2014
-- Date : Thu May 22 13:06:25 2014
-- Host : macbook running 64-bit Arch Linux
-- Command : write_vhdl -force -mode synth_stub
-- /home/keith/Documents/VHDL-lib/top/mono_radio/ip/fir_lp_800kHz/fir_lp_800kHz_stub.vhdl
-- Design : fir_lp_800kHz
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity fir_lp_800kHz is
Port (
aclk : in STD_LOGIC;
s_axis_data_tvalid : in STD_LOGIC;
s_axis_data_tready : out STD_LOGIC;
s_axis_data_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 111 downto 0 )
);
end fir_lp_800kHz;
architecture stub of fir_lp_800kHz is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "aclk,s_axis_data_tvalid,s_axis_data_tready,s_axis_data_tdata[31:0],m_axis_data_tvalid,m_axis_data_tdata[111:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "fir_compiler_v7_1,Vivado 2014.1";
begin
end;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
CwAsH2JarMAU19fnVItxaZLtcI/hA1AC8hBr8s5Eyx8RrWGx+rdj0kf2ovBqnUDLLQfBvgOg8Ci0
y1q10T7VEQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QJJaJlP2xiQvMnaNqYn7s0dQ32sWfJOunLC0wbjm7kgsZDx0h0iv56whrYU97YQiOjbhaiYffKA3
y9Gir6xVEQ28Pvvi8tYkZtrrtS6gddqQsb0Y542l1F6Vm74o0gWjZdpiFa9b2+rmxS/Zvm85xVag
Dp1bp/bWnvgjLC9D1II=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IzJ4/zlZv0GM4M9cdf8ivAIafkTIp33D1TTO0z8xm22/htOTMVpinaERobkyrrbdcYoqapMUdq8w
5p6MhbxSB3NDEY06ej8qJ4GuprsG3bY+Ri0wFWYOrxyhqM/v120daU+efBaaCJP9dmhzumv6MIK6
t54a6xjosHYKxLjjrLk8xZfMgpgfc/ONhHduJdxu5RFx0IIR79iCjrqOUsoH3nH/9h8N/YHeRE9Z
igo7Vt8EZK/Vu9fBCw3Ny8lFo8JMJYozOjOQVglr336YJ778oJfoNUMVdTOTRVPb1+OHTtsQRSUM
XmlnhiMPGVACAKSlGj47/QyjP4PvhUj2D0SFtA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
xh6XiB9TJmHKZUaONagrw5TObYd+vOdaTum2wNopnTCcb6n+DT7UKVCR/XlwnER9BjBbLyOrBXH9
9PVi08NfZXZhhSTXYlbD5EJZaV2gSTqfI7JEWZTSkXKL36RbEg+DSeHRjudp1l6iWo9nUWB+b2JJ
8SJDJgnjyLEhUOdi25Y=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IOGbrVeftboRkepAvFhrbWBGyAb1e+73fjImJDqW5mbcmpFDEdWVpMUAWA0hB8qAzqmEO2b7FX+d
56XxGvtZHzuOd93SqVbKd1DJwgCKvdsAs/Z3rcA48hFOWHAyg6E6SGTjk3IUW0Z0jKWWw49vEDa5
AdpFzujMfJXqdw0bCx9iQPmRKB2E1ZbbLQyyfZ7E3AqRd3kxYA9jM4IUv+pLWh/mV0QQ+V5aENmJ
fOb8wShwogDx/I417FeM5AUvNA3DUVoW4CjJfrFPS6n3UsQLZFHZ0rlsKZqy5D+jlw9vg04MrnAv
SNftgOMvTNSscLRaYAk0DXk00DI3mEEJOv41mA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7168)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
CwAsH2JarMAU19fnVItxaZLtcI/hA1AC8hBr8s5Eyx8RrWGx+rdj0kf2ovBqnUDLLQfBvgOg8Ci0
y1q10T7VEQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QJJaJlP2xiQvMnaNqYn7s0dQ32sWfJOunLC0wbjm7kgsZDx0h0iv56whrYU97YQiOjbhaiYffKA3
y9Gir6xVEQ28Pvvi8tYkZtrrtS6gddqQsb0Y542l1F6Vm74o0gWjZdpiFa9b2+rmxS/Zvm85xVag
Dp1bp/bWnvgjLC9D1II=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IzJ4/zlZv0GM4M9cdf8ivAIafkTIp33D1TTO0z8xm22/htOTMVpinaERobkyrrbdcYoqapMUdq8w
5p6MhbxSB3NDEY06ej8qJ4GuprsG3bY+Ri0wFWYOrxyhqM/v120daU+efBaaCJP9dmhzumv6MIK6
t54a6xjosHYKxLjjrLk8xZfMgpgfc/ONhHduJdxu5RFx0IIR79iCjrqOUsoH3nH/9h8N/YHeRE9Z
igo7Vt8EZK/Vu9fBCw3Ny8lFo8JMJYozOjOQVglr336YJ778oJfoNUMVdTOTRVPb1+OHTtsQRSUM
XmlnhiMPGVACAKSlGj47/QyjP4PvhUj2D0SFtA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
xh6XiB9TJmHKZUaONagrw5TObYd+vOdaTum2wNopnTCcb6n+DT7UKVCR/XlwnER9BjBbLyOrBXH9
9PVi08NfZXZhhSTXYlbD5EJZaV2gSTqfI7JEWZTSkXKL36RbEg+DSeHRjudp1l6iWo9nUWB+b2JJ
8SJDJgnjyLEhUOdi25Y=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IOGbrVeftboRkepAvFhrbWBGyAb1e+73fjImJDqW5mbcmpFDEdWVpMUAWA0hB8qAzqmEO2b7FX+d
56XxGvtZHzuOd93SqVbKd1DJwgCKvdsAs/Z3rcA48hFOWHAyg6E6SGTjk3IUW0Z0jKWWw49vEDa5
AdpFzujMfJXqdw0bCx9iQPmRKB2E1ZbbLQyyfZ7E3AqRd3kxYA9jM4IUv+pLWh/mV0QQ+V5aENmJ
fOb8wShwogDx/I417FeM5AUvNA3DUVoW4CjJfrFPS6n3UsQLZFHZ0rlsKZqy5D+jlw9vg04MrnAv
SNftgOMvTNSscLRaYAk0DXk00DI3mEEJOv41mA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7168)
`protect data_block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`protect end_protected
|
--================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
use ieee.math_real.all;
use work.types_pkg.all;
use work.adaptations_pkg.all;
package string_methods_pkg is
-- Need a low level "alert" in the form of a simple assertion (as string handling may also fail)
procedure bitvis_assert(
val : boolean;
severeness : severity_level;
msg : string;
scope : string
);
-- DEPRECATED.
-- Function will be removed in future versions of UVVM-Util
function justify(
val : string;
width : natural := 0;
justified : side := RIGHT;
format: t_format_string := AS_IS -- No defaults on 4 first param - to avoid ambiguity with std.textio
) return string;
-- DEPRECATED.
-- Function will be removed in future versions of UVVM-Util
function justify(
val : string;
justified : side;
width : natural;
format_spaces : t_format_spaces;
truncate : t_truncate_string
) return string;
function justify(
val : string;
justified : t_justify_center;
width : natural;
format_spaces : t_format_spaces;
truncate : t_truncate_string
) return string;
function pos_of_leftmost(
target : character;
vector : string;
result_if_not_found : natural := 1
) return natural;
function pos_of_rightmost(
target : character;
vector : string;
result_if_not_found : natural := 1
) return natural;
function pos_of_leftmost_non_zero(
vector : string;
result_if_not_found : natural := 1
) return natural;
function pos_of_rightmost_non_whitespace(
vector : string;
result_if_not_found : natural := 1
) return natural;
function valid_length( -- of string excluding trailing NULs
vector : string
) return natural;
function get_string_between_delimiters(
val : string;
delim_left : character;
delim_right: character;
start_from : SIDE; -- search from left or right (Only RIGHT implemented so far)
occurrence : positive := 1 -- stop on N'th occurrence of delimeter pair. Default first occurrence
) return string;
impure function get_procedure_name_from_instance_name(
val : string
) return string;
impure function get_process_name_from_instance_name(
val : string
) return string;
impure function get_entity_name_from_instance_name(
val : string
) return string;
function return_string_if_true(
val : string;
return_val : boolean
) return string;
function return_string1_if_true_otherwise_string2(
val1 : string;
val2 : string;
return_val : boolean
) return string;
function to_upper(
val : string
) return string;
function fill_string(
val : character;
width : natural
) return string;
function pad_string(
val : string;
char : character;
width : natural;
side : side := LEFT
) return string;
function replace_backslash_n_with_lf(
source : string
) return string;
function replace_backslash_r_with_lf(
source : string
) return string;
function remove_initial_chars(
source : string;
num : natural
) return string;
function wrap_lines(
constant text_string : string;
constant alignment_pos1 : natural; -- Line position of first aligned character in line 1
constant alignment_pos2 : natural; -- Line position of first aligned character in line 2, etc...
constant line_width : natural
) return string;
procedure wrap_lines(
variable text_lines : inout line;
constant alignment_pos1 : natural; -- Line position prior to first aligned character (incl. Prefix)
constant alignment_pos2 : natural;
constant line_width : natural
);
procedure prefix_lines(
variable text_lines : inout line;
constant prefix : string := C_LOG_PREFIX
);
function replace(
val : string;
target_char : character;
exchange_char : character
) return string;
procedure replace(
variable text_line : inout line;
target_char : character;
exchange_char : character
);
--========================================================
-- Handle missing overloads from 'standard_additions'
--========================================================
function to_string(
val : boolean;
width : natural;
justified : side;
format_spaces : t_format_spaces;
truncate : t_truncate_string := DISALLOW_TRUNCATE
) return string;
impure function to_string(
val : integer;
width : natural;
justified : side;
format_spaces : t_format_spaces;
truncate : t_truncate_string := DISALLOW_TRUNCATE;
radix : t_radix := DEC;
prefix : t_radix_prefix := EXCL_RADIX;
format : t_format_zeros := SKIP_LEADING_0 -- | KEEP_LEADING_0
) return string;
impure function to_string(
val : integer;
radix : t_radix;
prefix : t_radix_prefix;
format : t_format_zeros := SKIP_LEADING_0 -- | KEEP_LEADING_0
) return string;
-- This function has been deprecated and will be removed in the next major release
-- DEPRECATED
function to_string(
val : boolean;
width : natural;
justified : side := right;
format: t_format_string := AS_IS
) return string;
-- This function has been deprecated and will be removed in the next major release
-- DEPRECATED
function to_string(
val : integer;
width : natural;
justified : side := right;
format : t_format_string := AS_IS
) return string;
function to_string(
val : std_logic_vector;
radix : t_radix;
format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0
prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string?
) return string;
function to_string(
val : unsigned;
radix : t_radix;
format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0
prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string?
) return string;
function to_string(
val : signed;
radix : t_radix;
format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0
prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string?
) return string;
function to_string(
val : t_slv_array;
radix : t_radix := HEX_BIN_IF_INVALID;
format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0
prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string?
) return string;
function to_string(
val : t_signed_array;
radix : t_radix := HEX_BIN_IF_INVALID;
format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0
prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string?
) return string;
function to_string(
val : t_unsigned_array;
radix : t_radix := HEX_BIN_IF_INVALID;
format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0
prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string?
) return string;
impure function to_string(
val : integer_vector;
radix : t_radix := DEC;
format : t_format_zeros := SKIP_LEADING_0; -- | KEEP_LEADING_0
prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string?
) return string;
impure function to_string(
val : t_natural_vector;
radix : t_radix := DEC;
format : t_format_zeros := SKIP_LEADING_0; -- | KEEP_LEADING_0
prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string?
) return string;
function to_string(
val : real_vector
) return string;
function to_string(
val : time_vector
) return string;
--========================================================
-- Handle types defined at lower levels
--========================================================
function to_string(
val : t_alert_level;
width : natural;
justified : side := right
) return string;
function to_string(
val : t_msg_id;
width : natural;
justified : side := right
) return string;
function to_string(
val : t_attention;
width : natural;
justified : side := right
) return string;
function to_string(
val : t_check_type;
width : natural;
justified : side := right
) return string;
procedure to_string(
val : t_alert_attention_counters;
order : t_order := FINAL
);
procedure to_string(
val : t_check_counters_array;
order : t_order := FINAL
);
function ascii_to_char(
ascii_pos : integer range 0 to 255;
ascii_allow : t_ascii_allow := ALLOW_ALL
) return character;
function char_to_ascii(
char : character
) return integer;
-- return string with only valid ascii characters
function to_string(
val : string
) return string;
function add_msg_delimiter(
msg : string
) return string;
-- Returns a string with a timestamp and a text. Used in report headers
function timestamp_header(
value : time;
txt : string) return string;
end package string_methods_pkg;
package body string_methods_pkg is
-- Need a low level "alert" in the form of a simple assertion (as string handling may also fail)
procedure bitvis_assert(
val : boolean;
severeness : severity_level;
msg : string;
scope : string
) is
begin
assert val
report LF & C_LOG_PREFIX & " *** " & to_string(severeness) & "*** caused by Bitvis Util > string handling > "
& scope & LF & C_LOG_PREFIX & " " & add_msg_delimiter(msg) & LF
severity severeness;
end;
function to_upper(
val : string
) return string is
variable v_result : string (val'range) := val;
variable char : character;
begin
for i in val'range loop
-- NOTE: Illegal characters are allowed and will pass through (check Mentor's std_developers_kit)
if ( v_result(i) >= 'a' and v_result(i) <= 'z') then
v_result(i) := character'val( character'pos(v_result(i)) - character'pos('a') + character'pos('A') );
end if;
end loop;
return v_result;
end to_upper;
function fill_string(
val : character;
width : natural
) return string is
variable v_result : string (1 to maximum(1, width));
begin
if (width = 0) then
return "";
else
for i in 1 to width loop
v_result(i) := val;
end loop;
end if;
return v_result;
end fill_string;
function pad_string(
val : string;
char : character;
width : natural;
side : side := LEFT
) return string is
variable v_result : string (1 to maximum(1, width));
begin
if (width = 0) then
return "";
elsif (width <= val'length) then
return val(1 to width);
else
v_result := (others => char);
if side = LEFT then
v_result(1 to val'length) := val;
else
v_result(v_result'length-val'length+1 to v_result'length) := val;
end if;
end if;
return v_result;
end pad_string;
-- This procedure has been deprecated, and will be removed in the near future.
function justify(
val : string;
width : natural := 0;
justified : side := RIGHT;
format : t_format_string := AS_IS -- No defaults on 4 first param - to avoid ambiguity with std.textio
) return string is
constant val_length : natural := val'length;
variable result : string(1 to width) := (others => ' ');
begin
-- return val if width is too small
if val_length >= width then
if (format = TRUNCATE) then
return val(1 to width);
else
return val;
end if;
end if;
if justified = left then
result(1 to val_length) := val;
elsif justified = right then
result(width - val_length + 1 to width) := val;
end if;
return result;
end function;
-- This procedure has been deprecated, and will be removed in the near future.
function justify(
val : string;
justified : side;
width : natural;
format_spaces : t_format_spaces;
truncate : t_truncate_string
) return string is
variable v_val_length : natural := val'length;
variable v_formatted_val : string (1 to val'length);
variable v_num_leading_space : natural := 0;
variable v_result : string(1 to width) := (others => ' ');
begin
-- Remove leading space if format_spaces is SKIP_LEADING_SPACE
if format_spaces = SKIP_LEADING_SPACE then
-- Find how many leading spaces there are
while( (val(v_num_leading_space+1) = ' ') and (v_num_leading_space < v_val_length)) loop
v_num_leading_space := v_num_leading_space + 1;
end loop;
-- Remove leading space if any
v_formatted_val := pad_string(remove_initial_chars(val,v_num_leading_space),' ',v_formatted_val'length,LEFT);
v_val_length := v_val_length - v_num_leading_space;
else
v_formatted_val := val;
end if;
-- Truncate and return if the string is wider that allowed
if v_val_length >= width then
if (truncate = ALLOW_TRUNCATE) then
return v_formatted_val(1 to width);
else
return v_formatted_val(1 to v_val_length);
end if;
end if;
-- Justify if string is within the width specifications
if justified = left then
v_result(1 to v_val_length) := v_formatted_val(1 to v_val_length);
elsif justified = right then
v_result(width - v_val_length + 1 to width) := v_formatted_val(1 to v_val_length);
end if;
return v_result;
end function;
function justify(
val : string;
justified : t_justify_center;
width : natural;
format_spaces : t_format_spaces;
truncate : t_truncate_string
) return string is
variable v_val_length : natural := val'length;
variable v_start_pos : natural;
variable v_formatted_val : string (1 to val'length);
variable v_num_leading_space : natural := 0;
variable v_result : string(1 to width) := (others => ' ');
begin
-- Remove leading space if format_spaces is SKIP_LEADING_SPACE
if format_spaces = SKIP_LEADING_SPACE then
-- Find how many leading spaces there are
while( (val(v_num_leading_space+1) = ' ') and (v_num_leading_space < v_val_length)) loop
v_num_leading_space := v_num_leading_space + 1;
end loop;
-- Remove leading space if any
v_formatted_val := pad_string(remove_initial_chars(val,v_num_leading_space),' ',v_formatted_val'length,LEFT);
v_val_length := v_val_length - v_num_leading_space;
else
v_formatted_val := val;
end if;
-- Truncate and return if the string is wider that allowed
if v_val_length >= width then
if (truncate = ALLOW_TRUNCATE) then
return v_formatted_val(1 to width);
else
return v_formatted_val(1 to v_val_length);
end if;
end if;
-- Justify if string is within the width specifications
v_start_pos := natural(ceil((real(width)-real(v_val_length))/real(2))) + 1;
v_result(v_start_pos to v_start_pos + v_val_length-1) := v_formatted_val(1 to v_val_length);
return v_result;
end function;
function pos_of_leftmost(
target : character;
vector : string;
result_if_not_found : natural := 1
) return natural is
alias a_vector : string(1 to vector'length) is vector;
begin
bitvis_assert(vector'length > 0, FAILURE, "String input is empty", "pos_of_leftmost()");
bitvis_assert(vector'ascending, FAILURE, "Only implemented for string(N to M)", "pos_of_leftmost()");
for i in a_vector'left to a_vector'right loop
if (a_vector(i) = target) then
return i;
end if;
end loop;
return result_if_not_found;
end;
function pos_of_rightmost(
target : character;
vector : string;
result_if_not_found : natural := 1
) return natural is
alias a_vector : string(1 to vector'length) is vector;
begin
bitvis_assert(vector'length > 0, FAILURE, "String input is empty", "pos_of_rightmost()");
bitvis_assert(vector'ascending, FAILURE, "Only implemented for string(N to M)", "pos_of_rightmost()");
for i in a_vector'right downto a_vector'left loop
if (a_vector(i) = target) then
return i;
end if;
end loop;
return result_if_not_found;
end;
function pos_of_leftmost_non_zero(
vector : string;
result_if_not_found : natural := 1
) return natural is
alias a_vector : string(1 to vector'length) is vector;
begin
bitvis_assert(vector'length > 0, FAILURE, "String input is empty", "pos_of_leftmost_non_zero()");
for i in a_vector'left to a_vector'right loop
if (a_vector(i) /= '0' and a_vector(i) /= ' ') then
return i;
end if;
end loop;
return result_if_not_found;
end;
function pos_of_rightmost_non_whitespace(
vector : string;
result_if_not_found : natural := 1
) return natural is
alias a_vector : string(1 to vector'length) is vector;
begin
bitvis_assert(vector'length > 0, FAILURE, "String input is empty", "pos_of_rightmost_non_whitespace()");
for i in a_vector'right downto a_vector'left loop
if a_vector(i) /= ' ' then
return i;
end if;
end loop;
return result_if_not_found;
end;
function valid_length( -- of string excluding trailing NULs
vector : string
) return natural is
begin
return pos_of_leftmost(NUL, vector, vector'length) - 1;
end;
function string_contains_char(
val : string;
char : character
) return boolean is
alias a_val : string(1 to val'length) is val;
begin
if (val'length = 0) then
return false;
else
for i in val'left to val'right loop
if (val(i) = char) then
return true;
end if;
end loop;
-- falls through only if not found
return false;
end if;
end;
-- get_*_name
-- Note: for sub-programs the following is given: library:package:procedure:object
-- Note: for design hierachy the following is given: complete hierarchy from sim-object down to process object
-- e.g. 'sbi_tb:i_test_harness:i2_sbi_vvc:p_constructor:v_msg'
-- Attribute instance_name also gives [procedure signature] or @entity-name(architecture name)
function get_string_between_delimiters(
val : string;
delim_left : character;
delim_right: character;
start_from : SIDE; -- search from left or right (Only RIGHT implemented so far)
occurrence : positive := 1 -- stop on N'th occurrence of delimeter pair. Default first occurrence
) return string is
variable v_left : natural := 0;
variable v_right : natural := 0;
variable v_start : natural := val'length;
variable v_occurrence : natural := 0;
alias a_val : string(1 to val'length) is val;
begin
bitvis_assert(a_val'length > 2, FAILURE, "String input is not wide enough (<3)", "get_string_between_delimiters()");
bitvis_assert(start_from = RIGHT, FAILURE, "Only search from RIGHT is implemented so far", "get_string_between_delimiters()");
loop
-- RIGHT
v_left := 0; -- default
v_right := pos_of_rightmost(delim_right, a_val(1 to v_start), 0);
if v_right > 0 then -- i.e. found
L1: for i in v_right-1 downto 1 loop -- searching backwards for delimeter
if (a_val(i) = delim_left) then
v_left := i;
v_start := i; -- Previous end delimeter could also be a start delimeter for next section
v_occurrence := v_occurrence + 1;
exit L1;
end if;
end loop; -- searching backwards
end if;
if v_right = 0 or v_left = 0 then
return ""; -- No delimeter pair found, and none can be found in the rest (with chars in between)
end if;
if v_occurrence = occurrence then
-- Match
if (v_right - v_left) < 2 then
return ""; -- no chars in between delimeters
else
return a_val(v_left+1 to v_right-1);
end if;
end if;
if v_start < 3 then
return ""; -- No delimeter pair found, and none can be found in the rest (with chars in between)
end if;
end loop; -- Will continue until match or not found
end;
-- ':sbi_tb(func):i_test_harness@test_harness(struct):i2_sbi_vvc@sbi_vvc(struct):p_constructor:instance'
-- ':sbi_tb:i_test_harness:i1_sbi_vvc:p_constructor:instance'
-- - Process name: Search for 2nd last param in path name
-- - Entity name: Search for 3nd last param in path name
--':bitvis_vip_sbi:sbi_bfm_pkg:sbi_write[unsigned,std_logic_vector,string,std_logic,std_logic,unsigned,
-- std_logic,std_logic,std_logic,std_logic_vector,time,string,t_msg_id_panel,t_sbi_config]:msg'
-- - Procedure name: Search for 2nd last param in path name and remove all inside []
impure function get_procedure_name_from_instance_name(
val : string
) return string is
variable v_line : line;
variable v_msg_line : line;
impure function return_and_deallocate return string is
constant r : string := v_line.all;
begin
DEALLOCATE(v_line);
return r;
end function;
begin
bitvis_assert(val'length > 2, FAILURE, "String input is not wide enough (<3)", "get_procedure_name_from_instance_name()");
write(v_line, get_string_between_delimiters(val, ':', '[', RIGHT));
if (string_contains_char(val, '@')) then
write(v_msg_line, string'("Must be called with <sub-program object>'instance_name"));
else
write(v_msg_line, string'(" "));
end if;
bitvis_assert(v_line'length > 0, ERROR, "No procedure name found. " & v_msg_line.all, "get_procedure_name_from_instance_name()");
DEALLOCATE(v_msg_line);
return return_and_deallocate;
end;
impure function get_process_name_from_instance_name(
val : string
) return string is
variable v_line : line;
variable v_msg_line : line;
impure function return_and_deallocate return string is
constant r : string := v_line.all;
begin
DEALLOCATE(v_line);
return r;
end function;
begin
bitvis_assert(val'length > 2, FAILURE, "String input is not wide enough (<3)", "get_process_name_from_instance_name()");
write(v_line, get_string_between_delimiters(val, ':', ':', RIGHT));
if (string_contains_char(val, '[')) then
write(v_msg_line, string'("Must be called with <process-local object>'instance_name"));
else
write(v_msg_line, string'(" "));
end if;
bitvis_assert(v_line'length > 0, ERROR, "No process name found", "get_process_name_from_instance_name()");
return return_and_deallocate;
end;
impure function get_entity_name_from_instance_name(
val : string
) return string is
variable v_line : line;
variable v_msg_line : line;
impure function return_and_deallocate return string is
constant r : string := v_line.all;
begin
DEALLOCATE(v_line);
return r;
end function;
begin
bitvis_assert(val'length > 2, FAILURE, "String input is not wide enough (<3)", "get_entity_name_from_instance_name()");
if string_contains_char(val, '@') then -- for path with instantiations
write(v_line, get_string_between_delimiters(val, '@', '(', RIGHT));
else -- for path with only a single entity
write(v_line, get_string_between_delimiters(val, ':', '(', RIGHT));
end if;
if (string_contains_char(val, '[')) then
write(v_msg_line, string'("Must be called with <Entity/arch-local object>'instance_name"));
else
write(v_msg_line, string'(" "));
end if;
bitvis_assert(v_line'length > 0, ERROR, "No entity name found", "get_entity_name_from_instance_name()");
return return_and_deallocate;
end;
function adjust_leading_0(
val : string;
format : t_format_zeros := SKIP_LEADING_0
) return string is
alias a_val : string(1 to val'length) is val;
constant leftmost_non_zero : natural := pos_of_leftmost_non_zero(a_val, 1);
begin
if val'length <= 1 then
return val;
end if;
if format = SKIP_LEADING_0 then
return a_val(leftmost_non_zero to val'length);
else
return a_val;
end if;
end function;
function return_string_if_true(
val : string;
return_val : boolean
) return string is
begin
if return_val then
return val;
else
return "";
end if;
end function;
function return_string1_if_true_otherwise_string2(
val1 : string;
val2 : string;
return_val : boolean
) return string is
begin
if return_val then
return val1;
else
return val2;
end if;
end function;
function replace_backslash_n_with_lf(
source : string
) return string is
variable v_source_idx : natural := 0;
variable v_dest_idx : natural := 0;
variable v_dest : string(1 to source'length);
begin
if source'length = 0 then
return "";
else
if C_USE_BACKSLASH_N_AS_LF then
loop
v_source_idx := v_source_idx + 1;
v_dest_idx := v_dest_idx + 1;
if (v_source_idx < source'length) then
if (source(v_source_idx to v_source_idx +1) /= "\n") then
v_dest(v_dest_idx) := source(v_source_idx);
else
v_dest(v_dest_idx) := LF;
v_source_idx := v_source_idx + 1; -- Additional increment as two chars (\n) are consumed
if (v_source_idx = source'length) then
exit;
end if;
end if;
else
-- Final character in string
v_dest(v_dest_idx) := source(v_source_idx);
exit;
end if;
end loop;
else
v_dest := source;
v_dest_idx := source'length;
end if;
return v_dest(1 to v_dest_idx);
end if;
end;
function replace_backslash_r_with_lf(
source : string
) return string is
variable v_source_idx : natural := 0;
variable v_dest_idx : natural := 0;
variable v_dest : string(1 to source'length);
begin
if source'length = 0 then
return "";
else
if C_USE_BACKSLASH_R_AS_LF then
loop
if (source(v_source_idx to v_source_idx+1) = "\r") then
v_dest_idx := v_dest_idx + 1;
v_dest(v_dest_idx) := LF;
v_source_idx := v_source_idx + 2;
else
exit;
end if;
end loop;
else
return "";
end if;
end if;
return v_dest(1 to v_dest_idx);
end;
function remove_initial_chars(
source : string;
num : natural
) return string is
begin
if source'length <= num then
return "";
else
return source(1 + num to source'right);
end if;
end;
function wrap_lines(
constant text_string : string;
constant alignment_pos1 : natural; -- Line position of first aligned character in line 1
constant alignment_pos2 : natural; -- Line position of first aligned character in line 2
constant line_width : natural
) return string is
variable v_text_lines : line;
variable v_result : string(1 to 2 * text_string'length + alignment_pos1 + 100); -- Margin for aligns and LF insertions
variable v_result_width : natural;
begin
write(v_text_lines, text_string);
wrap_lines(v_text_lines, alignment_pos1, alignment_pos2, line_width);
v_result_width := v_text_lines'length;
bitvis_assert(v_result_width <= v_result'length, FAILURE,
" String is too long after wrapping. Increase v_result string size.", "wrap_lines()");
v_result(1 to v_result_width) := v_text_lines.all;
deallocate(v_text_lines);
return v_result(1 to v_result_width);
end;
procedure wrap_lines(
variable text_lines : inout line;
constant alignment_pos1 : natural; -- Line position of first aligned character in line 1
constant alignment_pos2 : natural; -- Line position of first aligned character in line 2
constant line_width : natural
) is
constant v_string : string(1 to text_lines'length) := text_lines.all;
constant v_string_width : natural := text_lines'length;
variable v_line_no : natural := 0;
variable v_last_string_wrap : natural := 0;
variable v_min_string_wrap : natural;
variable v_max_string_wrap : natural;
begin
deallocate(text_lines); -- empty the line prior to filling it up again
l_line: loop -- For every tekstline found in text_lines
v_line_no := v_line_no + 1;
-- Find position to wrap in v_string
if (v_line_no = 1) then
v_min_string_wrap := 1; -- Minimum 1 character of input line
v_max_string_wrap := minimum(line_width - alignment_pos1 + 1, v_string_width);
write(text_lines, fill_string(' ', alignment_pos1 - 1));
else
v_min_string_wrap := v_last_string_wrap + 1; -- Minimum 1 character further into the inpit line
v_max_string_wrap := minimum(v_last_string_wrap + (line_width - alignment_pos2 + 1), v_string_width);
write(text_lines, fill_string(' ', alignment_pos2 - 1));
end if;
-- 1. First handle any potential explicit line feed in the current maximum text line
-- Search forward for potential LF
for i in (v_last_string_wrap + 1) to minimum(v_max_string_wrap + 1, v_string_width) loop
if (character(v_string(i)) = LF) then
write(text_lines, v_string((v_last_string_wrap + 1) to i)); -- LF now terminates this part
v_last_string_wrap := i;
next l_line; -- next line
end if;
end loop;
-- 2. Then check if remaining text fits into a single text line
if (v_string_width <= v_max_string_wrap) then
-- No (more) wrapping required
write(text_lines, v_string((v_last_string_wrap + 1) to v_string_width));
exit; -- No more lines
end if;
-- 3. Search for blanks from char after max msg width and downwards (in the left direction)
for i in v_max_string_wrap + 1 downto (v_last_string_wrap + 1) loop
if (character(v_string(i)) = ' ') then
write(text_lines, v_string((v_last_string_wrap + 1) to i-1)); -- Exchange last blank with LF
v_last_string_wrap := i;
if (i = v_string_width ) then
exit l_line;
end if;
-- Skip any potential extra blanks in the string
for j in (i+1) to v_string_width loop
if (v_string(j) = ' ') then
v_last_string_wrap := j;
if (j = v_string_width ) then
exit l_line;
end if;
else
write(text_lines, LF); -- Exchange last blanks with LF, provided not at the end of the string
exit;
end if;
end loop;
next l_line; -- next line
end if;
end loop;
-- 4. At this point no LF or blank is found in the searched section of the string.
-- Hence just break the string - and continue.
write(text_lines, v_string((v_last_string_wrap + 1) to v_max_string_wrap) & LF); -- Added LF termination
v_last_string_wrap := v_max_string_wrap;
end loop;
end;
procedure prefix_lines(
variable text_lines : inout line;
constant prefix : string := C_LOG_PREFIX
) is
constant v_string : string(1 to text_lines'length) := text_lines.all;
constant v_string_width : natural := text_lines'length;
constant prefix_width : natural := prefix'length;
variable v_last_string_wrap : natural := 0;
variable i : natural := 0; -- for indexing v_string
begin
deallocate(text_lines); -- empty the line prior to filling it up again
l_line : loop
-- 1. Write prefix
write(text_lines, prefix);
-- 2. Write rest of text line (or rest of input line if no LF)
l_char: loop
i := i + 1;
if (i < v_string_width) then
if (character(v_string(i)) = LF) then
write(text_lines, v_string((v_last_string_wrap + 1) to i));
v_last_string_wrap := i;
exit l_char;
end if;
else
-- 3. Reached end of string. Hence just write the rest.
write(text_lines, v_string((v_last_string_wrap + 1) to v_string_width));
-- But ensure new line with prefix if ending with LF
if (v_string(i) = LF) then
write(text_lines, prefix);
end if;
exit l_char;
end if;
end loop;
if (i = v_string_width) then
exit;
end if;
end loop;
end;
function replace(
val : string;
target_char : character;
exchange_char : character
) return string is
variable result : string(1 to val'length) := val;
begin
for i in val'range loop
if val(i) = target_char then
result(i) := exchange_char;
end if;
end loop;
return result;
end;
procedure replace(
variable text_line : inout line;
target_char : character;
exchange_char : character
) is
variable v_string : string(1 to text_line'length) := text_line.all;
variable v_string_width : natural := text_line'length;
variable i : natural := 0; -- for indexing v_string
begin
if v_string_width > 0 then
deallocate(text_line); -- empty the line prior to filling it up again
-- 1. Loop through string and replace characters
l_char: loop
i := i + 1;
if (i < v_string_width) then
if (character(v_string(i)) = target_char) then
v_string(i) := exchange_char;
end if;
else
-- 2. Reached end of string. Hence just write the new string.
write(text_line, v_string);
exit l_char;
end if;
end loop;
end if;
end;
--========================================================
-- Handle missing overloads from 'standard_additions' + advanced overloads
--========================================================
function to_string(
val : boolean;
width : natural;
justified : side;
format_spaces : t_format_spaces;
truncate : t_truncate_string := DISALLOW_TRUNCATE
) return string is
begin
return justify(to_string(val), justified, width, format_spaces, truncate);
end;
impure function to_string(
val : integer;
width : natural;
justified : side;
format_spaces : t_format_spaces;
truncate : t_truncate_string := DISALLOW_TRUNCATE;
radix : t_radix := DEC;
prefix : t_radix_prefix := EXCL_RADIX;
format : t_format_zeros := SKIP_LEADING_0 -- | KEEP_LEADING_0
) return string is
variable v_val_slv : std_logic_vector(31 downto 0) := std_logic_vector(to_signed(val, 32));
variable v_line : line;
variable v_width : natural;
variable v_use_end_char : boolean := false;
impure function return_and_deallocate return string is
constant r : string := v_line.all;
begin
DEALLOCATE(v_line);
return r;
end function;
begin
if radix = DEC then
if prefix = INCL_RADIX then
write(v_line, string'("d"""));
v_use_end_char := true;
end if;
write(v_line, justify(to_string(val), justified, width, format_spaces, truncate));
elsif radix = BIN then
if prefix = INCL_RADIX then
write(v_line, string'("b"""));
v_use_end_char := true;
end if;
write(v_line, adjust_leading_0(justify(to_string(v_val_slv), justified, width, format_spaces, truncate), format));
else -- HEX
if prefix = INCL_RADIX then
write(v_line, string'("x"""));
v_use_end_char := true;
end if;
write(v_line, adjust_leading_0(justify(to_hstring(v_val_slv), justified, width, format_spaces, truncate), format));
end if;
if v_use_end_char then
write(v_line, string'(""""));
end if;
return return_and_deallocate;
end;
impure function to_string(
val : integer;
radix : t_radix;
prefix : t_radix_prefix;
format : t_format_zeros := SKIP_LEADING_0 -- | KEEP_LEADING_0
) return string is
variable v_line : line;
variable v_len : natural;
begin
write(v_line, to_string(val));
v_len := v_line'length;
deallocate(v_line);
return to_string(val, v_len, LEFT, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE, radix, prefix, format);
end;
-- This function has been deprecated and will be removed in the next major release
function to_string(
val : boolean;
width : natural;
justified : side := right;
format : t_format_string := AS_IS
) return string is
begin
return justify(to_string(val), width, justified, format);
end;
-- This function has been deprecated and will be removed in the next major release
function to_string(
val : integer;
width : natural;
justified : side := right;
format : t_format_string := AS_IS
) return string is
begin
return justify(to_string(val), width, justified, format);
end;
function to_string(
val : std_logic_vector;
radix : t_radix;
format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0
prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string?
) return string is
variable v_line : line;
alias a_val : std_logic_vector(val'length - 1 downto 0) is val;
variable v_result : string(1 to 10 + 2 * val'length); --
variable v_width : natural;
variable v_use_end_char : boolean := false;
begin
if val'length = 0 then
-- Value length is zero,
-- return empty string.
return "";
end if;
if radix = BIN then
if prefix = INCL_RADIX then
write(v_line, string'("b"""));
v_use_end_char := true;
end if;
write(v_line, adjust_leading_0(to_string(val), format));
elsif radix = HEX then
if prefix = INCL_RADIX then
write(v_line, string'("x"""));
v_use_end_char := true;
end if;
write(v_line, adjust_leading_0(to_hstring(val), format));
elsif radix = DEC then
-- Assuming that val is not signed
if (val'length > 31) then
if prefix = INCL_RADIX then
write(v_line, string'("x"""));
v_use_end_char := true;
end if;
write(v_line, to_hstring(val) & " (too wide to be converted to integer)" );
else
if prefix = INCL_RADIX then
write(v_line, string'("d"""));
v_use_end_char := true;
end if;
write(v_line, adjust_leading_0(to_string(to_integer(unsigned(val))), format));
end if;
elsif radix = HEX_BIN_IF_INVALID then
if prefix = INCL_RADIX then
write(v_line, string'("x"""));
end if;
if is_x(val) then
write(v_line, adjust_leading_0(to_hstring(val), format));
if prefix = INCL_RADIX then
write(v_line, string'("""")); -- terminate hex value
end if;
write(v_line, string'(" (b"""));
write(v_line, adjust_leading_0(to_string(val), format));
write(v_line, string'(""""));
write(v_line, string'(")"));
else
write(v_line, adjust_leading_0(to_hstring(val), format));
if prefix = INCL_RADIX then
write(v_line, string'(""""));
end if;
end if;
end if;
if v_use_end_char then
write(v_line, string'(""""));
end if;
v_width := v_line'length;
v_result(1 to v_width) := v_line.all;
deallocate(v_line);
return v_result(1 to v_width);
end;
function to_string(
val : unsigned;
radix : t_radix;
format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0
prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string?
) return string is
begin
return to_string(std_logic_vector(val), radix, format, prefix);
end;
function to_string(
val : signed;
radix : t_radix;
format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0
prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string?
) return string is
variable v_line : line;
variable v_result : string(1 to 20 + 2 * val'length); --
variable v_width : natural;
variable v_use_end_char : boolean := false;
begin
-- Support negative numbers by _not_ using the slv overload when converting to decimal
if radix = DEC then
if val'length = 0 then
-- Value length is zero,
-- return empty string.
return "";
end if;
if (val'length > 32) then
write(v_line, to_string(std_logic_vector(val), HEX, format, prefix) & " (too wide to be converted to integer)" );
else
if prefix = INCL_RADIX then
write(v_line, string'("d"""));
v_use_end_char := true;
end if;
write(v_line, adjust_leading_0(to_string(to_integer(signed(val))), format));
end if;
if v_use_end_char then
write(v_line, string'(""""));
end if;
v_width := v_line'length;
v_result(1 to v_width) := v_line.all;
deallocate(v_line);
return v_result(1 to v_width);
else -- No decimal convertion: May be treated as slv, so use the slv overload
return to_string(std_logic_vector(val), radix, format, prefix);
end if;
end;
function to_string(
val : t_slv_array;
radix : t_radix := HEX_BIN_IF_INVALID;
format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0
prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string?
) return string is
-- helper function to prevent null arrays
function get_string_len(val : t_slv_array) return integer is
variable v_parantheses : integer := 2; -- parentheses
variable v_commas : integer := 0; -- commas
variable v_radix_prefix : integer := 0; -- Radix prefixes
variable v_max_array_element_len : integer := 0; -- Maximum length of the array elements
variable v_max_ext_msg_len : integer := 0; -- Extra length of element in case of potential message "too wide to convert to integer"
begin
if val'length > 0 then
v_commas := 2 * (val'length - 1);
v_radix_prefix := 3 * val'length;
v_max_ext_msg_len := 14 * val'length;
if val'low >= 0 then
v_max_array_element_len := val(val'low)'length * val'length;
end if;
end if;
return (v_parantheses + v_commas + v_radix_prefix + v_max_array_element_len + v_max_ext_msg_len);
end function;
variable v_line : line;
variable v_result : string(1 to get_string_len(val));
variable v_width : natural;
begin
if val'length = 0 then
return "";
else
-- Comma-separate all array members and return
write(v_line, string'("("));
for idx in val'range loop
write(v_line, to_string(val(idx), radix, format, prefix));
if (idx < val'right) and (val'ascending) then
write(v_line, string'(", "));
elsif (idx > val'right) and not(val'ascending) then
write(v_line, string'(", "));
end if;
end loop;
write(v_line, string'(")"));
v_width := v_line'length;
v_result(1 to v_width) := v_line.all;
deallocate(v_line);
return v_result(1 to v_width);
end if;
end function;
function to_string(
val : t_signed_array;
radix : t_radix := HEX_BIN_IF_INVALID;
format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0
prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string?
) return string is
-- helper function to prevent null arrays
function get_string_len(val : t_signed_array) return integer is
variable v_parantheses : integer := 2; -- parentheses
variable v_commas : integer := 0; -- commas
variable v_radix_prefix : integer := 0; -- Radix prefixes
variable v_max_array_element_len : integer := 0; -- Maximum length of the array elements
variable v_max_ext_msg_len : integer := 0; -- Extra length of element in case of potential message "too wide to convert to integer"
begin
if val'length > 0 then
v_commas := 2 * (val'length - 1);
v_radix_prefix := 3 * val'length;
v_max_ext_msg_len := 14 * val'length;
if val'low >= 0 then
v_max_array_element_len := val(val'low)'length * val'length;
end if;
end if;
return (v_parantheses + v_commas + v_radix_prefix + v_max_array_element_len + v_max_ext_msg_len);
end function;
variable v_line : line;
variable v_result : string(1 to get_string_len(val));
variable v_width : natural;
begin
if val'length = 0 then
return "";
else
-- Comma-separate all array members and return
write(v_line, string'("("));
for idx in val'range loop
write(v_line, to_string(val(idx), radix, format, prefix));
if (idx < val'right) and (val'ascending) then
write(v_line, string'(", "));
elsif (idx > val'right) and not(val'ascending) then
write(v_line, string'(", "));
end if;
end loop;
write(v_line, string'(")"));
v_width := v_line'length;
v_result(1 to v_width) := v_line.all;
deallocate(v_line);
return v_result(1 to v_width);
end if;
end function;
function to_string(
val : t_unsigned_array;
radix : t_radix := HEX_BIN_IF_INVALID;
format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0
prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string?
) return string is
-- helper function to prevent null arrays
function get_string_len(val : t_unsigned_array) return integer is
variable v_parantheses : integer := 2; -- parentheses
variable v_commas : integer := 0; -- commas
variable v_radix_prefix : integer := 0; -- Radix prefixes
variable v_max_array_element_len : integer := 0; -- Maximum length of the array elements
variable v_max_ext_msg_len : integer := 0; -- Extra length of element in case of potential message "too wide to convert to integer"
begin
if val'length > 0 then
v_commas := 2 * (val'length - 1);
v_radix_prefix := 3 * val'length;
v_max_ext_msg_len := 14 * val'length;
if val'low >= 0 then
v_max_array_element_len := val(val'low)'length * val'length;
end if;
end if;
return (v_parantheses + v_commas + v_radix_prefix + v_max_array_element_len + v_max_ext_msg_len);
end function;
variable v_line : line;
variable v_result : string(1 to get_string_len(val));
variable v_width : natural;
begin
if val'length = 0 then
return "";
else
-- Comma-separate all array members and return
write(v_line, string'("("));
for idx in val'range loop
write(v_line, to_string(val(idx), radix, format, prefix));
if (idx < val'right) and (val'ascending) then
write(v_line, string'(", "));
elsif (idx > val'right) and not(val'ascending) then
write(v_line, string'(", "));
end if;
end loop;
write(v_line, string'(")"));
v_width := v_line'length;
v_result(1 to v_width) := v_line.all;
deallocate(v_line);
return v_result(1 to v_width);
end if;
end function;
impure function to_string(
val : integer_vector;
radix : t_radix := DEC;
format : t_format_zeros := SKIP_LEADING_0; -- | KEEP_LEADING_0
prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string?
) return string is
variable v_line : line;
variable v_result : string(1 to 2 + -- parentheses
2*(val'length - 1) + -- commas
32*val'length);
variable v_width : natural;
begin
if val'length = 0 then
return "";
else
-- Comma-separate all array members and return
write(v_line, string'("("));
for idx in val'range loop
write(v_line, to_string(val(idx), radix, prefix, format));
if (idx < val'right) and (val'ascending) then
write(v_line, string'(", "));
elsif (idx > val'right) and not(val'ascending) then
write(v_line, string'(", "));
end if;
end loop;
write(v_line, string'(")"));
v_width := v_line'length;
v_result(1 to v_width) := v_line.all;
deallocate(v_line);
return v_result(1 to v_width);
end if;
end function;
impure function to_string(
val : t_natural_vector;
radix : t_radix := DEC;
format : t_format_zeros := SKIP_LEADING_0; -- | KEEP_LEADING_0
prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string?
) return string is
begin
return to_string(integer_vector(val), radix, format, prefix);
end function;
function to_string(
val : real_vector
) return string is
variable v_line : line;
variable v_result : string(1 to 2 + -- parentheses
2*(val'length - 1) + -- commas
32*val'length);
variable v_width : natural;
begin
if val'length = 0 then
return "";
else
-- Comma-separate all array members and return
write(v_line, string'("("));
for idx in val'range loop
write(v_line, to_string(val(idx)));
if (idx < val'right) and (val'ascending) then
write(v_line, string'(", "));
elsif (idx > val'right) and not(val'ascending) then
write(v_line, string'(", "));
end if;
end loop;
write(v_line, string'(")"));
v_width := v_line'length;
v_result(1 to v_width) := v_line.all;
deallocate(v_line);
return v_result(1 to v_width);
end if;
end function;
function to_string(
val : time_vector
) return string is
variable v_line : line;
variable v_result : string(1 to 2 + -- parentheses
2*(val'length - 1) + -- commas
32*val'length);
variable v_width : natural;
begin
if val'length = 0 then
return "";
else
-- Comma-separate all array members and return
write(v_line, string'("("));
for idx in val'range loop
write(v_line, to_string(val(idx)));
if (idx < val'right) and (val'ascending) then
write(v_line, string'(", "));
elsif (idx > val'right) and not(val'ascending) then
write(v_line, string'(", "));
end if;
end loop;
write(v_line, string'(")"));
v_width := v_line'length;
v_result(1 to v_width) := v_line.all;
deallocate(v_line);
return v_result(1 to v_width);
end if;
end function;
--========================================================
-- Handle types defined at lower levels
--========================================================
function to_string(
val : t_alert_level;
width : natural;
justified : side := right
) return string is
constant inner_string : string := t_alert_level'image(val);
begin
return to_upper(justify(inner_string, justified, width));
end function;
function to_string(
val : t_msg_id;
width : natural;
justified : side := right
) return string is
constant inner_string : string := t_msg_id'image(val);
begin
return to_upper(justify(inner_string, justified, width));
end function;
function to_string(
val : t_attention;
width : natural;
justified : side := right
) return string is
begin
return to_upper(justify(t_attention'image(val), justified, width));
end;
function to_string(
val : t_check_type;
width : natural;
justified : side := right
) return string is
constant inner_string : string := t_check_type'image(val);
begin
return to_upper(justify(inner_string, justified, width));
end function;
procedure to_string(
val : t_alert_attention_counters;
order : t_order := FINAL
) is
variable v_line : line;
variable v_line_copy : line;
variable v_more_than_expected_alerts : boolean := false;
variable v_less_than_expected_alerts : boolean := false;
variable v_more_than_expected_minor_alerts : boolean := false;
variable v_less_than_expected_minor_alerts : boolean := false;
constant prefix : string := C_LOG_PREFIX & " ";
-- NOTE, TB_NOTE, WARNING, TB_WARNING, MANUAL_CHECK
begin
if order = INTERMEDIATE then
write(v_line,
LF &
fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF &
"*** INTERMEDIATE SUMMARY OF ALL ALERTS ***" & LF &
fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF &
" REGARDED EXPECTED IGNORED Comment?" & LF);
else -- order=FINAL
write(v_line,
LF &
fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF &
"*** FINAL SUMMARY OF ALL ALERTS ***" & LF &
fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF &
" REGARDED EXPECTED IGNORED Comment?" & LF);
end if;
for i in NOTE to t_alert_level'right loop
write(v_line, " " & to_upper(to_string(i, 13, LEFT)) & ": "); -- Severity
for j in t_attention'left to t_attention'right loop
write(v_line, to_string(integer'(val(i)(j)), 6, RIGHT, KEEP_LEADING_SPACE) & " ");
end loop;
if (val(i)(REGARD) = val(i)(EXPECT)) then
write(v_line, " ok" & LF);
else
write(v_line, " *** " & to_string(i,0) & " ***" & LF);
if (i > MANUAL_CHECK) then
if (val(i)(REGARD) < val(i)(EXPECT)) then
v_less_than_expected_alerts := true;
else
v_more_than_expected_alerts := true;
end if;
else
if (val(i)(REGARD) < val(i)(EXPECT)) then
v_less_than_expected_minor_alerts := true;
else
v_more_than_expected_minor_alerts := true;
end if;
end if;
end if;
end loop;
write(v_line, fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF);
-- Print a conclusion when called from the FINAL part of the test sequencer
-- but not when called from in the middle of the test sequence (order=INTERMEDIATE)
if order = FINAL then
if v_more_than_expected_alerts then
write(v_line, ">> Simulation FAILED, with unexpected serious alert(s)" & LF);
elsif v_less_than_expected_alerts then
write(v_line, ">> Simulation FAILED: Mismatch between counted and expected serious alerts" & LF);
elsif v_more_than_expected_minor_alerts or v_less_than_expected_minor_alerts then
write(v_line, ">> Simulation SUCCESS: No mismatch between counted and expected serious alerts, but mismatch in minor alerts" & LF);
else
write(v_line, ">> Simulation SUCCESS: No mismatch between counted and expected serious alerts" & LF);
end if;
write(v_line, fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & LF);
end if;
wrap_lines(v_line, 1, 1, C_LOG_LINE_WIDTH-prefix'length);
prefix_lines(v_line, prefix);
-- Write the info string to the target file
write (v_line_copy, v_line.all); -- copy line
writeline(OUTPUT, v_line);
writeline(LOG_FILE, v_line_copy);
deallocate(v_line);
deallocate(v_line_copy);
end;
procedure to_string(
val : t_check_counters_array;
order : t_order := FINAL
) is
variable v_line : line;
variable v_line_copy : line;
variable v_more_than_expected_alerts : boolean := false;
variable v_less_than_expected_alerts : boolean := false;
constant prefix : string := C_LOG_PREFIX & " ";
begin
if order = INTERMEDIATE then
write(v_line,
LF &
fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF &
"*** INTERMEDIATE SUMMARY OF ALL CHECK COUNTERS ***" & LF &
fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF);
else -- order=FINAL
write(v_line,
LF &
fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF &
"*** FINAL SUMMARY OF ALL CHECK COUNTERS ***" & LF &
fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF);
end if;
for i in CHECK_VALUE to t_check_type'right loop
write(v_line, " " & to_upper(to_string(i, 22, LEFT)) & ": ");
write(v_line, to_string(integer'(val(i)), 10, RIGHT, KEEP_LEADING_SPACE) & " ");
write(v_line, "" & LF);
end loop;
write(v_line, fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & LF);
wrap_lines(v_line, 1, 1, C_LOG_LINE_WIDTH-prefix'length);
prefix_lines(v_line, prefix);
-- Write the info string to the target file
write (v_line_copy, v_line.all); -- copy line
writeline(OUTPUT, v_line);
writeline(LOG_FILE, v_line_copy);
deallocate(v_line);
deallocate(v_line_copy);
end;
-- Convert from ASCII to character
-- Inputs:
-- ascii_pos (integer) : ASCII number input
-- ascii_allow (t_ascii_allow) : Decide what to do with invisible control characters:
-- - If ascii_allow = ALLOW_ALL (default) : return the character for any ascii_pos
-- - If ascii_allow = ALLOW_PRINTABLE_ONLY : return the character only if it is printable
function ascii_to_char(
ascii_pos : integer range 0 to 255; -- Supporting Extended ASCII
ascii_allow : t_ascii_allow := ALLOW_ALL
) return character is
variable v_printable : boolean := true;
begin
if ascii_pos < 32 or -- NUL, SOH, STX etc
(ascii_pos >= 128 and ascii_pos < 160) then -- C128 to C159
v_printable := false;
end if;
if ascii_allow = ALLOW_ALL or
(ascii_allow = ALLOW_PRINTABLE_ONLY and v_printable) then
return character'val(ascii_pos);
else
return ' '; -- Must return something when invisible control signals
end if;
end;
-- Convert from character to ASCII integer
function char_to_ascii(
char : character
) return integer is
begin
return character'pos(char);
end;
-- return string with only valid ascii characters
function to_string(
val : string
) return string is
variable v_new_string : string(1 to val'length);
variable v_char_idx : natural := 0;
variable v_ascii_pos : natural;
begin
for i in val'range loop
v_ascii_pos := character'pos(val(i));
if (v_ascii_pos < 32 and v_ascii_pos /= 10) or -- NUL, SOH, STX etc, LF(10) is not removed.
(v_ascii_pos >= 128 and v_ascii_pos < 160) then -- C128 to C159
-- illegal char
null;
else
-- legal char
v_char_idx := v_char_idx + 1;
v_new_string(v_char_idx) := val(i);
end if;
end loop;
if v_char_idx = 0 then
return "";
else
return v_new_string(1 to v_char_idx);
end if;
end;
function add_msg_delimiter(
msg : string
) return string is
begin
if msg'length /= 0 then
if valid_length(msg) /= 1 then
if msg(1) = C_MSG_DELIMITER then
return msg;
else
return C_MSG_DELIMITER & msg & C_MSG_DELIMITER;
end if;
end if;
end if;
return "";
end;
-- Returns a string with a timestamp and a text. Used in report headers
function timestamp_header(
value : time;
txt : string) return string is
variable v_line : line;
variable v_delimiter_pos : natural;
variable v_timestamp_width : natural;
variable v_result : string(1 to 50);
variable v_return : string(1 to txt'length) := txt;
begin
-- get a time stamp
write(v_line, value, LEFT, 0, C_LOG_TIME_BASE);
v_timestamp_width := v_line'length;
v_result(1 to v_timestamp_width) := v_line.all;
deallocate(v_line);
v_delimiter_pos := pos_of_leftmost('.', v_result(1 to v_timestamp_width), 0);
-- truncate decimals and add units
if v_delimiter_pos > 0 then
if C_LOG_TIME_BASE = ns then
v_result(v_delimiter_pos+2 to v_delimiter_pos+4) := " ns";
else
v_result(v_delimiter_pos+2 to v_delimiter_pos+4) := " ps";
end if;
v_timestamp_width := v_delimiter_pos + 4;
end if;
-- add a space after the timestamp
v_timestamp_width := v_timestamp_width + 1;
v_result(v_timestamp_width to v_timestamp_width) := " ";
-- add time string to return string
v_return := v_result(1 to v_timestamp_width) & txt(1 to txt'length-v_timestamp_width);
return v_return(1 to txt'length);
end function timestamp_header;
end package body string_methods_pkg;
|
-------------------------------------------------------------------------------
--
-- $Id: tb_pack-p.vhd,v 1.3 2006-05-27 19:09:29 arniml Exp $
--
-- Copyright (c) 2006, Arnim Laeuger ([email protected])
--
-- All rights reserved
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.t400_pack.pc_t;
package tb_pack is
component tb_elems
generic (
period_g : time := 4.75 us;
d_width_g : integer := 4;
g_width_g : integer := 4
);
port (
io_l_i : in std_logic_vector(7 downto 0);
io_d_i : in std_logic_vector(d_width_g-1 downto 0);
io_g_i : in std_logic_vector(g_width_g-1 downto 0);
io_in_o : out std_logic_vector(g_width_g-1 downto 0);
so_i : in std_logic;
si_o : out std_logic;
sk_i : in std_logic;
ck_o : out std_logic
);
end component;
signal tb_pc_s : pc_t;
signal tb_sa_s : pc_t;
end tb_pack;
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-- Revision 1.2 2006/05/23 01:17:25 arniml
-- drive IN port
--
-- Revision 1.1 2006/05/15 21:55:27 arniml
-- initial check-in
--
-------------------------------------------------------------------------------
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:29:49 03/30/2016
-- Design Name:
-- Module Name: DATA_CTL - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity DATA_CTL is
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
OP : in STD_LOGIC_VECTOR (3 downto 0);
RD_EN : out STD_LOGIC;
WR_EN : out STD_LOGIC);
end DATA_CTL;
architecture Behavioral of DATA_CTL is
signal RD, WR : STD_LOGIC := '0';
begin
RD_EN <= RD;
WR_EN <= WR;
process(CLK)
begin
if(rising_edge(CLK)) then
case OP is
when "1001" => RD <= '1';
WR <= '0';
when "1010" => RD <= '0';
WR <= '1';
when OTHERS => RD <= '0';
WR <= '0';
end case;
end if;
end process;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:29:49 03/30/2016
-- Design Name:
-- Module Name: DATA_CTL - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity DATA_CTL is
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
OP : in STD_LOGIC_VECTOR (3 downto 0);
RD_EN : out STD_LOGIC;
WR_EN : out STD_LOGIC);
end DATA_CTL;
architecture Behavioral of DATA_CTL is
signal RD, WR : STD_LOGIC := '0';
begin
RD_EN <= RD;
WR_EN <= WR;
process(CLK)
begin
if(rising_edge(CLK)) then
case OP is
when "1001" => RD <= '1';
WR <= '0';
when "1010" => RD <= '0';
WR <= '1';
when OTHERS => RD <= '0';
WR <= '0';
end case;
end if;
end process;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:29:49 03/30/2016
-- Design Name:
-- Module Name: DATA_CTL - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity DATA_CTL is
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
OP : in STD_LOGIC_VECTOR (3 downto 0);
RD_EN : out STD_LOGIC;
WR_EN : out STD_LOGIC);
end DATA_CTL;
architecture Behavioral of DATA_CTL is
signal RD, WR : STD_LOGIC := '0';
begin
RD_EN <= RD;
WR_EN <= WR;
process(CLK)
begin
if(rising_edge(CLK)) then
case OP is
when "1001" => RD <= '1';
WR <= '0';
when "1010" => RD <= '0';
WR <= '1';
when OTHERS => RD <= '0';
WR <= '0';
end case;
end if;
end process;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:29:49 03/30/2016
-- Design Name:
-- Module Name: DATA_CTL - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity DATA_CTL is
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
OP : in STD_LOGIC_VECTOR (3 downto 0);
RD_EN : out STD_LOGIC;
WR_EN : out STD_LOGIC);
end DATA_CTL;
architecture Behavioral of DATA_CTL is
signal RD, WR : STD_LOGIC := '0';
begin
RD_EN <= RD;
WR_EN <= WR;
process(CLK)
begin
if(rising_edge(CLK)) then
case OP is
when "1001" => RD <= '1';
WR <= '0';
when "1010" => RD <= '0';
WR <= '1';
when OTHERS => RD <= '0';
WR <= '0';
end case;
end if;
end process;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:29:49 03/30/2016
-- Design Name:
-- Module Name: DATA_CTL - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity DATA_CTL is
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
OP : in STD_LOGIC_VECTOR (3 downto 0);
RD_EN : out STD_LOGIC;
WR_EN : out STD_LOGIC);
end DATA_CTL;
architecture Behavioral of DATA_CTL is
signal RD, WR : STD_LOGIC := '0';
begin
RD_EN <= RD;
WR_EN <= WR;
process(CLK)
begin
if(rising_edge(CLK)) then
case OP is
when "1001" => RD <= '1';
WR <= '0';
when "1010" => RD <= '0';
WR <= '1';
when OTHERS => RD <= '0';
WR <= '0';
end case;
end if;
end process;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:29:49 03/30/2016
-- Design Name:
-- Module Name: DATA_CTL - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity DATA_CTL is
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
OP : in STD_LOGIC_VECTOR (3 downto 0);
RD_EN : out STD_LOGIC;
WR_EN : out STD_LOGIC);
end DATA_CTL;
architecture Behavioral of DATA_CTL is
signal RD, WR : STD_LOGIC := '0';
begin
RD_EN <= RD;
WR_EN <= WR;
process(CLK)
begin
if(rising_edge(CLK)) then
case OP is
when "1001" => RD <= '1';
WR <= '0';
when "1010" => RD <= '0';
WR <= '1';
when OTHERS => RD <= '0';
WR <= '0';
end case;
end if;
end process;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:29:49 03/30/2016
-- Design Name:
-- Module Name: DATA_CTL - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity DATA_CTL is
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
OP : in STD_LOGIC_VECTOR (3 downto 0);
RD_EN : out STD_LOGIC;
WR_EN : out STD_LOGIC);
end DATA_CTL;
architecture Behavioral of DATA_CTL is
signal RD, WR : STD_LOGIC := '0';
begin
RD_EN <= RD;
WR_EN <= WR;
process(CLK)
begin
if(rising_edge(CLK)) then
case OP is
when "1001" => RD <= '1';
WR <= '0';
when "1010" => RD <= '0';
WR <= '1';
when OTHERS => RD <= '0';
WR <= '0';
end case;
end if;
end process;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:29:49 03/30/2016
-- Design Name:
-- Module Name: DATA_CTL - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity DATA_CTL is
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
OP : in STD_LOGIC_VECTOR (3 downto 0);
RD_EN : out STD_LOGIC;
WR_EN : out STD_LOGIC);
end DATA_CTL;
architecture Behavioral of DATA_CTL is
signal RD, WR : STD_LOGIC := '0';
begin
RD_EN <= RD;
WR_EN <= WR;
process(CLK)
begin
if(rising_edge(CLK)) then
case OP is
when "1001" => RD <= '1';
WR <= '0';
when "1010" => RD <= '0';
WR <= '1';
when OTHERS => RD <= '0';
WR <= '0';
end case;
end if;
end process;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:29:49 03/30/2016
-- Design Name:
-- Module Name: DATA_CTL - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity DATA_CTL is
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
OP : in STD_LOGIC_VECTOR (3 downto 0);
RD_EN : out STD_LOGIC;
WR_EN : out STD_LOGIC);
end DATA_CTL;
architecture Behavioral of DATA_CTL is
signal RD, WR : STD_LOGIC := '0';
begin
RD_EN <= RD;
WR_EN <= WR;
process(CLK)
begin
if(rising_edge(CLK)) then
case OP is
when "1001" => RD <= '1';
WR <= '0';
when "1010" => RD <= '0';
WR <= '1';
when OTHERS => RD <= '0';
WR <= '0';
end case;
end if;
end process;
end Behavioral;
|
-------------------------------------------------------------------------------
--
-- RapidIO IP Library Core
--
-- This file is part of the RapidIO IP library project
-- http://www.opencores.org/cores/rio/
--
-- To Do:
-- -
--
-- Author(s):
-- - A. Demirezen, [email protected]
--
-------------------------------------------------------------------------------
--
-- Copyright (C) 2013 Authors and OPENCORES.ORG
--
-- This source file may be used and distributed without
-- restriction provided that this copyright statement is not
-- removed from the file and that any derivative work contains
-- the original copyright notice and the associated disclaimer.
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.opencores.org/lgpl.shtml
--
------------------------------------------------------------------------------
------------------------------------------------------------------------------
--
-- File name: ccs_timer.vhd
-- Rev: 0.0
-- Description: This entity watches the CCS (clock compensation sequence)
-- insertion according to RIO Sepec. Part-6, subchapter 4.7.1
--
------------------------------------------------------------------------------
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
use work.rio_common.all;
entity ccs_timer is
generic (
TCQ : time := 100 ps
);
port (
rst_n : in std_logic;
UCLK : in std_logic;
send_ccs : out std_logic;
ccs_timer_rst : in std_logic
);
end ccs_timer;
architecture RTL of ccs_timer is
--------------------------------------------------------------------------------------
signal ccs_counter : std_logic_vector(11 downto 0) := (others => '0');
constant CCS_INTERVAL : std_logic_vector(11 downto 0) := x"7FF"; -- = 4096 chars
--------------------------------------------------------------------------------------
begin
-- CCS counter process
process(rst_n, UCLK)
begin
if rst_n = '0' then
ccs_counter <= CCS_INTERVAL;
send_ccs <= '0';
elsif rising_edge(UCLK) then
if ccs_timer_rst = '0' then
if ccs_counter = CCS_INTERVAL then
send_ccs <= '1';
else
send_ccs <= '0';
ccs_counter <= ccs_counter + '1';
end if;
else
send_ccs <= '0';
ccs_counter <= (others => '0');
end if;
end if;
end process;
end RTL;
---------------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- RapidIO IP Library Core
--
-- This file is part of the RapidIO IP library project
-- http://www.opencores.org/cores/rio/
--
-- To Do:
-- -
--
-- Author(s):
-- - A. Demirezen, [email protected]
--
-------------------------------------------------------------------------------
--
-- Copyright (C) 2013 Authors and OPENCORES.ORG
--
-- This source file may be used and distributed without
-- restriction provided that this copyright statement is not
-- removed from the file and that any derivative work contains
-- the original copyright notice and the associated disclaimer.
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.opencores.org/lgpl.shtml
--
------------------------------------------------------------------------------
------------------------------------------------------------------------------
--
-- File name: idle_generator.vhd
-- Rev: 0.0
-- Description: This entity generates IDLE1 sequence for SRIO PHY
-- RIO Sepec. Part-6, subchapter 4.7.2
--
------------------------------------------------------------------------------
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
use work.rio_common.all;
entity idle_generator is
generic (
lfsr_init : std_logic_vector(7 downto 0) := x"01";
TCQ : time := 100 ps
);
port (
UCLK : in std_logic;
rst_n : in std_logic;
send_idle : in std_logic;
send_K : out std_logic;
send_A : out std_logic;
send_R : out std_logic
);
end idle_generator;
architecture RTL of idle_generator is
-------------------------------------------------------------------------------------------------------------------------------------------
signal q_pseudo_random_number : std_logic_vector(7 downto 0) := (others => '0');
signal pseudo_random_bit : std_logic := '0';
signal down_counter_load_value : std_logic_vector(4 downto 0) := (others => '0');
signal down_counter : std_logic_vector(4 downto 0) := (others => '0');
signal Acntr_eq_zero : std_logic := '0';
signal send_idle_q : std_logic := '0';
--
COMPONENT pseudo_random_number_generator
GENERIC (
lfsr_init : std_logic_vector(7 downto 0)
);
PORT(
clk : IN std_logic;
rst_n : IN std_logic;
q : OUT std_logic_vector(7 downto 0)
);
END COMPONENT;
-------------------------------------------------------------------------------------------------------------------------------------------
begin
inst_prng: pseudo_random_number_generator GENERIC MAP(
lfsr_init => lfsr_init --x"01"
)
PORT MAP(
clk => UCLK,
rst_n => rst_n,
q => q_pseudo_random_number
);
pseudo_random_bit <= q_pseudo_random_number(0);
down_counter_load_value <= '1' & q_pseudo_random_number(6) & q_pseudo_random_number(4) & q_pseudo_random_number(3) & q_pseudo_random_number(1);
-- down counter process
process(rst_n, UCLK)
begin
if rst_n = '0' then
down_counter <= (others => '0');
elsif rising_edge(UCLK) then
if Acntr_eq_zero = '1' then
down_counter <= down_counter_load_value;
else
down_counter <= down_counter - '1';
end if;
end if;
end process;
Acntr_eq_zero <= '1' when down_counter = "00000" else '0';
-- send_idle delay process
process(rst_n, UCLK)
begin
if rst_n = '0' then
send_idle_q <= '0';
elsif rising_edge(UCLK) then
send_idle_q <= send_idle;
end if;
end process;
send_K <= send_idle and (not(send_idle_q) or (send_idle_q and not(Acntr_eq_zero) and pseudo_random_bit));
send_A <= send_idle and send_idle_q and Acntr_eq_zero;
send_R <= send_idle and send_idle_q and not(Acntr_eq_zero) and not(pseudo_random_bit);
end RTL;
-------------------------------------------------------------------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- RapidIO IP Library Core
--
-- This file is part of the RapidIO IP library project
-- http://www.opencores.org/cores/rio/
--
-- To Do:
-- -
--
-- Author(s):
-- - A. Demirezen, [email protected]
--
-------------------------------------------------------------------------------
--
-- Copyright (C) 2013 Authors and OPENCORES.ORG
--
-- This source file may be used and distributed without
-- restriction provided that this copyright statement is not
-- removed from the file and that any derivative work contains
-- the original copyright notice and the associated disclaimer.
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.opencores.org/lgpl.shtml
--
------------------------------------------------------------------------------
------------------------------------------------------------------------------
--
-- File name: idle_generator_dual.vhd
-- Rev: 0.0
-- Description: This entity generates IDLE1 sequence for SRIO PHY
-- RIO Sepec. Part-6, subchapter 4.7.2
--
------------------------------------------------------------------------------
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
use work.rio_common.all;
entity idle_generator_dual is
generic (
TCQ : time := 100 ps
);
port (
UCLK : in std_logic;
rst_n : in std_logic;
send_idle : in std_logic_vector(1 downto 0);
send_K : out std_logic_vector(1 downto 0);
send_A : out std_logic_vector(1 downto 0);
send_R : out std_logic_vector(1 downto 0)
);
end idle_generator_dual;
architecture RTL of idle_generator_dual is
-------------------------------------------------------------------------------------------------------------------------------------------
COMPONENT idle_generator
generic (
lfsr_init : std_logic_vector(7 downto 0);
TCQ : time
);
PORT(
UCLK : IN std_logic;
rst_n : IN std_logic;
send_idle : IN std_logic;
send_K : OUT std_logic;
send_A : OUT std_logic;
send_R : OUT std_logic
);
END COMPONENT;
-------------------------------------------------------------------------------------------------------------------------------------------
begin
Inst_idle_generator_0: idle_generator GENERIC MAP(
TCQ => 100 ps,
lfsr_init => x"0F"
)
PORT MAP(
UCLK => UCLK,
rst_n => rst_n,
send_idle => send_idle(0),
send_K => send_K(0),
send_A => send_A(0),
send_R => send_R(0)
);
Inst_idle_generator_1: idle_generator GENERIC MAP(
TCQ => 100 ps,
lfsr_init => x"F0"
)
PORT MAP(
UCLK => UCLK,
rst_n => rst_n,
send_idle => send_idle(1),
send_K => send_K(1),
send_A => send_A(1),
send_R => send_R(1)
);
end RTL;
-------------------------------------------------------------------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- RapidIO IP Library Core
--
-- This file is part of the RapidIO IP library project
-- http://www.opencores.org/cores/rio/
--
-- To Do:
-- -
--
-- Author(s):
-- - A. Demirezen, [email protected]
--
-------------------------------------------------------------------------------
--
-- Copyright (C) 2013 Authors and OPENCORES.ORG
--
-- This source file may be used and distributed without
-- restriction provided that this copyright statement is not
-- removed from the file and that any derivative work contains
-- the original copyright notice and the associated disclaimer.
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.opencores.org/lgpl.shtml
--
------------------------------------------------------------------------------
------------------------------------------------------------------------------
--
-- File name: pcs_rx_controller.vhd
-- Rev: 0.0
-- Description: This entity controls the RX stream
--
--
------------------------------------------------------------------------------
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
use work.rio_common.all;
entity pcs_rx_controller is
generic (
TCQ : time := 100 ps
);
port (
rst_n : in std_logic;
rio_clk : in std_logic; -- ~150 MHz
UCLK_x2 : in std_logic; -- 312,5 MHz
UCLK : in std_logic; -- 156,25 MHz
UCLK_x2_DV2 : in std_logic; -- 312,5 MHz @ x4 mode / 78,125 @ x1 (fallback mode)
UCLK_or_DV4 : in std_logic; -- 156,25 MHz @ x4 mode / 39,0625 @ x1 (fallback mode)
-- UCLK_DV4 : in std_logic; -- 39,0625
--
-- Interface to the RioSerial
inboundRead_i : in std_logic;
inboundEmpty_o : out std_logic;
inboundSymbol_o : out std_logic_vector(33 downto 0);
--
-- Interface to the GTX transceivers
RXDATA_i : in std_logic_vector(63 downto 0); -- N = 4
RXCHARISK_i : in std_logic_vector(7 downto 0);
RXCHARISvalid_i : in std_logic_vector(7 downto 0);
--
-- Interface to the port init
port_initalized_i : in std_logic;
mode_sel_i : in std_logic;
mode_0_lane_sel_i : in std_logic
);
end pcs_rx_controller;
architecture RTL of pcs_rx_controller is
-------------------------------------------------------------------------------
COMPONENT pcs_rx_boudary_32b_out_64b_in
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(67 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(33 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC
);
END COMPONENT;
-------------------------------------------------------------------------------
signal rst : std_logic:= '0';
signal RXDATA_swap : std_logic_vector(63 downto 0) := (others => '0');
signal RXCHARISK_swap : std_logic_vector(7 downto 0) := (others => '0');
signal RXCHARISvalid_swap : std_logic_vector(7 downto 0) := (others => '0');
signal RXDATA_u : std_logic_vector(31 downto 0) := (others => '0');
signal RXCHARISK_u : std_logic_vector(3 downto 0) := (others => '0');
signal RXDATA_l : std_logic_vector(31 downto 0) := (others => '0');
signal RXCHARISK_l : std_logic_vector(3 downto 0) := (others => '0');
signal RXCHARISvalid_u : std_logic_vector(3 downto 0) := (others => '0');
signal RXCHARISvalid_l : std_logic_vector(3 downto 0) := (others => '0');
signal inboundValid : std_logic:= '0';
signal rx_fifo_wr_en : std_logic:= '0';
signal rx_fifo_wr_en_q : std_logic:= '0';
signal rx_fifo_full : std_logic:= '0';
signal rx_fifo_almost_full : std_logic:= '0';
signal rx_fifo_almost_empty : std_logic:= '0';
signal rx_fifo_data_in : std_logic_vector(67 downto 0) := (others => '0');
signal rx_fifo_data_in_q : std_logic_vector(67 downto 0) := (others => '0');
signal rx_fifo_data_swapped : std_logic_vector(67 downto 0) := (others => '0');
signal rx_fifo_full_p : std_logic:= '0';
signal port_initalized : std_logic:= '0';
signal mode_sel : std_logic:= '0';
signal mode_0_lane_sel : std_logic:= '0';
signal port_state : std_logic_vector(2 downto 0) := (others => '0');
signal upper_symbol_type : std_logic_vector(1 downto 0) := (others => '0');
signal lower_symbol_type : std_logic_vector(1 downto 0) := (others => '0');
signal upper_symbol_not_idle : std_logic:= '0';
signal lower_symbol_not_idle : std_logic:= '0';
signal upper_symbol_valid : std_logic:= '0';
signal lower_symbol_valid : std_logic:= '0';
signal upper_symbol_not_error : std_logic:= '0';
signal lower_symbol_not_error : std_logic:= '0';
-- signal RXDATA_sr : std_logic_vector(63 downto 0) := (others => '0');
-- signal RXCHARISK_sr : std_logic_vector(7 downto 0) := (others => '0');
-- signal RXCHARISvalid_sr : std_logic_vector(7 downto 0) := (others => '0');
signal RXDATA_sr_done : std_logic_vector(63 downto 0) := (others => '0');
signal RXCHARISK_sr_done : std_logic_vector(7 downto 0) := (others => '0');
signal RXCHARISvalid_sr_done : std_logic_vector(7 downto 0) := (others => '0');
signal RXDATA_sr : std_logic_vector(71 downto 0) := (others => '0');
signal RXCHARISK_sr : std_logic_vector(8 downto 0) := (others => '0');
signal RXCHARISvalid_sr : std_logic_vector(8 downto 0) := (others => '0');
signal RXDATA_R_lane : std_logic_vector(15 downto 0) := (others => '0');
signal RXCHARISK_R_lane : std_logic_vector(1 downto 0) := (others => '0');
signal RXCHARISvalid_R_lane : std_logic_vector(1 downto 0) := (others => '0');
signal valid_byte_cntr : std_logic_vector(2 downto 0) := (others => '0');
signal irregular_stream : std_logic:= '0';
signal done_cntr : std_logic_vector(1 downto 0) := (others => '0');
signal rx_done : std_logic:= '0';
signal u_l_switch : std_logic:= '0';
-- signal sr_symbol_not_idle : std_logic:= '0';
-- signal sr_symbol_not_idle_q : std_logic:= '0';
-- signal sr_symbol_not_error : std_logic:= '0';
-- signal sr_symbol_not_error_q : std_logic:= '0';
-- signal RXDATA_sr : std_logic_vector(31 downto 0) := (others => '0');
-- signal RXCHARISK_sr : std_logic_vector(3 downto 0) := (others => '0');
-- signal RXCHARISvalid_sr : std_logic_vector(3 downto 0) := (others => '0');
-- signal sr_symbol_type : std_logic_vector(1 downto 0) := (others => '0');
signal sr_u_symbol_not_idle : std_logic:= '0';
signal sr_u_symbol_not_idle_q : std_logic:= '0';
signal sr_u_symbol_not_error : std_logic:= '0';
signal sr_u_symbol_not_error_q : std_logic:= '0';
signal RXDATA_u_sr : std_logic_vector(31 downto 0) := (others => '0');
signal RXCHARISK_u_sr : std_logic_vector(3 downto 0) := (others => '0');
signal RXCHARISvalid_u_sr : std_logic_vector(3 downto 0) := (others => '0');
signal sr_u_symbol_type : std_logic_vector(1 downto 0) := (others => '0');
signal sr_l_symbol_not_idle : std_logic:= '0';
signal sr_l_symbol_not_idle_q : std_logic:= '0';
signal sr_l_symbol_not_error : std_logic:= '0';
signal sr_l_symbol_not_error_q : std_logic:= '0';
signal RXDATA_sr_l : std_logic_vector(31 downto 0) := (others => '0');
signal RXCHARISK_sr_l : std_logic_vector(3 downto 0) := (others => '0');
signal RXCHARISvalid_sr_l : std_logic_vector(3 downto 0) := (others => '0');
signal sr_l_symbol_type : std_logic_vector(1 downto 0) := (others => '0');
signal started_once : std_logic:= '0';
signal word_switch : std_logic:= '0';
signal shift_cntr : std_logic_vector(1 downto 0) := (others => '0');
----------------------------------------------------------------------------------
begin
rst <= not(rst_n);
rx_boundary_fifo : pcs_rx_boudary_32b_out_64b_in -- FWFT FIFO
PORT MAP (
rst => rst,
rd_clk => rio_clk,
rd_en => inboundRead_i,
dout => inboundSymbol_o,
valid => inboundValid,
empty => inboundEmpty_o,
almost_empty => rx_fifo_almost_empty,
wr_clk => UCLK_or_DV4,
wr_en => rx_fifo_wr_en_q, -- rx_fifo_wr_en, -- rx_fifo_wr_en_q, --
din => rx_fifo_data_in_q, -- rx_fifo_data_in, -- rx_fifo_data_in_q, --
full => rx_fifo_full, -- rx_fifo_full
almost_full => rx_fifo_almost_full -- rx_fifo_full
);
-- Pipelining RX write
process(UCLK_or_DV4)
begin
if rising_edge(UCLK_or_DV4) then
rx_fifo_wr_en_q <= rx_fifo_wr_en;
rx_fifo_data_in_q <= rx_fifo_data_in;
end if;
end process;
-- rx_fifo_data_swapped <= rx_fifo_data_in(33 downto 32)
-- & rx_fifo_data_in(7 downto 0) & rx_fifo_data_in(15 downto 8) & rx_fifo_data_in(23 downto 16) & rx_fifo_data_in(31 downto 24);
port_initalized <= port_initalized_i;
mode_sel <= mode_sel_i;
mode_0_lane_sel <= mode_0_lane_sel_i;
port_state <= port_initalized & mode_sel & mode_0_lane_sel;
-- RX management / FIFO write process
process(rst_n, UCLK) -- _x2
begin
if rst_n = '0' then
rx_fifo_wr_en <= '0';
word_switch <= '0';
started_once <= '0';
rx_fifo_data_in <= (others => '0');
-- RXDATA_sr <= (others => '0');
-- RXCHARISK_sr <= (others => '1');
-- RXCHARISvalid_sr <= (others => '0');
shift_cntr <= (others => '0');
elsif rising_edge(UCLK) then
-- Alternative If-Else Statement
if port_initalized = '0' then -- Port has not been initialized yet
rx_fifo_wr_en <= '0';
rx_fifo_data_in <= (others => '0');
else -- Port has been initialized
-- if mode_sel = '1' then -- x4 mode is active
if upper_symbol_valid = '1' and lower_symbol_valid = '1' then
rx_fifo_data_in <= upper_symbol_type & RXDATA_u & lower_symbol_type & RXDATA_l;
rx_fifo_wr_en <= not(rx_fifo_almost_full);
elsif upper_symbol_valid = '1' then
rx_fifo_data_in <= upper_symbol_type & RXDATA_u & SYMBOL_IDLE & x"00000000";
rx_fifo_wr_en <= not(rx_fifo_almost_full);
elsif lower_symbol_valid = '1' then
rx_fifo_data_in <= SYMBOL_IDLE & x"00000000" & lower_symbol_type & RXDATA_l;
rx_fifo_wr_en <= not(rx_fifo_almost_full);
else
rx_fifo_wr_en <= '0';
end if;
-- else -- x1 fallback mode is active
-- if upper_symbol_valid = '1' and lower_symbol_valid = '1' then
-- rx_fifo_data_in <= upper_symbol_type & RXDATA_u & lower_symbol_type & RXDATA_l;
-- rx_fifo_wr_en <= not(rx_fifo_full);
-- elsif upper_symbol_valid = '1' then
-- rx_fifo_data_in <= upper_symbol_type & RXDATA_u & SYMBOL_IDLE & RXDATA_l;
-- rx_fifo_wr_en <= not(rx_fifo_full);
-- elsif lower_symbol_valid = '1' then
-- rx_fifo_data_in <= SYMBOL_IDLE & RXDATA_u & lower_symbol_type & RXDATA_l;
-- rx_fifo_wr_en <= not(rx_fifo_full);
-- else
-- rx_fifo_wr_en <= '0';
-- end if;
-- end if;
end if;
end if;
end process;
-------------------------------------------------------------------------------------------------------------------------------------------------------
-- -- Pipelining RX stream
-- process(UCLK)
-- begin
-- if rising_edge(UCLK) then
-- RXDATA_swap <= RXDATA_i(15 downto 0) & RXDATA_i(31 downto 16) & RXDATA_i(47 downto 32) & RXDATA_i(63 downto 48);
-- RXCHARISK_swap <= RXCHARISK_i(1 downto 0) & RXCHARISK_i(3 downto 2) & RXCHARISK_i(5 downto 4) & RXCHARISK_i(7 downto 6);
-- RXCHARISvalid_swap <= RXCHARISvalid_i(1 downto 0) & RXCHARISvalid_i(3 downto 2) & RXCHARISvalid_i(5 downto 4) & RXCHARISvalid_i(7 downto 6);
-- end if;
-- end process;
-- Pipelining RX stream
process(UCLK)
begin
if rising_edge(UCLK) then
RXDATA_swap <= RXDATA_i(15 downto 0) & RXDATA_i(31 downto 16) & RXDATA_i(47 downto 32) & RXDATA_i(63 downto 48);
RXCHARISK_swap <= RXCHARISK_i(1 downto 0) & RXCHARISK_i(3 downto 2) & RXCHARISK_i(5 downto 4) & RXCHARISK_i(7 downto 6);
RXCHARISvalid_swap <= RXCHARISvalid_i(1 downto 0) & RXCHARISvalid_i(3 downto 2) & RXCHARISvalid_i(5 downto 4) & RXCHARISvalid_i(7 downto 6);
-- if mode_sel = '1' then -- x4 mode is active
-- else -- x1 fallback mode is active
--
-- RXDATA_swap <= RXDATA_sr_done ;
-- RXCHARISK_swap <= RXCHARISK_sr_done ;
-- RXCHARISvalid_swap <= RXCHARISvalid_sr_done ;
--
-- end if;
end if;
end process;
--- Lane 0 active Lane 2 active
RXDATA_R_lane <= RXDATA_i(15 downto 0) when mode_0_lane_sel = '0' else RXDATA_i(47 downto 32) ;
RXCHARISK_R_lane <= RXCHARISK_i(1 downto 0) when mode_0_lane_sel = '0' else RXCHARISK_i(5 downto 4) ;
RXCHARISvalid_R_lane <= RXCHARISvalid_i(1 downto 0) when mode_0_lane_sel = '0' else RXCHARISvalid_i(5 downto 4) ;
-- RXDATA shifting process for x1 mode
process(UCLK) -- _x2 rst_n,
begin
-- if rst_n = '0' then
--
-- RXDATA_sr <= (others => '0');
-- RXCHARISK_sr <= (others => '1');
-- RXCHARISvalid_sr <= (others => '0');
-- valid_byte_cntr <= (others => '0');
--
-- RXDATA_sr_done <= (others => '0');
-- RXCHARISK_sr_done <= (others => '1');
-- RXCHARISvalid_sr_done <= (others => '0');
--
-- done_cntr <= (others => '0');
-- rx_done <= '0';
--
-- els
if rising_edge(UCLK) then
if port_initalized = '0' then -- Port has not been initialized yet
RXDATA_sr <= (others => '0');
RXCHARISK_sr <= (others => '1');
RXCHARISvalid_sr <= (others => '0');
valid_byte_cntr <= (others => '0');
RXDATA_sr_done <= (others => '0');
RXCHARISK_sr_done <= (others => '1');
RXCHARISvalid_sr_done <= (others => '0');
done_cntr <= (others => '0');
rx_done <= '0';
else
done_cntr <= done_cntr + rx_done;
if RXCHARISvalid_R_lane(0) = '1' and (RXCHARISK_R_lane(0) = '0' or (RXCHARISK_R_lane(0) = '1' and (RXDATA_R_lane(7 downto 0) = SC or RXDATA_R_lane(7 downto 0) = PD))) then
if RXCHARISvalid_R_lane(1) = '1' and (RXCHARISK_R_lane(1) = '0' or (RXCHARISK_R_lane(1) = '1' and (RXDATA_R_lane(15 downto 8) = SC or RXDATA_R_lane(15 downto 8) = PD))) then
--- [VVVV] It may appear anytime
valid_byte_cntr <= valid_byte_cntr + "10";
RXDATA_sr <= RXDATA_sr(55 downto 0) & RXDATA_R_lane(15 downto 0);
RXCHARISK_sr <= RXCHARISK_sr(6 downto 0) & RXCHARISK_R_lane(1 downto 0);
RXCHARISvalid_sr <= RXCHARISvalid_sr(6 downto 0) & RXCHARISvalid_R_lane(1 downto 0);
if valid_byte_cntr = "110" then
irregular_stream <= '0';
rx_done <= '1';
done_cntr <= (others => '0');
RXDATA_sr_done <= RXDATA_sr(47 downto 0) & RXDATA_R_lane(15 downto 0);
RXCHARISK_sr_done <= RXCHARISK_sr(5 downto 0) & RXCHARISK_R_lane(1 downto 0);
RXCHARISvalid_sr_done <= RXCHARISvalid_sr(5 downto 0) & RXCHARISvalid_R_lane(1 downto 0);
elsif valid_byte_cntr = "111" then
irregular_stream <= '1';
rx_done <= '1';
done_cntr <= (others => '0');
RXDATA_sr_done <= RXDATA_sr(55 downto 0) & RXDATA_R_lane(15 downto 8);
RXCHARISK_sr_done <= RXCHARISK_sr(6 downto 0) & RXCHARISK_R_lane(1);
RXCHARISvalid_sr_done <= RXCHARISvalid_sr(6 downto 0) & RXCHARISvalid_R_lane(1);
elsif done_cntr = "11" then
rx_done <= '0';
RXCHARISK_sr_done <= (others => '1');
RXCHARISvalid_sr_done <= (others => '0');
end if;
else
--- [__VV] : It can appear only in the beginning
if valid_byte_cntr = "100" then
valid_byte_cntr <= valid_byte_cntr + '1';
else -- either it is an irregular start or something went wrong
valid_byte_cntr <= "001";
irregular_stream <= '1';
end if;
RXDATA_sr <= RXDATA_sr(63 downto 0) & RXDATA_R_lane(7 downto 0);
RXCHARISK_sr <= RXCHARISK_sr(7 downto 0) & RXCHARISK_R_lane(0);
RXCHARISvalid_sr <= RXCHARISvalid_sr(7 downto 0) & RXCHARISvalid_R_lane(0);
if done_cntr = "11" then
rx_done <= '0';
RXCHARISK_sr_done <= (others => '1');
RXCHARISvalid_sr_done <= (others => '0');
end if;
end if;
else
if RXCHARISvalid_R_lane(1) = '1' and (RXCHARISK_R_lane(1) = '0' or (RXCHARISK_R_lane(1) = '1' and (RXDATA_R_lane(15 downto 8) = SC or RXDATA_R_lane(15 downto 8) = PD))) then
--- [VV__] : It can appear only in the end
RXDATA_sr <= RXDATA_sr(63 downto 0) & RXDATA_R_lane(15 downto 8);
RXCHARISK_sr <= RXCHARISK_sr(7 downto 0) & RXCHARISK_R_lane(1);
RXCHARISvalid_sr <= RXCHARISvalid_sr(7 downto 0) & RXCHARISvalid_R_lane(1);
if valid_byte_cntr = "011" then
valid_byte_cntr <= valid_byte_cntr + '1';
irregular_stream <= '0'; -- irregularity has been compensated for the first symbol
if done_cntr = "11" then
rx_done <= '0';
RXCHARISK_sr_done <= (others => '1');
RXCHARISvalid_sr_done <= (others => '0');
end if;
elsif valid_byte_cntr = "111" then -- 2 symbols (2x32b) are done
valid_byte_cntr <= (others => '0');
irregular_stream <= '0'; -- irregularity has been compensated for the second symbol
rx_done <= '1';
done_cntr <= (others => '0');
RXDATA_sr_done <= RXDATA_sr(55 downto 0) & RXDATA_R_lane(15 downto 8);
RXCHARISK_sr_done <= RXCHARISK_sr(6 downto 0) & RXCHARISK_R_lane(1);
RXCHARISvalid_sr_done <= RXCHARISvalid_sr(6 downto 0) & RXCHARISvalid_R_lane(1);
else -- something went wrong
valid_byte_cntr <= (others => '0');
irregular_stream <= '0';
if done_cntr = "11" then
rx_done <= '0';
RXCHARISK_sr_done <= (others => '1');
RXCHARISvalid_sr_done <= (others => '0');
end if;
end if;
else
--- [____]
if valid_byte_cntr /= "100" then -- No IDLE allowed, unless between two symbols: Something went wrong probably
valid_byte_cntr <= "000";
irregular_stream <= '0';
end if;
if done_cntr = "11" then
rx_done <= '0';
RXCHARISK_sr_done <= (others => '1');
RXCHARISvalid_sr_done <= (others => '0');
end if;
end if;
end if;
end if;
end if;
end process;
RXDATA_u <= RXDATA_swap(63 downto 56) & RXDATA_swap(47 downto 40) & RXDATA_swap(31 downto 24) & RXDATA_swap(15 downto 8) when mode_sel = '1' else -- x4 mode
RXDATA_sr_done(63 downto 32); -- x1 mode
RXCHARISK_u <= RXCHARISK_swap(7) & RXCHARISK_swap(5) & RXCHARISK_swap(3) & RXCHARISK_swap(1) when mode_sel = '1' else -- x4 mode
RXCHARISK_sr_done(7 downto 4); -- x1 mode
RXCHARISvalid_u <= RXCHARISvalid_swap(7) & RXCHARISvalid_swap(5) & RXCHARISvalid_swap(3) & RXCHARISvalid_swap(1) when mode_sel = '1' else -- x4 mode
RXCHARISvalid_sr_done(7 downto 4); -- x1 mode
RXDATA_l <= RXDATA_swap(55 downto 48) & RXDATA_swap(39 downto 32) & RXDATA_swap(23 downto 16) & RXDATA_swap(7 downto 0) when mode_sel = '1' else -- x4 mode
RXDATA_sr_done(31 downto 0); -- x1 mode
RXCHARISK_l <= RXCHARISK_swap(6) & RXCHARISK_swap(4) & RXCHARISK_swap(2) & RXCHARISK_swap(0) when mode_sel = '1' else -- x4 mode
RXCHARISK_sr_done(3 downto 0); -- x1 mode
RXCHARISvalid_l <= RXCHARISvalid_swap(6) & RXCHARISvalid_swap(4) & RXCHARISvalid_swap(2) & RXCHARISvalid_swap(0) when mode_sel = '1' else -- x4 mode
RXCHARISvalid_sr_done(3 downto 0); -- x1 mode
-- RXDATA_u <= RXDATA_swap(63 downto 56) & RXDATA_swap(47 downto 40) & RXDATA_swap(31 downto 24) & RXDATA_swap(15 downto 8);
-- RXCHARISK_u <= RXCHARISK_swap(7) & RXCHARISK_swap(5) & RXCHARISK_swap(3) & RXCHARISK_swap(1);
-- RXDATA_l <= RXDATA_swap(55 downto 48) & RXDATA_swap(39 downto 32) & RXDATA_swap(23 downto 16) & RXDATA_swap(7 downto 0);
-- RXCHARISK_l <= RXCHARISK_swap(6) & RXCHARISK_swap(4) & RXCHARISK_swap(2) & RXCHARISK_swap(0);
-- RXCHARISvalid_u <= RXCHARISvalid_swap(7) & RXCHARISvalid_swap(5) & RXCHARISvalid_swap(3) & RXCHARISvalid_swap(1);
-- RXCHARISvalid_l <= RXCHARISvalid_swap(6) & RXCHARISvalid_swap(4) & RXCHARISvalid_swap(2) & RXCHARISvalid_swap(0);
upper_symbol_type <= SYMBOL_IDLE when RXCHARISK_u = "1111" and RXCHARISvalid_u = "1111" else
SYMBOL_CONTROL when RXCHARISK_u = "1000" and RXCHARISvalid_u = "1111" and (RXDATA_u(31 downto 24) = SC or RXDATA_u(31 downto 24) = PD) else
SYMBOL_DATA when RXCHARISK_u = "0000" and RXCHARISvalid_u = "1111" else
SYMBOL_ERROR;
lower_symbol_type <= SYMBOL_IDLE when RXCHARISK_l = "1111" and RXCHARISvalid_l = "1111" else
SYMBOL_CONTROL when RXCHARISK_l = "1000" and RXCHARISvalid_l = "1111" and (RXDATA_l(31 downto 24) = SC or RXDATA_l(31 downto 24) = PD) else
SYMBOL_DATA when RXCHARISK_l = "0000" and RXCHARISvalid_l = "1111" else
SYMBOL_ERROR;
--
upper_symbol_not_idle <= '0' when upper_symbol_type = SYMBOL_IDLE else '1';
lower_symbol_not_idle <= '0' when lower_symbol_type = SYMBOL_IDLE else '1';
upper_symbol_not_error <= '0' when upper_symbol_type = SYMBOL_ERROR else '1';
lower_symbol_not_error <= '0' when lower_symbol_type = SYMBOL_ERROR else '1';
upper_symbol_valid <= upper_symbol_not_idle and upper_symbol_not_error;
lower_symbol_valid <= lower_symbol_not_idle and lower_symbol_not_error;
end RTL;
---------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------------------------------------------------------------------------
--
-- RapidIO IP Library Core
--
-- This file is part of the RapidIO IP library project
-- http://www.opencores.org/cores/rio/
--
-- To Do:
-- -
--
-- Author(s):
-- - A. Demirezen, [email protected]
--
-------------------------------------------------------------------------------
--
-- Copyright (C) 2013 Authors and OPENCORES.ORG
--
-- This source file may be used and distributed without
-- restriction provided that this copyright statement is not
-- removed from the file and that any derivative work contains
-- the original copyright notice and the associated disclaimer.
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.opencores.org/lgpl.shtml
--
------------------------------------------------------------------------------
------------------------------------------------------------------------------
--
-- File name: pcs_tx_controller.vhd
-- Rev: 0.0
-- Description: This entity controls the TX stream
--
--
------------------------------------------------------------------------------
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
use work.rio_common.all;
entity pcs_tx_controller is
generic (
TCQ : time := 100 ps
);
port (
rst_n : in std_logic;
rio_clk : in std_logic; -- ~150 MHz
UCLK_x2 : in std_logic; -- 312,5 MHz
UCLK : in std_logic; -- 156,25 MHz
UCLK_x2_DV2 : in std_logic; -- 312,5 MHz @ x4 mode / 78,125 @ x1 (fallback mode)
UCLK_or_DV4 : in std_logic; -- 156,25 MHz @ x4 mode / 39,0625 @ x1 (fallback mode)
--
-- Interface to the RioSerial
outboundWrite_i : in std_logic;
outboundFull_o : out std_logic;
outboundSymbol_i : in std_logic_vector(33 downto 0);
-- outboundSymbolEmpty_i : in std_logic;
-- outboundSymbolRead_o : out std_logic;
-- outboundSymbol_i : in std_logic_vector(33 downto 0);
--
-- Interface to the GTX transceivers
TXDATA_o : out std_logic_vector(63 downto 0); -- N = 4
TXCHARISK_o : out std_logic_vector(7 downto 0);
--
-- Interface to the other blocks
send_ccs_i : in std_logic;
ccs_timer_rst_o : out std_logic;
send_idle_o : out std_logic_vector(1 downto 0);
send_K_i : in std_logic_vector(1 downto 0);
send_A_i : in std_logic_vector(1 downto 0);
send_R_i : in std_logic_vector(1 downto 0);
--
-- Interface to the port init
TXINHIBIT_02 : in std_logic;
TXINHIBIT_others : in std_logic;
port_initalized_i : in std_logic;
mode_sel_i : in std_logic;
mode_0_lane_sel_i : in std_logic
);
end pcs_tx_controller;
architecture RTL of pcs_tx_controller is
-------------------------------------------------------------------------------
COMPONENT pcs_tx_boudary_32b_in_64b_out
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(33 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(67 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : out STD_LOGIC;
almost_full : out STD_LOGIC;
valid : OUT STD_LOGIC
);
END COMPONENT;
-------------------------------------------------------------------------------
-- COMPONENT pcs_tx_boudary_32b_v2
-- PORT (
-- rst : IN STD_LOGIC;
-- wr_clk : IN STD_LOGIC;
-- rd_clk : IN STD_LOGIC;
-- din : IN STD_LOGIC_VECTOR(33 DOWNTO 0);
-- wr_en : IN STD_LOGIC;
-- rd_en : IN STD_LOGIC;
-- dout : OUT STD_LOGIC_VECTOR(33 DOWNTO 0);
-- full : OUT STD_LOGIC;
-- empty : OUT STD_LOGIC;
-- almost_empty : OUT STD_LOGIC;
-- valid : OUT STD_LOGIC
-- );
-- END COMPONENT;
-------------------------------------------------------------------------------
signal rst : std_logic:= '0';
signal fragment_counter : std_logic_vector(9 downto 0) := (others => '0');
signal outboundSymbolType : std_logic_vector(1 downto 0) := (others => '0');
signal outboundSymbol : std_logic_vector(33 downto 0) := (others => '0');
signal outboundSymbolRead : std_logic:= '0';
signal non_idle : std_logic:= '0';
signal decrement_frag_cntr : std_logic:= '0';
signal tx_fifo_full : std_logic:= '0';
signal symbol_empty : std_logic:= '0';
signal symbol_almost_empty : std_logic:= '0';
signal symbol_read : std_logic:= '0';
signal symbol_valid : std_logic:= '0';
-- signal symbol : std_logic_vector(33 downto 0) := (others => '0');
signal symbol : std_logic_vector(67 downto 0) := (others => '0');
signal symbol_u : std_logic_vector(33 downto 0) := (others => '0');
signal symbol_l : std_logic_vector(33 downto 0) := (others => '0');
-- signal symbol_type : std_logic_vector(1 downto 0) := (others => '0');
signal symbol_type : std_logic_vector(3 downto 0) := (others => '0');
signal symbol_type_u : std_logic_vector(1 downto 0) := (others => '0');
signal symbol_type_l : std_logic_vector(1 downto 0) := (others => '0');
signal TXDATA : std_logic_vector(63 downto 0); -- N = 4
signal TXCHARISK : std_logic_vector(7 downto 0);
signal TXDATA_u : std_logic_vector(31 downto 0);
signal TXCHARISK_u : std_logic_vector(3 downto 0);
signal TXDATA_l : std_logic_vector(31 downto 0);
signal TXCHARISK_l : std_logic_vector(3 downto 0);
signal TXDATA_u_idle : std_logic_vector(31 downto 0);
signal TXDATA_l_idle : std_logic_vector(31 downto 0);
signal word_switch : std_logic:= '0';
signal lane_switch : std_logic_vector(1 downto 0) := (others => '0');
signal cycle_switch : std_logic_vector(1 downto 0) := (others => '0');
signal read_switch : std_logic_vector(1 downto 0) := (others => '0');
signal send_idle_q : std_logic:= '0';
signal send_idle_reg : std_logic_vector(1 downto 0) := (others => '0');
signal send_idle : std_logic_vector(1 downto 0) := (others => '0');
signal idle_char_type_0 : std_logic_vector(2 downto 0) := (others => '0');
signal idle_char_type_1 : std_logic_vector(2 downto 0) := (others => '0');
signal send_ccs_cntr : std_logic_vector(1 downto 0) := (others => '0');
signal send_K : std_logic_vector(1 downto 0) := (others => '0');
signal send_A : std_logic_vector(1 downto 0) := (others => '0');
signal send_R : std_logic_vector(1 downto 0) := (others => '0');
signal send_ccs : std_logic:= '0';
signal send_ccs_q : std_logic:= '0';
signal do_not_interrupt : std_logic:= '0';
signal be_silent : std_logic:= '0';
signal fifo_wr_selective : std_logic:= '0';
signal fifo_wr_selective_q : std_logic:= '0';
signal fifo_wr_always_even : std_logic:= '0';
signal fifo_wr_odd_or_even : std_logic:= '0';
signal fifo_wr_evenly : std_logic:= '0';
signal outboundSymbolisData : std_logic:= '0';
signal outboundSymbolisData_q : std_logic:= '0';
signal outboundSymbol_q : std_logic_vector(33 downto 0) := (others => '0');
signal fifo_wr_evenly_q : std_logic:= '0';
-- signal send_K_ccs : std_logic:= '0';
-- signal send_R_ccs : std_logic:= '0';
-- signal send_K_q : std_logic:= '0';
-- signal send_A_q : std_logic:= '0';
-- signal send_R_q : std_logic:= '0';
----------------------------------------------------------------------------------
begin
--
rst <= not(rst_n);
outboundSymbolType <= outboundSymbol_i(33 downto 32);
-- Filtering the ERROR symbol out
outboundSymbol <= outboundSymbol_i when (outboundSymbolType = SYMBOL_DATA or outboundSymbolType = SYMBOL_CONTROL) else
SYMBOL_IDLE & outboundSymbol_i(31 downto 0);
fifo_wr_selective <= outboundWrite_i when (outboundSymbolType = SYMBOL_DATA or outboundSymbolType = SYMBOL_CONTROL) else '0';
fifo_wr_always_even <= fifo_wr_selective or (fifo_wr_selective_q and fifo_wr_odd_or_even);
outboundSymbolisData <= '1' when outboundSymbolType = SYMBOL_DATA else '0';
fifo_wr_evenly <= fifo_wr_selective or (fifo_wr_selective_q and fifo_wr_odd_or_even and not(outboundSymbolisData_q));
-- Writing to the FIFO
process(rio_clk)
begin
if rising_edge(rio_clk) then
fifo_wr_selective_q <= fifo_wr_selective;
outboundSymbolisData_q <= outboundSymbolisData;
if fifo_wr_selective = '1' then
fifo_wr_odd_or_even <= not(fifo_wr_odd_or_even);
elsif fifo_wr_selective_q = '1' then
fifo_wr_odd_or_even <= fifo_wr_odd_or_even and outboundSymbolisData_q; -- '0';
end if;
outboundSymbol_q <= outboundSymbol;
fifo_wr_evenly_q <= fifo_wr_evenly;
end if;
end process;
send_K <= send_K_i;
send_A <= send_A_i;
send_R <= send_R_i;
-- idle_char_type <= send_K & send_A & send_R;
idle_char_type_0 <= send_K(0) & send_A(0) & send_R(0);
idle_char_type_1 <= send_K(1) & send_A(1) & send_R(1);
be_silent <= '1' when TXINHIBIT_02 = '1' and TXINHIBIT_others = '1' else '0';
-- symbol_type <= symbol(33 downto 32);
symbol_type_u <= symbol_u(33 downto 32);
symbol_type_l <= symbol_l(33 downto 32);
symbol_u <= symbol(67 downto 34);
symbol_l <= symbol(33 downto 0);
send_idle(1) <= '1' when (send_ccs = '0') and
((symbol_read = '1' and symbol_type_u = SYMBOL_IDLE) or
(symbol_read = '0') or
(port_initalized_i = '0'))
else
'0';
send_idle(0) <= '1' when (send_ccs = '0') and
((symbol_read = '1' and symbol_type_l = SYMBOL_IDLE) or
(symbol_read = '0') or
(port_initalized_i = '0'))
else
'0';
send_idle_o <= send_idle; -- _reg;
-- symbol_read <= not(symbol_empty) and not(send_ccs) and not(send_ccs_q);
-- Pipelining
process(UCLK) -- _x2
begin
if rising_edge(UCLK) then
send_ccs <= not(do_not_interrupt) and send_ccs_i; -- will be high only during real CCS transmission
-- send_idle_reg <= send_idle;
end if;
end process;
-- Reading from the FIFO
process(UCLK_or_DV4) -- UCLK_x2_DV2
begin
if rising_edge(UCLK_or_DV4) then
-- case symbol_read is
-- when '0' =>
-- symbol_read <= not(symbol_empty) and not(send_ccs) and not(send_ccs_q); -- after TCQ;
-- when '1' =>
-- symbol_read <= not(symbol_almost_empty); -- after TCQ; -- and not(send_ccs) and not(send_ccs_q);
-- when others =>
-- symbol_read <= '0'; -- after TCQ;
-- end case;
end if;
end process;
tx_boundary_fifo : pcs_tx_boudary_32b_in_64b_out -- FWFT FIFO
PORT MAP (
rst => rst,
wr_clk => rio_clk,
wr_en => fifo_wr_evenly_q, --fifo_wr_always_even, --outboundWrite_i,
din => outboundSymbol_q,
full => open, -- outboundFull_o,
almost_full => outboundFull_o,
rd_clk => UCLK_or_DV4,
rd_en => symbol_read,
dout => symbol,
empty => symbol_empty,
almost_empty => symbol_almost_empty,
valid => symbol_valid
);
-- FIFO read / TX output process
process(rst_n, UCLK) -- UCLK_x2
begin
if rst_n = '0' then
ccs_timer_rst_o <= '0';
do_not_interrupt <= '0';
TXDATA_u <= (others => '0');
TXCHARISK_u <= (others => '0');
TXDATA_l <= (others => '0');
TXCHARISK_l <= (others => '0');
cycle_switch <= (others => '0');
read_switch <= (others => '0');
symbol_read <= '0';
elsif rising_edge(UCLK) then
if be_silent = '0' then -- Transmitters are NOT inhibitied
if send_ccs = '1' or send_ccs_q = '1' then -- Transmitting the clock compensation sequence (ccs) = |K|,|R|,|R|,|R|
symbol_read <= '0';
if send_ccs_q = '0' then
TXDATA_u <= K_column;
TXCHARISK_u <= (others => '1');
TXDATA_l <= R_column;
TXCHARISK_l <= (others => '1');
ccs_timer_rst_o <= '1';
else
TXDATA_u <= R_column;
TXCHARISK_u <= (others => '1');
TXDATA_l <= R_column;
TXCHARISK_l <= (others => '1');
end if;
else -- Transmitting the IDLE sequence or the CONTROL/DATA symbols
read_switch <= read_switch + '1';
if read_switch = "00" then
case symbol_read is
when '0' =>
symbol_read <= not(symbol_empty); -- and not(send_ccs) and not(send_ccs_q); -- after TCQ;
do_not_interrupt <= not(symbol_empty);
when '1' =>
symbol_read <= not(symbol_almost_empty); -- after TCQ; -- and not(send_ccs) and not(send_ccs_q);
do_not_interrupt <= not(symbol_almost_empty);
when others =>
symbol_read <= '0'; -- after TCQ;
do_not_interrupt <= '0';
end case;
end if;
ccs_timer_rst_o <= '0';
if symbol_read = '1' then -- two symbols have been read, at least one of them is non-idle, they should be forwarded in 1 or 4 cycles
case mode_sel_i is
when '1' => -- Lane stripping (x4 mode: rd_clk = UCLK)
case symbol_type_u is
when SYMBOL_DATA =>
TXDATA_u <= symbol_u(31 downto 24) & symbol_u(23 downto 16) & symbol_u(15 downto 8) & symbol_u(7 downto 0);
TXCHARISK_u <= (others => '0');
when SYMBOL_CONTROL =>
TXDATA_u <= symbol_u(31 downto 24) & symbol_u(23 downto 16) & symbol_u(15 downto 8) & symbol_u(7 downto 0);
TXCHARISK_u <= "1000";
when SYMBOL_IDLE =>
TXDATA_u <= TXDATA_u_idle;
TXCHARISK_u <= (others => '1');
when others =>
-- dummy
TXDATA_u <= TXDATA_u_idle;
TXCHARISK_u <= (others => '1');
end case;
case symbol_type_l is
when SYMBOL_DATA =>
TXDATA_l <= symbol_l(31 downto 24) & symbol_l(23 downto 16) & symbol_l(15 downto 8) & symbol_l(7 downto 0);
TXCHARISK_l <= (others => '0');
when SYMBOL_CONTROL =>
TXDATA_l <= symbol_l(31 downto 24) & symbol_l(23 downto 16) & symbol_l(15 downto 8) & symbol_l(7 downto 0);
TXCHARISK_l <= "1000";
when SYMBOL_IDLE =>
TXDATA_l <= TXDATA_l_idle;
TXCHARISK_l <= (others => '1');
when others =>
-- dummy
TXDATA_l <= TXDATA_l_idle;
TXCHARISK_l <= (others => '1');
end case;
when '0' => -- Slow motion read (x1 mode: rd_clk = UCLK_DV4)
cycle_switch <= cycle_switch + '1';
-- Cycle | Symbol part to be sent
---------|----------------------
-- 00 | symbol_u(31 downto 16)
-- 01 | symbol_u(15 downto 0)
-- 10 | symbol_l(31 downto 16)
-- 11 | symbol_l(15 downto 0)
case cycle_switch(1) is
when '0' =>
case cycle_switch(0) is
when '0' => -- 00
if symbol_type_u /= SYMBOL_IDLE then
TXDATA_u <= symbol_u(31 downto 24) & symbol_u(31 downto 24) & symbol_u(31 downto 24) & symbol_u(31 downto 24);
if symbol_type_u = SYMBOL_DATA then
TXCHARISK_u <= (others => '0');
else -- if symbol_type_u = SYMBOL_CONTROL then
TXCHARISK_u <= (others => '1');
end if;
TXDATA_l <= symbol_u(23 downto 16) & symbol_u(23 downto 16) & symbol_u(23 downto 16) & symbol_u(23 downto 16);
TXCHARISK_l <= (others => '0');
else -- if symbol_type_u = SYMBOL_IDLE then
TXDATA_u <= TXDATA_u_idle;
TXCHARISK_u <= (others => '1');
TXDATA_l <= TXDATA_l_idle;
TXCHARISK_l <= (others => '1');
end if;
when '1' => -- 01
if symbol_type_u /= SYMBOL_IDLE then
TXDATA_u <= symbol_u(15 downto 8) & symbol_u(15 downto 8) & symbol_u(15 downto 8) & symbol_u(15 downto 8);
TXCHARISK_u <= (others => '0'); -- This is the second part: does not matter control or data
TXDATA_l <= symbol_u(7 downto 0) & symbol_u(7 downto 0) & symbol_u(7 downto 0) & symbol_u(7 downto 0);
TXCHARISK_l <= (others => '0');
else -- if symbol_type_u = SYMBOL_IDLE then
TXDATA_u <= TXDATA_u_idle;
TXCHARISK_u <= (others => '1');
TXDATA_l <= TXDATA_l_idle;
TXCHARISK_l <= (others => '1');
end if;
when others =>
-- dummy
end case;
when '1' =>
case cycle_switch(0) is
when '0' =>
if symbol_type_l /= SYMBOL_IDLE then
TXDATA_u <= symbol_l(31 downto 24) & symbol_l(31 downto 24) & symbol_l(31 downto 24) & symbol_l(31 downto 24);
if symbol_type_l = SYMBOL_DATA then
TXCHARISK_u <= (others => '0');
else -- if symbol_type_l = SYMBOL_CONTROL then
TXCHARISK_u <= (others => '1');
end if;
TXDATA_l <= symbol_l(23 downto 16) & symbol_l(23 downto 16) & symbol_l(23 downto 16) & symbol_l(23 downto 16);
TXCHARISK_l <= (others => '0');
else -- if symbol_type_l = SYMBOL_IDLE then
TXDATA_u <= TXDATA_u_idle;
TXCHARISK_u <= (others => '1');
TXDATA_l <= TXDATA_l_idle;
TXCHARISK_l <= (others => '1');
end if;
when '1' =>
if symbol_type_l /= SYMBOL_IDLE then
TXDATA_u <= symbol_l(15 downto 8) & symbol_l(15 downto 8) & symbol_l(15 downto 8) & symbol_l(15 downto 8);
TXCHARISK_u <= (others => '0'); -- This is the second part: does not matter control or data
TXDATA_l <= symbol_l(7 downto 0) & symbol_l(7 downto 0) & symbol_l(7 downto 0) & symbol_l(7 downto 0);
TXCHARISK_l <= (others => '0');
else -- if symbol_type_l = SYMBOL_IDLE then
TXDATA_u <= TXDATA_u_idle;
TXCHARISK_u <= (others => '1');
TXDATA_l <= TXDATA_l_idle;
TXCHARISK_l <= (others => '1');
end if;
when others =>
-- dummy
end case;
when others =>
-- dummy
end case;
when others =>
-- dummy
end case;
-----------------------------------------------------------------------------
else -- No Symbols are present at the FIFO output: Transmitting an idle sequence: |K| or |A| or |R|
TXDATA_u <= TXDATA_u_idle;
TXCHARISK_u <= (others => '1');
TXDATA_l <= TXDATA_l_idle;
TXCHARISK_l <= (others => '1');
end if;
end if;
else -- Transmitters are inhibitied
TXDATA_u <= x"BCBCBCBC" ;
TXCHARISK_u <= (others => '1');
TXDATA_l <= x"FDFDFDFD" ;
TXCHARISK_l <= (others => '1');
end if;
end if;
end process;
-- Combinational idle drive process
process(idle_char_type_0, idle_char_type_1)
begin
case idle_char_type_1 is
when "100" => -- |K| (~%50)
TXDATA_u_idle <= K_column;
when "010" => -- |A| (1/16 .. 1/32)
TXDATA_u_idle <= A_column;
when "001" => -- |R| (~%50)
TXDATA_u_idle <= R_column;
when others =>
-- dummy
TXDATA_u_idle <= K_column;
end case;
case idle_char_type_0 is
when "100" => -- |K| (~%50)
TXDATA_l_idle <= K_column;
when "010" => -- |A| (1/16 .. 1/32)
TXDATA_l_idle <= A_column;
when "001" => -- |R| (~%50)
TXDATA_l_idle <= R_column;
when others =>
-- dummy
TXDATA_l_idle <= R_column;
end case;
end process;
-- TXDATA buffering by UCLK
process(UCLK)
begin
if rising_edge(UCLK) then
------------------
-- MUST BE SWAPPED
TXDATA_o <= TXDATA_u( 7 downto 0) & TXDATA_l( 7 downto 0) & TXDATA_u(15 downto 8) & TXDATA_l(15 downto 8)
& TXDATA_u(23 downto 16) & TXDATA_l(23 downto 16) & TXDATA_u(31 downto 24) & TXDATA_l(31 downto 24);
TXCHARISK_o <= TXCHARISK_u(0) & TXCHARISK_l(0) & TXCHARISK_u(1) & TXCHARISK_l(1) & TXCHARISK_u(2) & TXCHARISK_l(2) & TXCHARISK_u(3) & TXCHARISK_l(3);
------------------
end if;
end process;
-- Delaying send_ccs
process(UCLK)
begin
if rising_edge(UCLK) then
if be_silent = '0' then
send_ccs_q <= send_ccs; ---
else
send_ccs_q <= '0';
end if;
end if;
end process;
end RTL;
----------------------------------------------------------------------------------------------------------------------------------------------------------------------
--
-- RapidIO IP Library Core
--
-- This file is part of the RapidIO IP library project
-- http://www.opencores.org/cores/rio/
--
-- To Do:
-- -
--
-- Author(s):
-- - A. Demirezen, [email protected]
--
-------------------------------------------------------------------------------
--
-- Copyright (C) 2013 Authors and OPENCORES.ORG
--
-- This source file may be used and distributed without
-- restriction provided that this copyright statement is not
-- removed from the file and that any derivative work contains
-- the original copyright notice and the associated disclaimer.
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.opencores.org/lgpl.shtml
--
------------------------------------------------------------------------------
------------------------------------------------------------------------------
--
-- File name: port_init_fsms.vhd
-- Rev: 0.0
-- Description: This entity does the 1x/Nx port init according to the
-- RIO Sepec. Part-6, subchapter 4.2
--
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
use work.rio_common.all;
--use work.rio_common_sim.all;
entity port_init_fsms is
generic (
TCQ : time := 100 ps
);
port (
rst_n : in std_logic;
UCLK_x2 : in std_logic;
UCLK : in std_logic;
UCLK_DV4 : in std_logic;
UCLK_DV_1024 : in std_logic;
force_reinit : in std_logic:='0'; -- force retraining
mode_sel : out std_logic; -- 0: x1 fallback mode / 1: xN Mode
mode_0_lane_sel : out std_logic; -- If mode_sel = 0 then 0: Lane 0 is active(R), 1: Lane 2 is active else don't care
port_initalized : out std_logic; -- 1: Port initialization is successfully complete
lane_sync : out std_logic_vector(N-1 downto 0); -- Lane is synchoronised
RXCHARISvalid : out std_logic_vector(N*2-1 downto 0);
-- GTXRESET : out std_logic;
TXINHIBIT_02 : out std_logic;
TXINHIBIT_others : out std_logic;
ENCHANSYNC : out std_logic;
-- TXDATA : out std_logic_vector(N*16-1 downto 0);
-- TXCHARISK : out std_logic_vector(N*2-1 downto 0);
PLLLKDET : in std_logic;
RXDATA : in std_logic_vector(N*16-1 downto 0);
RXCHARISK : in std_logic_vector(N*2-1 downto 0);
RXCHARISCOMMA : in std_logic_vector(N*2-1 downto 0);
RXBYTEISALIGNED : in std_logic_vector(N-1 downto 0);
RXBYTEREALIGN : in std_logic_vector(N-1 downto 0);
RXELECIDLE : in std_logic_vector(N-1 downto 0);
RXDISPERR : in std_logic_vector(N*2-1 downto 0);
RXNOTINTABLE : in std_logic_vector(N*2-1 downto 0);
RXBUFERR : in std_logic;
RXBUFRST : out std_logic;
CHBONDDONE : in std_logic_vector(N-1 downto 0)
);
end port_init_fsms;
architecture rtl of port_init_fsms is
-------------------------------------------------------------------------------------------------------------------------------------------
-- Lane_Synchronization State Machine
type lane_sync_states is (NO_SYNC, NO_SYNC_1, NO_SYNC_2, NO_SYNC_2a, NO_SYNC_2b, NO_SYNC_3, SYNC, SYNCa, SYNCb, SYNC_1, SYNC_2, SYNC_2a, SYNC_2b, SYNC_3, SYNC_4);
type lane_sync_states_array is array (N-1 downto 0) of lane_sync_states;
signal lane_sync_state_n : lane_sync_states_array := (others => NO_SYNC);
signal lane_sync_n : std_logic_vector(N-1 downto 0) := (others => '0');
signal Kcounter_n : Kcounter_array_type := (others => (others => '0'));
signal Vcounter_n : Vcounter_array_type := (others => (others => '0'));
signal Icounter_n : Icounter_array_type := (others => (others => '0'));
signal code_group_valid : std_logic_vector(N*2-1 downto 0) := (others => '0');
-------------------------------------------------------------------------------------------------------------------------------------------
-- Lane_Alignment State Machine
type lane_alignment_states is (NOT_ALIGNED, NOT_ALIGNED_1, NOT_ALIGNED_2, ALIGNED, ALIGNED_1, ALIGNED_2, ALIGNED_3);
signal lane_alignment_state : lane_alignment_states := NOT_ALIGNED;
signal N_lanes_aligned : std_logic := '0';
signal Acounter : std_logic_vector(2 downto 0) := (others => '0');
signal Mcounter : Mcounter_type := (others => '0');
signal lane_alignment_reset : std_logic := '0';
signal N_lane_sync : std_logic := '0';
constant N_lanes_all_high : std_logic_vector(N-1 downto 0) := (others => '1');
constant N_lanes_all_low : std_logic_vector(N-1 downto 0) := (others => '0');
signal A_column_valid : std_logic := '0';
signal align_error : std_logic := '0';
signal A_column_valid_upper : std_logic := '0';
signal align_error_upper : std_logic := '0';
signal A_column_valid_lower : std_logic := '0';
signal align_error_lower : std_logic := '0';
signal RXCHARIS_A_upper : std_logic_vector(N-1 downto 0) := (others => '0');
signal RXCHARIS_A_lower : std_logic_vector(N-1 downto 0) := (others => '0');
-------------------------------------------------------------------------------------------------------------------------------------------
-- begin --dummy
-- 1x/Nx Mode Init State Machine
type mode_init_states is (SILENT, SEEK, DISCOVERY, x1_RECOVERY, Nx_MODE, x1_MODE_LANE0, x1_MODE_LANE2);
signal mode_init_state : mode_init_states := SILENT;
signal lanes02_drvr_oe : std_logic := '0';
signal N_lanes_drvr_oe : std_logic := '0';
signal Nx_mode_active : std_logic := '0';
signal receive_lane2 : std_logic := '0';
signal force_reinit_reg : std_logic := '0';
signal force_reinit_clear : std_logic := '0';
signal silence_timer_en : std_logic := '0';
signal silence_timer_done : std_logic := '0';
signal silence_timer : std_logic_vector(4 downto 0) := (others => '0');
signal disc_tmr_en : std_logic := '0';
signal disc_tmr_done : std_logic := '0';
signal disc_tmr : std_logic_vector(15 downto 0) := (others => '0');
signal port_initalized_reg : std_logic := '0';
signal idle_selected : std_logic := '1'; -- Only IDLE1 is to be used
signal Nx_mode_enabled : std_logic := '1'; -- Nx mode is to be always enabled
signal force_1x_mode : std_logic := '0'; -- don't force 1x mode
signal force_laneR : std_logic := '0'; -- don't care, when force_1x_mode = 0
signal lane_ready_n : std_logic_vector(N-1 downto 0) := (others => '0');
signal rcvr_trained_n : std_logic_vector(N-1 downto 0) := (others => '0');
signal N_lanes_ready : std_logic := '0';
signal rxbufrst_cntr : std_logic_vector(2 downto 0) := (others => '0');
signal rxbuferr_reg : std_logic := '0';
-------------------------------------------------------------------------------------------------------------------------------------------
begin
lane_sync <= lane_sync_n;
----------------------------------------------------------------
-- Figure 4-14. Lane_Synchronization State Machine for N Lanes
GEN_LANE_SYNC_FSM: for i in 0 to N-1 generate
code_group_valid(i*2) <= not(RXNOTINTABLE(i*2) ) and not(RXDISPERR(i*2) );
code_group_valid(i*2+1) <= not(RXNOTINTABLE(i*2+1)) and not(RXDISPERR(i*2+1));
RXCHARISvalid(i*2) <= code_group_valid(i*2) ;
RXCHARISvalid(i*2+1) <= code_group_valid(i*2+1);
process(rst_n, UCLK) -- (UCLK_x2) --
begin
if rst_n = '0' then
lane_sync_state_n(i) <= NO_SYNC;
lane_sync_n(i) <= '0';
Kcounter_n(i) <= (others => '0');
Vcounter_n(i) <= (others => '0');
elsif rising_edge(UCLK) then
case lane_sync_state_n(i) is
when NO_SYNC =>
-- change(signal_detect[n])
if RXELECIDLE(i) = '1' then
lane_sync_n(i) <= '0';
Kcounter_n(i) <= (others => '0');
Vcounter_n(i) <= (others => '0');
-- signal_detect[n] & /COMMA/ [ KK-- ] : /K/ is being detected at the upper half
elsif (code_group_valid(i*2+1) = '1' and RXCHARISCOMMA(i*2+1) = '1') then
-- signal_detect[n] & /COMMA/ [ --KK ] : /K/ is being detected also at the lower half
if (code_group_valid(i*2) = '1' and RXCHARISCOMMA(i*2) = '1') then
lane_sync_state_n(i) <= NO_SYNC_2;
Kcounter_n(i) <= Kcounter_n(i) + "10";
Vcounter_n(i) <= Vcounter_n(i) + "10";
-- signal_detect[n] [ --VV ] : At the lower half: no comma, but valid
elsif (code_group_valid(i*2) = '1') then
lane_sync_state_n(i) <= NO_SYNC_2;
Kcounter_n(i) <= Kcounter_n(i) + '1';
Vcounter_n(i) <= Vcounter_n(i) + "10";
-- do nothing
else
lane_sync_n(i) <= '0';
Kcounter_n(i) <= (others => '0');
Vcounter_n(i) <= (others => '0');
end if;
----------------------------------------------------------------------------------------------
-- signal_detect[n] & /COMMA/ [ --KK ] : /K/ is being detected only at the lower half
elsif (code_group_valid(i*2) = '1' and RXCHARISCOMMA(i*2) = '1') then
lane_sync_state_n(i) <= NO_SYNC_2;
Kcounter_n(i) <= Kcounter_n(i) + '1';
Vcounter_n(i) <= Vcounter_n(i) + '1';
----------------------------------------------------------------------------------------------
-- !signal_detect[n] | !/COMMA/
else
lane_sync_n(i) <= '0';
Kcounter_n(i) <= (others => '0');
Vcounter_n(i) <= (others => '0');
end if;
-- -- change(signal_detect[n])
-- if RXELECIDLE(i) = '1' then
-- lane_sync_n(i) <= '0';
-- Kcounter_n(i) <= (others => '0');
-- Vcounter_n(i) <= (others => '0');
-- -- signal_detect[n] & /COMMA/ [ KK-- ] : /K/ is being detected at the upper half
-- elsif (code_group_valid(i*2+1) = '1' and RXCHARISCOMMA(i*2+1) = '1') then
-- lane_sync_state_n(i) <= NO_SYNC_2a;
-- Kcounter_n(i) <= Kcounter_n(i) + '1';
-- Vcounter_n(i) <= Vcounter_n(i) + '1';
-- -- signal_detect[n] & /COMMA/ [ --KK ] : /K/ is being detected at the lower half
-- elsif (code_group_valid(i*2) = '1' and RXCHARISCOMMA(i*2) = '1') then
-- lane_sync_state_n(i) <= NO_SYNC_2b;
-- Kcounter_n(i) <= Kcounter_n(i) + '1';
-- Vcounter_n(i) <= Vcounter_n(i) + '1';
-- -- !signal_detect[n] | !/COMMA/
-- else
-- lane_sync_n(i) <= '0';
-- Kcounter_n(i) <= (others => '0');
-- Vcounter_n(i) <= (others => '0');
-- end if;
-- when NO_SYNC_1 =>
when NO_SYNC_2 =>
-- [ IIXX or XXII ] -- One of both /INVALID/
if (code_group_valid(i*2) = '0' or code_group_valid(i*2+1) = '0') then
lane_sync_state_n(i) <= NO_SYNC;
lane_sync_n(i) <= '0';
Kcounter_n(i) <= (others => '0');
Vcounter_n(i) <= (others => '0');
-- [ KKKK ] -- Both /COMMA/
elsif (RXCHARISCOMMA(i*2) = '1' and RXCHARISCOMMA(i*2+1) = '1') then
-- (Kcounter[n] > 126) & (Vcounter[n] > Vmin-1)
if Kcounter_n(i) >= Kmin and Vcounter_n(i) >= Vmin then
lane_sync_state_n(i) <= SYNC;
-- (Kcounter[n] < 127) | (Vcounter[n] < Vmin)
else
Kcounter_n(i) <= Kcounter_n(i) + "10";
Vcounter_n(i) <= Vcounter_n(i) + "10";
end if;
-- [ KKVV or VVKK ] -- One of both /COMMA/
elsif (RXCHARISCOMMA(i*2) = '1' or RXCHARISCOMMA(i*2+1) = '1') then
-- (Kcounter[n] > 126) & (Vcounter[n] > Vmin-1)
if Kcounter_n(i) >= Kmin and Vcounter_n(i) >= Vmin then
lane_sync_state_n(i) <= SYNC;
-- (Kcounter[n] < 127) | (Vcounter[n] < Vmin)
else
Kcounter_n(i) <= Kcounter_n(i) + '1' ;
Vcounter_n(i) <= Vcounter_n(i) + "10";
end if;
-- [ VVVV ] -- None of both /COMMA/, but both /VALID/
else -- if RXCHARISCOMMA(i*2) = '0') and RXCHARISCOMMA(i*2+1) = '0') then
-- (Kcounter[n] > 126) & (Vcounter[n] > Vmin-1)
if Kcounter_n(i) >= Kmin and Vcounter_n(i) >= Vmin then
lane_sync_state_n(i) <= SYNC;
-- (Kcounter[n] < 127) | (Vcounter[n] < Vmin)
else
Vcounter_n(i) <= Vcounter_n(i) + "10";
end if;
end if;
-- when NO_SYNC_2a =>
-- -- !(/COMMA/|/INVALID/)
-- if (code_group_valid(i*2) = '1' and not(RXCHARISCOMMA(i*2) = '1')) then --RXCHARISK(i*2) = '1' and RXDATA(i*16+7 downto i*16) = x"BC")) then
-- lane_sync_state_n(i) <= NO_SYNC_2b;
-- Vcounter_n(i) <= Vcounter_n(i) + '1';
-- -- /COMMA/
-- elsif (code_group_valid(i*2) = '1' and RXCHARISCOMMA(i*2) = '1') then --RXCHARISK(i*2) = '1' and RXDATA(i*16+7 downto i*16) = x"BC") then
-- -- (Kcounter[n] > 126) & (Vcounter[n] > Vmin-1)
-- if Kcounter_n(i) >= Kmin and Vcounter_n(i) >= Vmin then
-- lane_sync_state_n(i) <= SYNCb;
-- -- (Kcounter[n] < 127) | (Vcounter[n] < Vmin)
-- else
-- lane_sync_state_n(i) <= NO_SYNC_2b;
-- Kcounter_n(i) <= Kcounter_n(i) + '1';
-- Vcounter_n(i) <= Vcounter_n(i) + '1';
-- end if;
-- -- /INVALID/
-- elsif (code_group_valid(i*2) = '0') then
-- lane_sync_state_n(i) <= NO_SYNC;
-- lane_sync_n(i) <= '0';
-- Kcounter_n(i) <= (others => '0');
-- Vcounter_n(i) <= (others => '0');
-- end if;
--
-- when NO_SYNC_2b =>
-- -- !(/COMMA/|/INVALID/)
-- if (code_group_valid(i*2+1) = '1' and not(RXCHARISCOMMA(i*2+1) = '1')) then --RXCHARISK(i*2+1) = '1' and RXDATA(i*16+15 downto i*16+8) = x"BC")) then
-- lane_sync_state_n(i) <= NO_SYNC_2a;
-- Vcounter_n(i) <= Vcounter_n(i) + '1';
-- -- /COMMA/
-- elsif (code_group_valid(i*2+1) = '1' and RXCHARISCOMMA(i*2+1) = '1') then --RXCHARISK(i*2+1) = '1' and RXDATA(i*16+15 downto i*16+8) = x"BC") then
-- -- (Kcounter[n] > 126) & (Vcounter[n] > Vmin-1)
-- if Kcounter_n(i) >= Kmin and Vcounter_n(i) >= Vmin then
-- lane_sync_state_n(i) <= SYNCa;
-- -- (Kcounter[n] < 127) | (Vcounter[n] < Vmin)
-- else
-- lane_sync_state_n(i) <= NO_SYNC_2a;
-- Kcounter_n(i) <= Kcounter_n(i) + '1';
-- Vcounter_n(i) <= Vcounter_n(i) + '1';
-- end if;
-- -- /INVALID/
-- elsif (code_group_valid(i*2+1) = '0') then
-- lane_sync_state_n(i) <= NO_SYNC;
-- lane_sync_n(i) <= '0';
-- Kcounter_n(i) <= (others => '0');
-- Vcounter_n(i) <= (others => '0');
-- end if;
-- when NO_SYNC_3 =>
when SYNC =>
-- Both /VALID/
if (code_group_valid(i*2) = '1' and code_group_valid(i*2+1) = '1') then
lane_sync_n(i) <= '1';
Icounter_n(i) <= (others => '0');
-- One of both /INVALID/
elsif (code_group_valid(i*2) = '1' or code_group_valid(i*2+1) = '1') then
Icounter_n(i) <= Icounter_n(i) + '1';
lane_sync_state_n(i) <= SYNC_2;
-- Both /INVALID/
else
Icounter_n(i) <= Icounter_n(i) + "10";
lane_sync_state_n(i) <= SYNC_2;
end if;
--
-- when SYNCa =>
-- -- /INVALID/
-- if (code_group_valid(i*2) = '0') then
-- Icounter_n(i) <= Icounter_n(i) + '1';
-- lane_sync_state_n(i) <= SYNC_2b;
-- -- /VALID/
-- else
-- lane_sync_state_n(i) <= SYNCb;
-- lane_sync_n(i) <= '1';
-- Icounter_n(i) <= (others => '0');
-- end if;
--
-- when SYNCb =>
-- -- /INVALID/
-- if (code_group_valid(i*2+1) = '0') then
-- Icounter_n(i) <= Icounter_n(i) + '1';
-- lane_sync_state_n(i) <= SYNC_2a;
-- -- /VALID/
-- else
-- lane_sync_state_n(i) <= SYNCa;
-- lane_sync_n(i) <= '1';
-- Icounter_n(i) <= (others => '0');
-- end if;
-- when SYNC_1 =>
when SYNC_2 =>
-- Both /VALID/
if (code_group_valid(i*2) = '1' and code_group_valid(i*2+1) = '1') then
Vcounter_n(i) <= Vcounter_n(i) + "10";
-- (Vcounter[n] < 255)
if Vcounter_n(i) < x"FF" then
-- do nothing
lane_sync_state_n(i) <= SYNC_2;
-- (Vcounter[n] = 255)
else
Icounter_n(i) <= Icounter_n(i) - '1';
Vcounter_n(i) <= (others => '0');
-- (Icounter[n] > 0)
if Icounter_n(i) > Ione then
-- do nothing
lane_sync_state_n(i) <= SYNC_2;
-- (Icounter[n] = 0)
else
lane_sync_state_n(i) <= SYNC;
end if;
end if;
-- One of both /INVALID/
elsif (code_group_valid(i*2) = '1' or code_group_valid(i*2+1) = '1') then
Icounter_n(i) <= Icounter_n(i) + '1';
Vcounter_n(i) <= (others => '0');
-- (Icounter[n] = Imax)
if Icounter_n(i) = Imax then
lane_sync_state_n(i) <= NO_SYNC;
lane_sync_n(i) <= '0';
Kcounter_n(i) <= (others => '0');
-- (Icounter[n] < Imax)
else
-- do nothing
lane_sync_state_n(i) <= SYNC_2;
end if;
-- Both /INVALID/
else
Icounter_n(i) <= Icounter_n(i) + "10";
Vcounter_n(i) <= (others => '0');
-- (Icounter[n] = Imax)
if Icounter_n(i) = Imax then
lane_sync_state_n(i) <= NO_SYNC;
lane_sync_n(i) <= '0';
Kcounter_n(i) <= (others => '0');
-- (Icounter[n] < Imax)
else
-- do nothing
lane_sync_state_n(i) <= SYNC_2;
end if;
end if;
----------------------------------------------
-- when SYNC_2a =>
-- -- /INVALID/
-- if (code_group_valid(i*2+1) = '0') then
-- Icounter_n(i) <= Icounter_n(i) + '1';
-- Vcounter_n(i) <= (others => '0');
-- -- (Icounter[n] = Imax)
-- if Icounter_n(i) = Imax then
-- lane_sync_state_n(i) <= NO_SYNC;
-- lane_sync_n(i) <= '0';
-- Kcounter_n(i) <= (others => '0');
-- -- (Icounter[n] < Imax)
-- else
-- lane_sync_state_n(i) <= SYNC_2b;
-- end if;
-- -- /VALID/
-- else
-- Vcounter_n(i) <= Vcounter_n(i) + '1';
-- -- (Vcounter[n] < 255)
-- if Vcounter_n(i) < x"FF" then
-- lane_sync_state_n(i) <= SYNC_2b;
-- -- (Vcounter[n] = 255)
-- else
-- Icounter_n(i) <= Icounter_n(i) - '1';
-- Vcounter_n(i) <= (others => '0');
-- -- (Icounter[n] > 0)
-- if Icounter_n(i) > Izero then
-- lane_sync_state_n(i) <= SYNC_2b;
-- -- (Icounter[n] = 0)
-- else
-- lane_sync_state_n(i) <= SYNCb;
-- end if;
-- end if;
-- end if;
--
-- when SYNC_2b =>
-- -- /INVALID/
-- if (code_group_valid(i*2+1) = '0') then
-- Icounter_n(i) <= Icounter_n(i) + '1';
-- Vcounter_n(i) <= (others => '0');
-- -- (Icounter[n] = Imax)
-- if Icounter_n(i) = Imax then
-- lane_sync_state_n(i) <= NO_SYNC;
-- lane_sync_n(i) <= '0';
-- Kcounter_n(i) <= (others => '0');
-- -- (Icounter[n] < Imax)
-- else
-- lane_sync_state_n(i) <= SYNC_2a;
-- end if;
-- -- /VALID/
-- else
-- Vcounter_n(i) <= Vcounter_n(i) + '1';
-- -- (Vcounter[n] < 255)
-- if Vcounter_n(i) < x"FF" then
-- lane_sync_state_n(i) <= SYNC_2a;
-- -- (Vcounter[n] = 255)
-- else
-- Icounter_n(i) <= Icounter_n(i) - '1';
-- Vcounter_n(i) <= (others => '0');
-- -- (Icounter[n] > 0)
-- if Icounter_n(i) > Izero then
-- lane_sync_state_n(i) <= SYNC_2a;
-- -- (Icounter[n] = 0)
-- else
-- lane_sync_state_n(i) <= SYNCa;
-- end if;
-- end if;
-- end if;
-- when SYNC_3 =>
-- when SYNC_4 =>
when others =>
lane_sync_state_n(i) <= NO_SYNC;
lane_sync_n(i) <= '0';
Kcounter_n(i) <= (others => '0');
Vcounter_n(i) <= (others => '0');
end case;
end if;
end process;
end generate GEN_LANE_SYNC_FSM;
----------------------------------------------------------------
-- Figure 4-15. Lane_Alignment State Machine (for N lanes)
N_lane_sync <= '1' when lane_sync_n = N_lanes_all_high else '0';
lane_alignment_reset <= N_lane_sync and rst_n;
A_column_valid_upper <= '1' when (RXCHARIS_A_upper = N_lanes_all_high) else '0';
A_column_valid_lower <= '1' when (RXCHARIS_A_lower = N_lanes_all_high) else '0';
A_column_valid <= A_column_valid_upper or A_column_valid_lower;
align_error_upper <= '1' when (RXCHARIS_A_upper /= N_lanes_all_low) and (RXCHARIS_A_upper /= N_lanes_all_high) else '0';
align_error_lower <= '1' when (RXCHARIS_A_lower /= N_lanes_all_low) and (RXCHARIS_A_lower /= N_lanes_all_high) else '0';
align_error <= align_error_upper or align_error_lower;
GEN_CHAR_A_CHECKER: for i in 0 to N-1 generate
RXCHARIS_A_upper(i) <= '1' when (code_group_valid(i*2+1) = '1') and (RXCHARISK(i*2+1) = '1') and (RXDATA(i*16+15 downto i*16+8) = A_align) else '0';
RXCHARIS_A_lower(i) <= '1' when (code_group_valid(i*2) = '1' ) and (RXCHARISK(i*2) = '1' ) and (RXDATA(i*16+7 downto i*16 ) = A_align) else '0';
end generate GEN_CHAR_A_CHECKER;
process(lane_alignment_reset, UCLK)
begin
if lane_alignment_reset = '0' then
lane_alignment_state <= NOT_ALIGNED;
N_lanes_aligned <= '0';
Acounter <= (others => '0');
-- Mcounter <= (others => '0');
elsif rising_edge(UCLK) then
-- if lane_alignment_reset = '1' then
case lane_alignment_state is
when NOT_ALIGNED =>
-- N_lane_sync & ||A||
if N_lane_sync = '1' and A_column_valid = '1' then
Acounter <= Acounter + '1';
lane_alignment_state <= NOT_ALIGNED_2;
end if;
-- when NOT_ALIGNED_1 =>
when NOT_ALIGNED_2 =>
-- align_error
if align_error = '1' then
lane_alignment_state <= NOT_ALIGNED;
N_lanes_aligned <= '0';
Acounter <= (others => '0');
-- ||A||
elsif A_column_valid = '1' then
Acounter <= Acounter + '1';
-- Acounter = 4
if Acounter = "100" then
lane_alignment_state <= ALIGNED;
-- Acounter < 4
else
lane_alignment_state <= NOT_ALIGNED_2;
end if;
-- !align_error & !||A||
else
-- Do nothing: Wait for the next column
end if;
when ALIGNED =>
N_lanes_aligned <= '1';
Mcounter <= (others => '0');
-- align_error
if align_error = '1' then
Acounter <= (others => '0');
Mcounter <= Mcounter + '1';
lane_alignment_state <= ALIGNED_2;
-- !(align_error)
else
-- Do nothing extra: Wait for the next column
end if;
-- when ALIGNED_1 =>
when ALIGNED_2 =>
-- align_error
if align_error = '1' then
Acounter <= (others => '0');
Mcounter <= Mcounter + '1';
-- Mcounter = Mmax
if Mcounter = Mmax then
lane_alignment_state <= NOT_ALIGNED;
N_lanes_aligned <= '0';
-- Mcounter < Mmax
else
-- Do nothing extra: Wait for the next column
end if;
-- ||A||
elsif A_column_valid = '1' then
Acounter <= Acounter + '1';
-- Acounter = 4
if Acounter = "100" then
lane_alignment_state <= ALIGNED;
-- Acounter < 4
else
-- Do nothing extra: Wait for the next column
end if;
-- !align_error & !||A||
else
-- Do nothing: Wait for the next column
end if;
-- when ALIGNED_3 =>
when others =>
lane_alignment_state <= NOT_ALIGNED;
N_lanes_aligned <= '0';
Acounter <= (others => '0');
Mcounter <= (others => '0');
end case;
-- else
-- lane_alignment_state <= NOT_ALIGNED;
-- N_lanes_aligned <= '0';
-- Acounter <= (others => '0');
-- end if;
end if;
end process;
-- Figure 4-18. 1x/Nx_Initialization State Machine for N = 4
TXINHIBIT_02 <= not(lanes02_drvr_oe);
TXINHIBIT_others <= not(N_lanes_drvr_oe);
rcvr_trained_n <= CHBONDDONE; -- TBD
lane_ready_n <= lane_sync_n and rcvr_trained_n;
-- lane_ready_n <= lane_sync_n; -- and rcvr_trained_n;
N_lanes_ready <= '1' when N_lanes_aligned = '1' and lane_ready_n = N_lanes_all_high else '0';
-- process(UCLK)
-- begin
-- if rising_edge(UCLK) then
-- mode_sel <= Nx_mode_active;
-- mode_0_lane_sel <= receive_lane2;
-- port_initalized <= port_initalized_reg;
-- end if;
-- end process;
mode_sel <= Nx_mode_active;
mode_0_lane_sel <= receive_lane2;
port_initalized <= port_initalized_reg;
process(rst_n, UCLK)
begin
if rst_n = '0' then
mode_init_state <= SILENT;
disc_tmr_en <= '0';
lanes02_drvr_oe <= '0';
N_lanes_drvr_oe <= '0';
port_initalized_reg <= '0';
Nx_mode_active <= '0';
receive_lane2 <= '0';
force_reinit_clear <= '0';
silence_timer_en <= '0';
idle_selected <= '1';
elsif rising_edge(UCLK) then
case mode_init_state is
when SILENT =>
disc_tmr_en <= '0';
lanes02_drvr_oe <= '0';
N_lanes_drvr_oe <= '0';
port_initalized_reg <= '0';
Nx_mode_active <= '0';
receive_lane2 <= '0';
force_reinit_clear <= '1'; -- = force_reinit <= '0';
silence_timer_en <= '1';
-- force_reinit
if force_reinit_reg = '1' then
mode_init_state <= SILENT;
-- silence_timer_done
elsif silence_timer_done = '1' then
mode_init_state <= SEEK;
end if;
when SEEK =>
lanes02_drvr_oe <= '1';
silence_timer_en <= '0';
-- (lane_sync_0 | lane_sync_2) & idle_selected
if (lane_sync_n(0) = '1' or lane_sync_n(2) = '1') and idle_selected = '1' then
mode_init_state <= DISCOVERY;
end if;
when DISCOVERY =>
port_initalized_reg <= '0';
Nx_mode_active <= '0';
N_lanes_drvr_oe <= Nx_mode_enabled;
disc_tmr_en <= '1';
-- Nx_mode_enabled & N_lanes_ready
if Nx_mode_enabled = '1' and N_lanes_ready = '1' then
mode_init_state <= Nx_MODE;
-- lane_ready[0] & (force_1x_mode & (!force_laneR | force_laneR & disc_tmr_done & !lane_ready[2])
-- | !force_1x_mode & disc_tmr_done & !N_lanes_ready)
elsif lane_ready_n(0) = '1' and ((force_1x_mode = '1' and (force_laneR = '0' or (force_laneR = '1' and disc_tmr_done = '1' and lane_ready_n(2) = '0')))
or (force_1x_mode = '0' and disc_tmr_done = '1' and N_lanes_ready = '0')) then
mode_init_state <= x1_MODE_LANE0;
-- lane_ready[2] & (force_1x_mode & force_laneR | disc_tmr_done & !lane_ready[0]
-- & (force_1x_mode & !force_laneR | !force_1x_mode & !N_lanes_ready))
elsif lane_ready_n(2) = '1' and ((force_1x_mode = '1' and force_laneR = '1') or
(disc_tmr_done = '1' and lane_ready_n(0) = '0' and
((force_1x_mode = '1' and force_laneR = '0') or (force_1x_mode = '0' and N_lanes_ready = '0')))) then
mode_init_state <= x1_MODE_LANE2;
---- -- !lane_sync[0] & !lane_sync[2] | disc_tmr_done & !lane_ready[0] & !lane_ready[2]
---- elsif (lane_sync_n(0) = '0' and lane_sync_n(2) = '0') or (disc_tmr_done = '1' and lane_ready_n(0) = '0' and lane_ready_n(2) = '0') then
-- disc_tmr_done & !lane_ready[0] & !lane_ready[2]
elsif (disc_tmr_done = '1' and lane_ready_n(0) = '0' and lane_ready_n(2) = '0') then
mode_init_state <= SILENT;
end if;
when Nx_MODE =>
disc_tmr_en <= '0';
port_initalized_reg <= '1';
Nx_mode_active <= '1';
-- !N_lanes_ready & (lane_sync[0] | lane_sync[2])
if N_lanes_ready = '0' and (lane_sync_n(0) = '1' or lane_sync_n(2) = '1') then
mode_init_state <= DISCOVERY;
-- !N_lanes_ready & !lane_sync[0] & !lane_sync[2]
elsif N_lanes_ready = '0' and lane_sync_n(0) = '0' and lane_sync_n(2) = '0' then
mode_init_state <= SILENT;
end if;
when x1_MODE_LANE0 =>
disc_tmr_en <= '0';
N_lanes_drvr_oe <= '0';
port_initalized_reg <= '1';
-- !lane_sync[0]
if lane_sync_n(0) = '0' then
mode_init_state <= SILENT;
-- !lane_ready[0] & lane_sync[0]
elsif lane_ready_n(0) = '0' and lane_sync_n(0) = '1' then
mode_init_state <= x1_RECOVERY;
end if;
when x1_MODE_LANE2 =>
disc_tmr_en <= '0';
receive_lane2 <= '1';
N_lanes_drvr_oe <= '0';
port_initalized_reg <= '1';
-- !lane_sync[2]
if lane_sync_n(2) = '0' then
mode_init_state <= SILENT;
-- !lane_ready[2] & lane_sync[2]
elsif lane_ready_n(2) = '0' and lane_sync_n(2) = '1' then
mode_init_state <= x1_RECOVERY;
end if;
when x1_RECOVERY =>
port_initalized_reg <= '0';
disc_tmr_en <= '1';
-- !lane_sync[0] & !lane_sync[2] & disc_tmr_done (!!!)
if lane_sync_n(0) = '0' and lane_sync_n(2) = '0' and disc_tmr_done = '1' then
mode_init_state <= SILENT;
-- lane_ready[0] & !receive_lane2 & !disc_tmr_done
elsif lane_sync_n(0) = '1' and receive_lane2 = '0' and disc_tmr_done = '0' then
mode_init_state <= x1_MODE_LANE0;
-- lane_ready[2] & receive_lane2 & !disc_tmr_done
elsif lane_sync_n(2) = '1' and receive_lane2 = '1' and disc_tmr_done = '0' then
mode_init_state <= x1_MODE_LANE2;
end if;
when others =>
port_initalized_reg <= '0';
mode_init_state <= SILENT;
end case;
end if;
end process;
-- Sticky force_reinit set-reset register
process(rst_n, UCLK)
begin
if rst_n = '0' then
force_reinit_reg <= '0';
elsif rising_edge(UCLK) then
case force_reinit_reg is
when '0' =>
force_reinit_reg <= force_reinit or rxbuferr_reg;
when '1' =>
-- force_reinit_reg <= not(force_reinit_clear) and not(force_reinit);
force_reinit_reg <= not(force_reinit_clear and not(force_reinit) and not(rxbuferr_reg));
when others =>
force_reinit_reg <= '0';
end case;
end if;
end process;
-- RXBUFRST handler
process(rst_n, UCLK)
begin
if rst_n = '0' then
rxbufrst_cntr <= (others => '0');
rxbuferr_reg <= '0';
RXBUFRST <= '0';
elsif rising_edge(UCLK) then
case rxbuferr_reg is
when '0' =>
rxbuferr_reg <= RXBUFERR;
RXBUFRST <= '0';
when '1' =>
if rxbufrst_cntr = "111" then
rxbuferr_reg <= '0';
rxbufrst_cntr <= (others => '0');
else
RXBUFRST <= '1';
rxbufrst_cntr <= rxbufrst_cntr + '1';
end if;
when others =>
rxbuferr_reg <= '0';
end case;
end if;
end process;
-- Silence Timer Process
-- silence_timer_done: Asserted when silence_timer_en has been continuously asserted
-- for 120 +/- 40 µs and the state machine is in the SILENT state. The assertion of
-- silence_timer_done causes silence_timer_en to be de-asserted. When the state
-- machine is not in the SILENT state, silence_timer_done is de-asserted.
process(rst_n, UCLK_DV_1024)
begin
if rst_n = '0' then
silence_timer_done <= '0';
silence_timer <= (others => '0');
elsif rising_edge(UCLK_DV_1024) then
case silence_timer_en is
when '0' =>
silence_timer <= (others => '0');
silence_timer_done <= '0';
when '1' =>
if silence_timer = SILENT_ENOUGH then
if mode_init_state = SILENT then
silence_timer_done <= '1';
else
silence_timer_done <= '0';
end if;
else
silence_timer <= silence_timer + '1';
end if;
when others =>
silence_timer <= (others => '0');
end case;
end if;
end process;
-- Discovery Timer Process
-- disc_tmr_done: Asserted when disc_tmr_en has been continuously asserted for 28 +/- 4 ms
-- and the state machine is in the DISCOVERY or a RECOVERY state. The assertion of
-- disc_tmr_done causes disc_tmr_en to be de-asserted. When the state machine is in
-- a state other than the DISCOVERY or a RECOVERY state, disc_tmr_done is de-asserted.
process(rst_n, UCLK_DV_1024)
begin
if rst_n = '0' then
disc_tmr_done <= '0';
disc_tmr <= (others => '0');
elsif rising_edge(UCLK_DV_1024) then
case disc_tmr_en is
when '0' =>
disc_tmr <= (others => '0');
disc_tmr_done <= '0';
when '1' =>
if disc_tmr = DISCOVERY_ENDS then
if mode_init_state = DISCOVERY or mode_init_state = x1_RECOVERY then
disc_tmr_done <= '1';
else
disc_tmr_done <= '0';
end if;
else
disc_tmr <= disc_tmr + '1';
end if;
when others =>
disc_tmr <= (others => '0');
end case;
end if;
end process;
ENCHANSYNC <= '0';
end rtl;
-------------------------------------------------------------------------------
--
-- RapidIO IP Library Core
--
-- This file is part of the RapidIO IP library project
-- http://www.opencores.org/cores/rio/
--
-- To Do:
-- -
--
-- Author(s):
-- - A. Demirezen, [email protected]
--
-------------------------------------------------------------------------------
--
-- Copyright (C) 2013 Authors and OPENCORES.ORG
--
-- This source file may be used and distributed without
-- restriction provided that this copyright statement is not
-- removed from the file and that any derivative work contains
-- the original copyright notice and the associated disclaimer.
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.opencores.org/lgpl.shtml
--
------------------------------------------------------------------------------
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity pseudo_random_number_generator is
Generic (
lfsr_init : std_logic_vector(7 downto 0) := x"01"
);
Port (
clk : in STD_LOGIC;
rst_n : in STD_LOGIC;
-- Pseudo random number
q : out STD_LOGIC_VECTOR(7 downto 0)
);
end pseudo_random_number_generator;
architecture Behavioral of pseudo_random_number_generator is
signal lfsr : std_logic_vector(7 downto 0) := x"01";
signal q0 : std_logic;
begin
q <= lfsr;
-- Polynomial: x^7 + x^6 + 1
q0 <= lfsr(7) xnor lfsr(6) xnor lfsr(0) ;
process (clk, rst_n) begin
if rst_n = '0' then
lfsr <= lfsr_init; -- x"01"; --(others => '0');
elsif rising_edge(clk) then
lfsr <= lfsr(6 downto 0) & q0;
end if;
end process;
end Behavioral;
-------------------------------------------------------------------------------
--
-- RapidIO IP Library Core
--
-- This file is part of the RapidIO IP library project
-- http://www.opencores.org/cores/rio/
--
-- To Do:
-- -
--
-- Author(s):
-- - A. Demirezen, [email protected]
--
-------------------------------------------------------------------------------
--
-- Copyright (C) 2013 Authors and OPENCORES.ORG
--
-- This source file may be used and distributed without
-- restriction provided that this copyright statement is not
-- removed from the file and that any derivative work contains
-- the original copyright notice and the associated disclaimer.
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.opencores.org/lgpl.shtml
--
------------------------------------------------------------------------------
------------------------------------------------------------------------------
--
-- File name: serdes_wrapper_v0.vhd
-- Rev: 0.0
-- Description: This entity instantiates 4-Lane SerDes (GTX-Quad) of Virtex-6
--
------------------------------------------------------------------------------
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.rio_common.all;
entity serdes_wrapper_v0 is
port (
REFCLK : in std_logic;
RXUSRCLK : in std_logic;
RXUSRCLK2 : in std_logic;
TXUSRCLK : in std_logic;
TXUSRCLK2 : in std_logic;
GTXRESET : in std_logic;
RXBUFRST : in std_logic;
-- RXN : in std_logic_vector(N-1 downto 0);
-- RXP : in std_logic_vector(N-1 downto 0);
RXN : in std_logic_vector(0 to N-1);
RXP : in std_logic_vector(0 to N-1);
TXINHIBIT_02 : in std_logic;
TXINHIBIT_others : in std_logic;
ENCHANSYNC : in std_logic;
TXDATA : in std_logic_vector(N*16-1 downto 0);
TXCHARISK : in std_logic_vector(N*2-1 downto 0);
-- TXN : out std_logic_vector(N-1 downto 0);
-- TXP : out std_logic_vector(N-1 downto 0);
TXN : out std_logic_vector(0 to N-1);
TXP : out std_logic_vector(0 to N-1);
PLLLKDET : out std_logic;
RXDATA : out std_logic_vector(N*16-1 downto 0);
RXCHARISK : out std_logic_vector(N*2-1 downto 0);
RXCHARISCOMMA : out std_logic_vector(N*2-1 downto 0);
RXBYTEISALIGNED : out std_logic_vector(N-1 downto 0);
RXBYTEREALIGN : out std_logic_vector(N-1 downto 0);
RXELECIDLE : out std_logic_vector(N-1 downto 0);
RXDISPERR : out std_logic_vector(N*2-1 downto 0);
RXNOTINTABLE : out std_logic_vector(N*2-1 downto 0);
RXBUFERR : out std_logic;
CHBONDDONE : out std_logic_vector(N-1 downto 0)
);
end serdes_wrapper_v0;
architecture struct of serdes_wrapper_v0 is
COMPONENT srio_gt_wrapper_v6_4x
PORT(
REFCLK : IN std_logic;
RXUSRCLK : IN std_logic;
RXUSRCLK2 : IN std_logic;
TXUSRCLK : IN std_logic;
TXUSRCLK2 : IN std_logic;
GTXRESET : IN std_logic;
RXBUFRST : IN std_logic;
RXN0 : IN std_logic;
RXN1 : IN std_logic;
RXN2 : IN std_logic;
RXN3 : IN std_logic;
RXP0 : IN std_logic;
RXP1 : IN std_logic;
RXP2 : IN std_logic;
RXP3 : IN std_logic;
TXINHIBIT_02 : IN std_logic;
TXINHIBIT_13 : IN std_logic;
ENCHANSYNC : IN std_logic;
TXDATA0 : IN std_logic_vector(15 downto 0);
TXDATA1 : IN std_logic_vector(15 downto 0);
TXDATA2 : IN std_logic_vector(15 downto 0);
TXDATA3 : IN std_logic_vector(15 downto 0);
TXCHARISK0 : IN std_logic_vector(1 downto 0);
TXCHARISK1 : IN std_logic_vector(1 downto 0);
TXCHARISK2 : IN std_logic_vector(1 downto 0);
TXCHARISK3 : IN std_logic_vector(1 downto 0);
TXN0 : OUT std_logic;
TXN1 : OUT std_logic;
TXN2 : OUT std_logic;
TXN3 : OUT std_logic;
TXP0 : OUT std_logic;
TXP1 : OUT std_logic;
TXP2 : OUT std_logic;
TXP3 : OUT std_logic;
PLLLKDET : OUT std_logic;
RXDATA0 : OUT std_logic_vector(15 downto 0);
RXDATA1 : OUT std_logic_vector(15 downto 0);
RXDATA2 : OUT std_logic_vector(15 downto 0);
RXDATA3 : OUT std_logic_vector(15 downto 0);
RXCHARISK0 : OUT std_logic_vector(1 downto 0);
RXCHARISK1 : OUT std_logic_vector(1 downto 0);
RXCHARISK2 : OUT std_logic_vector(1 downto 0);
RXCHARISK3 : OUT std_logic_vector(1 downto 0);
RXCHARISCOMMA0 : OUT std_logic_vector(1 downto 0);
RXCHARISCOMMA1 : OUT std_logic_vector(1 downto 0);
RXCHARISCOMMA2 : OUT std_logic_vector(1 downto 0);
RXCHARISCOMMA3 : OUT std_logic_vector(1 downto 0);
RXBYTEISALIGNED: OUT std_logic_vector(3 downto 0);
RXBYTEREALIGN : OUT std_logic_vector(3 downto 0);
RXELECIDLE : OUT std_logic_vector(3 downto 0);
RXDISPERR0 : OUT std_logic_vector(1 downto 0);
RXDISPERR1 : OUT std_logic_vector(1 downto 0);
RXDISPERR2 : OUT std_logic_vector(1 downto 0);
RXDISPERR3 : OUT std_logic_vector(1 downto 0);
RXNOTINTABLE0 : OUT std_logic_vector(1 downto 0);
RXNOTINTABLE1 : OUT std_logic_vector(1 downto 0);
RXNOTINTABLE2 : OUT std_logic_vector(1 downto 0);
RXNOTINTABLE3 : OUT std_logic_vector(1 downto 0);
RXBUFERR : OUT std_logic;
CHBONDDONE0 : OUT std_logic;
CHBONDDONE1 : OUT std_logic;
CHBONDDONE2 : OUT std_logic;
CHBONDDONE3 : OUT std_logic
);
END COMPONENT;
begin
Inst_srio_gt_wrapper_v6_4x: srio_gt_wrapper_v6_4x PORT MAP(
REFCLK => REFCLK ,
RXUSRCLK => RXUSRCLK ,
RXUSRCLK2 => RXUSRCLK2 ,
TXUSRCLK => TXUSRCLK ,
TXUSRCLK2 => TXUSRCLK2 ,
GTXRESET => GTXRESET ,
RXBUFRST => RXBUFRST ,
RXN0 => RXN(0) ,
RXN1 => RXN(1) ,
RXN2 => RXN(2) ,
RXN3 => RXN(3) ,
RXP0 => RXP(0) ,
RXP1 => RXP(1) ,
RXP2 => RXP(2) ,
RXP3 => RXP(3) ,
TXINHIBIT_02 => TXINHIBIT_02 ,
TXINHIBIT_13 => TXINHIBIT_others ,
ENCHANSYNC => ENCHANSYNC ,
TXDATA0 => TXDATA(15 downto 0) ,
TXDATA1 => TXDATA(31 downto 16) ,
TXDATA2 => TXDATA(47 downto 32) ,
TXDATA3 => TXDATA(63 downto 48) ,
TXCHARISK0 => TXCHARISK(1 downto 0) ,
TXCHARISK1 => TXCHARISK(3 downto 2) ,
TXCHARISK2 => TXCHARISK(5 downto 4) ,
TXCHARISK3 => TXCHARISK(7 downto 6) ,
TXN0 => TXN(0) ,
TXN1 => TXN(1) ,
TXN2 => TXN(2) ,
TXN3 => TXN(3) ,
TXP0 => TXP(0) ,
TXP1 => TXP(1) ,
TXP2 => TXP(2) ,
TXP3 => TXP(3) ,
PLLLKDET => PLLLKDET ,
RXDATA0 => RXDATA(15 downto 0) ,
RXDATA1 => RXDATA(31 downto 16) ,
RXDATA2 => RXDATA(47 downto 32) ,
RXDATA3 => RXDATA(63 downto 48) ,
RXCHARISK0 => RXCHARISK(1 downto 0) ,
RXCHARISK1 => RXCHARISK(3 downto 2) ,
RXCHARISK2 => RXCHARISK(5 downto 4) ,
RXCHARISK3 => RXCHARISK(7 downto 6) ,
RXCHARISCOMMA0 => RXCHARISCOMMA(1 downto 0) ,
RXCHARISCOMMA1 => RXCHARISCOMMA(3 downto 2) ,
RXCHARISCOMMA2 => RXCHARISCOMMA(5 downto 4) ,
RXCHARISCOMMA3 => RXCHARISCOMMA(7 downto 6) ,
RXBYTEISALIGNED => RXBYTEISALIGNED ,
RXBYTEREALIGN => RXBYTEREALIGN ,
RXELECIDLE => RXELECIDLE ,
RXDISPERR0 => RXDISPERR(1 downto 0) ,
RXDISPERR1 => RXDISPERR(3 downto 2) ,
RXDISPERR2 => RXDISPERR(5 downto 4) ,
RXDISPERR3 => RXDISPERR(7 downto 6) ,
RXNOTINTABLE0 => RXNOTINTABLE(1 downto 0) ,
RXNOTINTABLE1 => RXNOTINTABLE(3 downto 2) ,
RXNOTINTABLE2 => RXNOTINTABLE(5 downto 4) ,
RXNOTINTABLE3 => RXNOTINTABLE(7 downto 6) ,
RXBUFERR => RXBUFERR ,
CHBONDDONE0 => CHBONDDONE(0) ,
CHBONDDONE1 => CHBONDDONE(1) ,
CHBONDDONE2 => CHBONDDONE(2) ,
CHBONDDONE3 => CHBONDDONE(3)
);
end struct;
-------------------------------------------------------------------------------
--
-- RapidIO IP Library Core
--
-- This file is part of the RapidIO IP library project
-- http://www.opencores.org/cores/rio/
--
-- To Do:
-- -
--
-- Author(s):
-- - A. Demirezen, [email protected]
--
-------------------------------------------------------------------------------
--
-- Copyright (C) 2013 Authors and OPENCORES.ORG
--
-- This source file may be used and distributed without
-- restriction provided that this copyright statement is not
-- removed from the file and that any derivative work contains
-- the original copyright notice and the associated disclaimer.
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.opencores.org/lgpl.shtml
--
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.ALL;
use ieee.numeric_std.ALL;
library UNISIM;
use UNISIM.Vcomponents.ALL;
entity srio_pcs_struct is
port ( CHBONDDONE : in std_logic_vector (3 downto 0);
force_reinit_i : in std_logic;
inboundRead_i : in std_logic;
outboundSymbol_i : in std_logic_vector (33 downto 0);
outboundWrite_i : in std_logic;
PLLLKDET : in std_logic;
rio_clk : in std_logic;
rst_n : in std_logic;
RXBUFERR : in std_logic;
RXBYTEISALIGNED : in std_logic_vector (3 downto 0);
RXBYTEREALIGN : in std_logic_vector (3 downto 0);
RXCAHRISCOMMA : in std_logic_vector (7 downto 0);
RXCAHRISK : in std_logic_vector (7 downto 0);
RXDATA : in std_logic_vector (63 downto 0);
RXDISPERR : in std_logic_vector (7 downto 0);
RXELECIDLE : in std_logic_vector (3 downto 0);
RXNOTINTABLE : in std_logic_vector (7 downto 0);
UCLK : in std_logic;
UCLK_DV4 : in std_logic;
UCLK_DV1024 : in std_logic;
UCLK_or_DV4 : in std_logic;
UCLK_x2 : in std_logic;
UCLK_x2_DV2 : in std_logic;
ENCHANSYNC : out std_logic;
inboundEmpty_o : out std_logic;
inboundSymbol_o : out std_logic_vector (33 downto 0);
lane_sync_o : out std_logic_vector (3 downto 0);
mode_sel_o : out std_logic;
mode_0_lane_sel_o : out std_logic;
outboundFull_o : out std_logic;
port_initialized_o : out std_logic;
RXBUFRST : out std_logic;
TXCAHRISK : out std_logic_vector (7 downto 0);
TXDATA : out std_logic_vector (63 downto 0);
TXINHIBIT_others : out std_logic;
TXINHIBIT_02 : out std_logic);
end srio_pcs_struct;
architecture BEHAVIORAL of srio_pcs_struct is
signal ccs_timer_rst : std_logic;
signal RXCAHRISvalid : std_logic_vector (7 downto 0);
signal send_A : std_logic_vector (1 downto 0);
signal send_ccs : std_logic;
signal send_idle : std_logic_vector (1 downto 0);
signal send_K : std_logic_vector (1 downto 0);
signal send_R : std_logic_vector (1 downto 0);
signal mode_0_lane_sel_o_DUMMY : std_logic;
signal TXINHIBIT_02_DUMMY : std_logic;
signal mode_sel_o_DUMMY : std_logic;
signal port_initialized_o_DUMMY : std_logic;
signal TXINHIBIT_others_DUMMY : std_logic;
component ccs_timer
port ( rst_n : in std_logic;
ccs_timer_rst : in std_logic;
send_ccs : out std_logic;
UCLK : in std_logic);
end component;
component idle_generator_dual
port ( UCLK : in std_logic;
rst_n : in std_logic;
send_K : out std_logic_vector (1 downto 0);
send_A : out std_logic_vector (1 downto 0);
send_R : out std_logic_vector (1 downto 0);
send_idle : in std_logic_vector (1 downto 0));
end component;
component port_init_fsms
port ( rst_n : in std_logic;
UCLK_x2 : in std_logic;
UCLK : in std_logic;
UCLK_DV4 : in std_logic;
UCLK_DV_1024 : in std_logic;
force_reinit : in std_logic;
PLLLKDET : in std_logic;
RXBUFERR : in std_logic;
RXDATA : in std_logic_vector (63 downto 0);
RXCHARISK : in std_logic_vector (7 downto 0);
RXCHARISCOMMA : in std_logic_vector (7 downto 0);
RXBYTEISALIGNED : in std_logic_vector (3 downto 0);
RXBYTEREALIGN : in std_logic_vector (3 downto 0);
RXELECIDLE : in std_logic_vector (3 downto 0);
RXDISPERR : in std_logic_vector (7 downto 0);
RXNOTINTABLE : in std_logic_vector (7 downto 0);
CHBONDDONE : in std_logic_vector (3 downto 0);
mode_sel : out std_logic;
port_initalized : out std_logic;
TXINHIBIT_02 : out std_logic;
TXINHIBIT_others : out std_logic;
ENCHANSYNC : out std_logic;
RXBUFRST : out std_logic;
lane_sync : out std_logic_vector (3 downto 0);
mode_0_lane_sel : out std_logic;
RXCHARISvalid : out std_logic_vector (7 downto 0));
end component;
component pcs_rx_controller
port ( rst_n : in std_logic;
rio_clk : in std_logic;
UCLK_x2 : in std_logic;
UCLK : in std_logic;
UCLK_x2_DV2 : in std_logic;
inboundRead_i : in std_logic;
port_initalized_i : in std_logic;
mode_sel_i : in std_logic;
mode_0_lane_sel_i : in std_logic;
RXDATA_i : in std_logic_vector (63 downto 0);
RXCHARISK_i : in std_logic_vector (7 downto 0);
RXCHARISvalid_i : in std_logic_vector (7 downto 0);
inboundEmpty_o : out std_logic;
inboundSymbol_o : out std_logic_vector (33 downto 0);
UCLK_or_DV4 : in std_logic);
end component;
component pcs_tx_controller
port ( rst_n : in std_logic;
rio_clk : in std_logic;
UCLK_x2 : in std_logic;
UCLK : in std_logic;
UCLK_x2_DV2 : in std_logic;
UCLK_or_DV4 : in std_logic;
outboundWrite_i : in std_logic;
send_ccs_i : in std_logic;
TXINHIBIT_02 : in std_logic;
TXINHIBIT_others : in std_logic;
port_initalized_i : in std_logic;
mode_sel_i : in std_logic;
mode_0_lane_sel_i : in std_logic;
outboundSymbol_i : in std_logic_vector (33 downto 0);
send_K_i : in std_logic_vector (1 downto 0);
send_A_i : in std_logic_vector (1 downto 0);
send_R_i : in std_logic_vector (1 downto 0);
outboundFull_o : out std_logic;
ccs_timer_rst_o : out std_logic;
TXDATA_o : out std_logic_vector (63 downto 0);
TXCHARISK_o : out std_logic_vector (7 downto 0);
send_idle_o : out std_logic_vector (1 downto 0));
end component;
begin
mode_sel_o <= mode_sel_o_DUMMY;
mode_0_lane_sel_o <= mode_0_lane_sel_o_DUMMY;
port_initialized_o <= port_initialized_o_DUMMY;
TXINHIBIT_others <= TXINHIBIT_others_DUMMY;
TXINHIBIT_02 <= TXINHIBIT_02_DUMMY;
ccs_timer_inst : ccs_timer
port map (ccs_timer_rst=>ccs_timer_rst,
rst_n=>rst_n,
UCLK=>UCLK,
send_ccs=>send_ccs);
dual_idle_generator : idle_generator_dual
port map (rst_n=>rst_n,
send_idle(1 downto 0)=>send_idle(1 downto 0),
UCLK=>UCLK,
send_A(1 downto 0)=>send_A(1 downto 0),
send_K(1 downto 0)=>send_K(1 downto 0),
send_R(1 downto 0)=>send_R(1 downto 0));
port_init_fsms_inst : port_init_fsms
port map (CHBONDDONE(3 downto 0)=>CHBONDDONE(3 downto 0),
force_reinit=>force_reinit_i,
PLLLKDET=>PLLLKDET,
rst_n=>rst_n,
RXBUFERR=>RXBUFERR,
RXBYTEISALIGNED(3 downto 0)=>RXBYTEISALIGNED(3 downto 0),
RXBYTEREALIGN(3 downto 0)=>RXBYTEREALIGN(3 downto 0),
RXCHARISCOMMA(7 downto 0)=>RXCAHRISCOMMA(7 downto 0),
RXCHARISK(7 downto 0)=>RXCAHRISK(7 downto 0),
RXDATA(63 downto 0)=>RXDATA(63 downto 0),
RXDISPERR(7 downto 0)=>RXDISPERR(7 downto 0),
RXELECIDLE(3 downto 0)=>RXELECIDLE(3 downto 0),
RXNOTINTABLE(7 downto 0)=>RXNOTINTABLE(7 downto 0),
UCLK=>UCLK,
UCLK_DV_1024=>UCLK_DV1024,
UCLK_DV4=>UCLK_DV4,
UCLK_x2=>UCLK_x2,
ENCHANSYNC=>ENCHANSYNC,
lane_sync(3 downto 0)=>lane_sync_o(3 downto 0),
mode_sel=>mode_sel_o_DUMMY,
mode_0_lane_sel=>mode_0_lane_sel_o_DUMMY,
port_initalized=>port_initialized_o_DUMMY,
RXBUFRST=>RXBUFRST,
RXCHARISvalid(7 downto 0)=>RXCAHRISvalid(7 downto 0),
TXINHIBIT_others=>TXINHIBIT_others_DUMMY,
TXINHIBIT_02=>TXINHIBIT_02_DUMMY);
rx_controller_inst : pcs_rx_controller
port map (inboundRead_i=>inboundRead_i,
mode_sel_i=>mode_sel_o_DUMMY,
mode_0_lane_sel_i=>mode_0_lane_sel_o_DUMMY,
port_initalized_i=>port_initialized_o_DUMMY,
rio_clk=>rio_clk,
rst_n=>rst_n,
RXCHARISK_i(7 downto 0)=>RXCAHRISK(7 downto 0),
RXCHARISvalid_i(7 downto 0)=>RXCAHRISvalid(7 downto 0),
RXDATA_i(63 downto 0)=>RXDATA(63 downto 0),
UCLK=>UCLK,
UCLK_or_DV4=>UCLK_or_DV4,
UCLK_x2=>UCLK_x2,
UCLK_x2_DV2=>UCLK_x2_DV2,
inboundEmpty_o=>inboundEmpty_o,
inboundSymbol_o(33 downto 0)=>inboundSymbol_o(33 downto 0));
tx_controller_inst : pcs_tx_controller
port map (mode_sel_i=>mode_sel_o_DUMMY,
mode_0_lane_sel_i=>mode_0_lane_sel_o_DUMMY,
outboundSymbol_i(33 downto 0)=>outboundSymbol_i(33 downto 0),
outboundWrite_i=>outboundWrite_i,
port_initalized_i=>port_initialized_o_DUMMY,
rio_clk=>rio_clk,
rst_n=>rst_n,
send_A_i(1 downto 0)=>send_A(1 downto 0),
send_ccs_i=>send_ccs,
send_K_i(1 downto 0)=>send_K(1 downto 0),
send_R_i(1 downto 0)=>send_R(1 downto 0),
TXINHIBIT_others=>TXINHIBIT_others_DUMMY,
TXINHIBIT_02=>TXINHIBIT_02_DUMMY,
UCLK=>UCLK,
UCLK_or_DV4=>UCLK_or_DV4,
UCLK_x2=>UCLK_x2,
UCLK_x2_DV2=>UCLK_x2_DV2,
ccs_timer_rst_o=>ccs_timer_rst,
outboundFull_o=>outboundFull_o,
send_idle_o(1 downto 0)=>send_idle(1 downto 0),
TXCHARISK_o(7 downto 0)=>TXCAHRISK(7 downto 0),
TXDATA_o(63 downto 0)=>TXDATA(63 downto 0));
end BEHAVIORAL;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 21:40:43 05/22/2013
-- Design Name:
-- Module Name: JK - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity JK is
Port ( JK : in STD_LOGIC_VECTOR (1 downto 0);
Q : inout STD_LOGIC;
Qn : inout STD_LOGIC;
clk : in STD_LOGIC);
end JK;
architecture Behavioral of JK is
begin
process(clk)
begin
if(clk'event and clk='1') then
case JK is
when "00" => null;
when "10" => Q <= '1' ; qn <= '0';
when "01" => q <= '0' ; Qn <= '1';
when "11" => Q <= not q ; Qn <= not Qn;
when others => null;
end case;
end if;
end process;
end Behavioral;
|
-------------------------------------------------------------------------------
--
-- Testbench for the T410 system toplevel.
--
-- $Id: tb_t410.vhd,v 1.1 2006-06-11 22:19:32 arniml Exp $
--
-- Copyright (c) 2006 Arnim Laeuger ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t400/
--
-------------------------------------------------------------------------------
entity tb_t410 is
end tb_t410;
library ieee;
use ieee.std_logic_1164.all;
use work.t400_system_comp_pack.t410;
use work.tb_pack.tb_elems;
use work.t400_opt_pack.all;
architecture behav of tb_t410 is
-- 210.4 kHz clock
constant period_c : time := 4.75 us;
signal ck_s : std_logic;
signal reset_n_s : std_logic;
signal io_l_s : std_logic_vector(7 downto 0);
signal io_d_s : std_logic_vector(3 downto 0);
signal io_g_s : std_logic_vector(3 downto 0);
signal si_s,
so_s,
sk_s : std_logic;
signal vdd_s : std_logic;
begin
vdd_s <= '1';
reset_n_s <= '1';
-----------------------------------------------------------------------------
-- DUT
-----------------------------------------------------------------------------
t410_b : t410
generic map (
opt_ck_div_g => t400_opt_ck_div_8_c
)
port map (
ck_i => ck_s,
ck_en_i => vdd_s,
reset_n_i => reset_n_s,
si_i => si_s,
so_o => so_s,
sk_o => sk_s,
io_l_b => io_l_s,
io_d_o => io_d_s,
io_g_b => io_g_s
);
io_l_s <= (others => 'H');
io_d_s <= (others => 'H');
io_g_s <= (others => 'H');
-----------------------------------------------------------------------------
-- Testbench elements
-----------------------------------------------------------------------------
tb_elems_b : tb_elems
generic map (
period_g => period_c,
d_width_g => 4,
g_width_g => 4
)
port map (
io_l_i => io_l_s,
io_d_i => io_d_s,
io_g_i => io_g_s,
io_in_o => open,
so_i => so_s,
si_o => si_s,
sk_i => sk_s,
ck_o => ck_s
);
end behav;
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-- Revision 1.6 2006/06/05 18:50:45 arniml
-- remove obsolete en_clk_s
--
-- Revision 1.5 2006/05/27 19:10:12 arniml
-- explicitly select clock divider 8
--
-- Revision 1.4 2006/05/23 01:18:26 arniml
-- consider IN port
--
-- Revision 1.3 2006/05/15 21:56:02 arniml
-- moved elements to separate design unit tb_elems
--
-- Revision 1.2 2006/05/06 13:34:25 arniml
-- remove delta cycle filter on sk_s
--
-- Revision 1.1.1.1 2006/05/06 01:56:44 arniml
-- import from local CVS repository, LOC_CVS_0_1
--
-------------------------------------------------------------------------------
|
-- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of inst_eb_e
--
-- Generated
-- by: wig
-- on: Mon Mar 22 13:27:29 2004
-- cmd: H:\work\mix_new\mix\mix_0.pl -strip -nodelta ../../mde_tests.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_eb_e-rtl-a.vhd,v 1.1 2004/04/06 10:50:24 wig Exp $
-- $Date: 2004/04/06 10:50:24 $
-- $Log: inst_eb_e-rtl-a.vhd,v $
-- Revision 1.1 2004/04/06 10:50:24 wig
-- Adding result/mde_tests
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.37 2003/12/23 13:25:21 abauer Exp
--
-- Generator: mix_0.pl Revision: 1.26 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of inst_eb_e
--
architecture rtl of inst_eb_e is
-- Generated Constant Declarations
--
-- Components
--
-- Generated Components
component inst_eba_e --
-- No Generated Generics
port (
-- Generated Port for Entity inst_eba_e
mbist_aci_fail_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
mbist_vcd_fail_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
reset_n : in std_ulogic;
reset_n_s : in std_ulogic;
vclkl27 : in std_ulogic
-- End of Generated Port for Entity inst_eba_e
);
end component;
-- ---------
component inst_ebb_e --
-- No Generated Generics
port (
-- Generated Port for Entity inst_ebb_e
mbist_sum_fail_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
req_select_o : out std_ulogic_vector(5 downto 0);
reset_n : in std_ulogic;
reset_n_s : in std_ulogic;
vclkl27 : in std_ulogic
-- End of Generated Port for Entity inst_ebb_e
);
end component;
-- ---------
component inst_ebc_e --
-- No Generated Generics
-- Generated Generics for Entity inst_ebc_e
-- End of Generated Generics for Entity inst_ebc_e
port (
-- Generated Port for Entity inst_ebc_e
nreset : in std_ulogic;
nreset_s : in std_ulogic
-- End of Generated Port for Entity inst_ebc_e
);
end component;
-- ---------
--
-- Nets
--
--
-- Generated Signal List
--
signal nreset : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal nreset_s : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal tmi_sbist_fail : std_ulogic_vector(12 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal v_select : std_ulogic_vector(5 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
-- Generated Signal Assignments
nreset <= p_mix_nreset_gi; -- __I_I_BIT_PORT
nreset_s <= p_mix_nreset_s_gi; -- __I_I_BIT_PORT
p_mix_tmi_sbist_fail_12_10_go(2 downto 0) <= tmi_sbist_fail(12 downto 10); -- __I_O_SLICE_PORT
p_mix_v_select_5_0_go <= v_select; -- __I_O_BUS_PORT
--
-- Generated Instances
--
-- Generated Instances and Port Mappings
-- Generated Instance Port Map for inst_eba
inst_eba: inst_eba_e
port map (
mbist_aci_fail_o => tmi_sbist_fail(10),
mbist_vcd_fail_o => tmi_sbist_fail(11),
reset_n => nreset, -- GlobalRESET(Verilogmacro)
reset_n_s => nreset_s, -- GlobalRESET(Verilogmacro)
vclkl27 => vclkl27 -- ClockSignalsClocksforMacrosglobalsignaldefinitonsclock,reset&powerdown
);
-- End of Generated Instance Port Map for inst_eba
-- Generated Instance Port Map for inst_ebb
inst_ebb: inst_ebb_e
port map (
mbist_sum_fail_o => tmi_sbist_fail(12),
req_select_o => v_select, -- VPUinterfaceRequestBusinterface:RequestBus#6(VPU)requestbusinterfaceforcgpandcgclientserver
reset_n => nreset, -- GlobalRESET(Verilogmacro)
reset_n_s => nreset_s, -- GlobalRESET(Verilogmacro)
vclkl27 => vclkl27 -- ClockSignalsClocksforMacrosglobalsignaldefinitonsclock,reset&powerdown
);
-- End of Generated Instance Port Map for inst_ebb
-- Generated Instance Port Map for inst_ebc
inst_ebc: inst_ebc_e
port map (
nreset => nreset, -- GlobalRESET(Verilogmacro)
nreset_s => nreset_s -- GlobalRESET(Verilogmacro)
);
-- End of Generated Instance Port Map for inst_ebc
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
gzVpgyDKRCk3QUWSlZ0COvK0sH52aEtTkzMYB/Ck/oyf0vPr1TIE1SfAc8+Ptji4xn6+mhR8jGKM
yZ3yymh4Qg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ON9YlLcxlWerfmot5m3I0/aBfTKHuEUe2qMD/PoGZ65dgQnvxrdxtL235bPccGpM8Mk1O9GGidBQ
RIeA/pJcVxyQy0ESTU1+x6+sDmW0bB5BQ/F5Qh+6lazOivMmSAJee/XSv4Lp/c+opXhi1GMHPA4z
d9Memmp1khjil0/4kic=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CaHz3cvbV/uuV4w1+Op7AVwFGL2BZ3phfZrwKK+FJA8wPOKt2p2GTlFxrzpJ8tOTayCA0poNlo73
C41vlyb/MxkSkRNpg8StyVvvJunBy62+ER8j3j6JyYUNjK71iBvJ/A4Z1/EbisFAuX/8ScRWS2RO
kNM3hO+4/6uVzdYXpMEB7H6mOM7mQXwY5wpEtIUQ8Saoy8Xn8ioGaWmaOF8d5I6ZpSI3ps+HLBWz
kqbt05+hU/D48wOkwvTgFwxOlPq0W6p9FA6nbe6tiPbwIR4UCZr1Ai83MroDuVt7+3dc+2eBCBk8
l+ZlxVl/Tg3nN4AdUyjJqqWlOk85BTuqOJI+AA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LV3SbHHOR1SNcLJQYoy0SF6BrF59quyYlRDs/lNcjX3vCUj0NklWoxFxMNIqyRBUeDH7MscUWUZF
WrA/pu0NC2Uf5bp/05/WMDxrrfp6n/YC1SOEGwsdE48OtlbeHGKO8WdlHo1I78ZGMn1BrGxZ8xYU
HPjEUnZEAjW5JTQCmCk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FZFQGozSbDiE6SHxuupgegWV3jQ+d7DZDzqDjVRDMSfd31urPhdlVQgDFz7ceqouo1se8gcCqc54
ViFX+OF5zm1N4CTD5H0AQ1Qfc+hlaVdXf5M75DF71Px92/wm4xS8f0HBZ64WKOwZT3CEpaGZzhG8
w3ymgc8o2y/JEEXT9hITXQDwD1urxCXmscl0AEebu/4P/7m6ooVyQWlDpbgAj6HfObs6vc3s+9GX
R8H35UId1G0PLcsYo/WE0yadYrcDwxMd2Y8Ksw6wMu57JVhZWYflN21kbv4OeLvVS3twf/U3u/q6
zjt44aHpzXQdHziKBl16avHW5a61dlSpgoSGqQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 63088)
`protect data_block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==
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
gzVpgyDKRCk3QUWSlZ0COvK0sH52aEtTkzMYB/Ck/oyf0vPr1TIE1SfAc8+Ptji4xn6+mhR8jGKM
yZ3yymh4Qg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ON9YlLcxlWerfmot5m3I0/aBfTKHuEUe2qMD/PoGZ65dgQnvxrdxtL235bPccGpM8Mk1O9GGidBQ
RIeA/pJcVxyQy0ESTU1+x6+sDmW0bB5BQ/F5Qh+6lazOivMmSAJee/XSv4Lp/c+opXhi1GMHPA4z
d9Memmp1khjil0/4kic=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CaHz3cvbV/uuV4w1+Op7AVwFGL2BZ3phfZrwKK+FJA8wPOKt2p2GTlFxrzpJ8tOTayCA0poNlo73
C41vlyb/MxkSkRNpg8StyVvvJunBy62+ER8j3j6JyYUNjK71iBvJ/A4Z1/EbisFAuX/8ScRWS2RO
kNM3hO+4/6uVzdYXpMEB7H6mOM7mQXwY5wpEtIUQ8Saoy8Xn8ioGaWmaOF8d5I6ZpSI3ps+HLBWz
kqbt05+hU/D48wOkwvTgFwxOlPq0W6p9FA6nbe6tiPbwIR4UCZr1Ai83MroDuVt7+3dc+2eBCBk8
l+ZlxVl/Tg3nN4AdUyjJqqWlOk85BTuqOJI+AA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LV3SbHHOR1SNcLJQYoy0SF6BrF59quyYlRDs/lNcjX3vCUj0NklWoxFxMNIqyRBUeDH7MscUWUZF
WrA/pu0NC2Uf5bp/05/WMDxrrfp6n/YC1SOEGwsdE48OtlbeHGKO8WdlHo1I78ZGMn1BrGxZ8xYU
HPjEUnZEAjW5JTQCmCk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FZFQGozSbDiE6SHxuupgegWV3jQ+d7DZDzqDjVRDMSfd31urPhdlVQgDFz7ceqouo1se8gcCqc54
ViFX+OF5zm1N4CTD5H0AQ1Qfc+hlaVdXf5M75DF71Px92/wm4xS8f0HBZ64WKOwZT3CEpaGZzhG8
w3ymgc8o2y/JEEXT9hITXQDwD1urxCXmscl0AEebu/4P/7m6ooVyQWlDpbgAj6HfObs6vc3s+9GX
R8H35UId1G0PLcsYo/WE0yadYrcDwxMd2Y8Ksw6wMu57JVhZWYflN21kbv4OeLvVS3twf/U3u/q6
zjt44aHpzXQdHziKBl16avHW5a61dlSpgoSGqQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 63088)
`protect data_block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==
`protect end_protected
|
library ieee;
use ieee.std_logic_1164.all;
entity pwm_tb is
end pwm_tb;
architecture behavior of pwm_tb is
use work.pwm_pkg.all;
signal clk : std_logic := '0';
signal clk_en : std_logic := '1';
signal reset : std_logic := '1';
signal value : std_logic_vector(11 downto 0) := (others => '0');
signal output : std_logic;
begin
clk <= not clk after 10 ns; -- 50 Mhz clock
reset <= '1', '0' after 50 ns; -- erzeugt Resetsignal: --__
tb : process
begin
value <= x"7FF";
wait for 200 us;
value <= x"001";
wait for 200 us;
value <= x"FFE";
wait for 200 us;
value <= x"000";
wait for 200 us;
value <= x"FFF";
wait for 200 us;
end process;
uut : pwm
generic map (width => 12)
port map(
clk => clk,
clk_en_p => clk_en,
reset => reset,
value_p => value,
output_p => output);
end;
|
library ieee;
use ieee.std_logic_1164.all;
entity pwm_tb is
end pwm_tb;
architecture behavior of pwm_tb is
use work.pwm_pkg.all;
signal clk : std_logic := '0';
signal clk_en : std_logic := '1';
signal reset : std_logic := '1';
signal value : std_logic_vector(11 downto 0) := (others => '0');
signal output : std_logic;
begin
clk <= not clk after 10 ns; -- 50 Mhz clock
reset <= '1', '0' after 50 ns; -- erzeugt Resetsignal: --__
tb : process
begin
value <= x"7FF";
wait for 200 us;
value <= x"001";
wait for 200 us;
value <= x"FFE";
wait for 200 us;
value <= x"000";
wait for 200 us;
value <= x"FFF";
wait for 200 us;
end process;
uut : pwm
generic map (width => 12)
port map(
clk => clk,
clk_en_p => clk_en,
reset => reset,
value_p => value,
output_p => output);
end;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1727.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c12s06b01x00p04n03i01727ent IS
END c12s06b01x00p04n03i01727ent;
ARCHITECTURE c12s06b01x00p04n03i01727arch OF c12s06b01x00p04n03i01727ent IS
signal B : BIT := '1';
BEGIN
TESTING: PROCESS
variable ShouldBeTime : TIME;
BEGIN
B <= '1','0' after 10 ns;
ShouldBeTime := NOW + 10 ns;
wait on B;
assert NOT(( NOW = ShouldBeTime ) and ( B = '0' ))
report "***PASSED TEST: c12s06b01x00p04n03i01727"
severity NOTE;
assert (( NOW = ShouldBeTime ) and ( B = '0' ))
report "***FAILED TEST: c12s06b01x00p04n03i01727 - As time passes, the current transaction is deleted from the projected output waveform of that driver and the new tra nsaction takes its place."
severity ERROR;
wait;
END PROCESS TESTING;
END c12s06b01x00p04n03i01727arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1727.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c12s06b01x00p04n03i01727ent IS
END c12s06b01x00p04n03i01727ent;
ARCHITECTURE c12s06b01x00p04n03i01727arch OF c12s06b01x00p04n03i01727ent IS
signal B : BIT := '1';
BEGIN
TESTING: PROCESS
variable ShouldBeTime : TIME;
BEGIN
B <= '1','0' after 10 ns;
ShouldBeTime := NOW + 10 ns;
wait on B;
assert NOT(( NOW = ShouldBeTime ) and ( B = '0' ))
report "***PASSED TEST: c12s06b01x00p04n03i01727"
severity NOTE;
assert (( NOW = ShouldBeTime ) and ( B = '0' ))
report "***FAILED TEST: c12s06b01x00p04n03i01727 - As time passes, the current transaction is deleted from the projected output waveform of that driver and the new tra nsaction takes its place."
severity ERROR;
wait;
END PROCESS TESTING;
END c12s06b01x00p04n03i01727arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1727.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c12s06b01x00p04n03i01727ent IS
END c12s06b01x00p04n03i01727ent;
ARCHITECTURE c12s06b01x00p04n03i01727arch OF c12s06b01x00p04n03i01727ent IS
signal B : BIT := '1';
BEGIN
TESTING: PROCESS
variable ShouldBeTime : TIME;
BEGIN
B <= '1','0' after 10 ns;
ShouldBeTime := NOW + 10 ns;
wait on B;
assert NOT(( NOW = ShouldBeTime ) and ( B = '0' ))
report "***PASSED TEST: c12s06b01x00p04n03i01727"
severity NOTE;
assert (( NOW = ShouldBeTime ) and ( B = '0' ))
report "***FAILED TEST: c12s06b01x00p04n03i01727 - As time passes, the current transaction is deleted from the projected output waveform of that driver and the new tra nsaction takes its place."
severity ERROR;
wait;
END PROCESS TESTING;
END c12s06b01x00p04n03i01727arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1435.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s07b00x00p02n01i01435ent IS
END c08s07b00x00p02n01i01435ent;
ARCHITECTURE c08s07b00x00p02n01i01435arch OF c08s07b00x00p02n01i01435ent IS
begin
TEST_PROCESS: process
variable I : INTEGER := 47;
begin
-- Missing 'then' on 'elsif'.
if (I /= 47) then
NULL;
elsif (I = 47)
NULL;
end if;
assert FALSE
report "***FAILED TEST: c08s07b00x00p02n01i01435 - reserved word 'then' after 'elsif' is missing"
severity ERROR;
wait;
end process TEST_PROCESS;
END c08s07b00x00p02n01i01435arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1435.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s07b00x00p02n01i01435ent IS
END c08s07b00x00p02n01i01435ent;
ARCHITECTURE c08s07b00x00p02n01i01435arch OF c08s07b00x00p02n01i01435ent IS
begin
TEST_PROCESS: process
variable I : INTEGER := 47;
begin
-- Missing 'then' on 'elsif'.
if (I /= 47) then
NULL;
elsif (I = 47)
NULL;
end if;
assert FALSE
report "***FAILED TEST: c08s07b00x00p02n01i01435 - reserved word 'then' after 'elsif' is missing"
severity ERROR;
wait;
end process TEST_PROCESS;
END c08s07b00x00p02n01i01435arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1435.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s07b00x00p02n01i01435ent IS
END c08s07b00x00p02n01i01435ent;
ARCHITECTURE c08s07b00x00p02n01i01435arch OF c08s07b00x00p02n01i01435ent IS
begin
TEST_PROCESS: process
variable I : INTEGER := 47;
begin
-- Missing 'then' on 'elsif'.
if (I /= 47) then
NULL;
elsif (I = 47)
NULL;
end if;
assert FALSE
report "***FAILED TEST: c08s07b00x00p02n01i01435 - reserved word 'then' after 'elsif' is missing"
severity ERROR;
wait;
end process TEST_PROCESS;
END c08s07b00x00p02n01i01435arch;
|
Subsets and Splits
No saved queries yet
Save your SQL queries to embed, download, and access them later. Queries will appear here once saved.