content
stringlengths 1
1.04M
⌀ |
---|
-- $Id: sys_conf_sim.vhd 1181 2019-07-08 17:00:50Z mueller $
-- SPDX-License-Identifier: GPL-3.0-or-later
-- Copyright 2019- by Walter F.J. Mueller <[email protected]>
--
------------------------------------------------------------------------------
-- Package Name: sys_conf
-- Description: Definitions for sys_w11a_n4d (for simulation)
--
-- Dependencies: -
-- Tool versions: viv 2017.2-2018.3; ghdl 0.34-0.35
-- Revision History:
-- Date Rev Version Comment
-- 2019-04-28 1142 1.1.1 add sys_conf_ibd_m9312
-- 2019-02-09 1110 1.1 use typ for DL,PC,LP; add dz11,ibtst
-- 2019-01-02 1101 1.0 Initial version (cloned from _n4)
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.slvtypes.all;
package sys_conf is
-- configure clocks --------------------------------------------------------
constant sys_conf_clksys_vcodivide : positive := 1;
constant sys_conf_clksys_vcomultiply : positive := 8; -- vco 800 MHz
constant sys_conf_clksys_outdivide : positive := 10; -- sys 80 MHz
constant sys_conf_clksys_gentype : string := "MMCM";
-- dual clock design, clkser = 120 MHz
constant sys_conf_clkser_vcodivide : positive := 1;
constant sys_conf_clkser_vcomultiply : positive := 12; -- vco 1200 MHz
constant sys_conf_clkser_outdivide : positive := 10; -- sys 120 MHz
constant sys_conf_clkser_gentype : string := "PLL";
-- configure rlink and hio interfaces --------------------------------------
constant sys_conf_ser2rri_cdinit : integer := 1-1; -- 1 cycle/bit in sim
constant sys_conf_hio_debounce : boolean := false; -- no debouncers
-- configure memory controller ---------------------------------------------
-- configure debug and monitoring units ------------------------------------
constant sys_conf_rbmon_awidth : integer := 9; -- use 0 to disable
constant sys_conf_ibmon_awidth : integer := 9; -- use 0 to disable
constant sys_conf_ibtst : boolean := true;
constant sys_conf_dmscnt : boolean := false;
constant sys_conf_dmpcnt : boolean := true;
constant sys_conf_dmhbpt_nunit : integer := 2; -- use 0 to disable
constant sys_conf_dmcmon_awidth : integer := 8; -- use 0 to disable, 8 to use
-- configure w11 cpu core --------------------------------------------------
constant sys_conf_mem_losize : natural := 8#167777#; -- 4 MByte
constant sys_conf_cache_fmiss : slbit := '0'; -- cache enabled
constant sys_conf_cache_twidth : integer := 7; -- 32kB cache
-- configure w11 system devices --------------------------------------------
-- configure character and communication devices
-- typ for DL,DZ,PC,LP: -1->none; 0->unbuffered; 4-7 buffered (typ=AWIDTH)
constant sys_conf_ibd_dl11_0 : integer := 6; -- 1st DL11
constant sys_conf_ibd_dl11_1 : integer := 6; -- 2nd DL11
constant sys_conf_ibd_dz11 : integer := 6; -- DZ11
constant sys_conf_ibd_pc11 : integer := 6; -- PC11
constant sys_conf_ibd_lp11 : integer := 7; -- LP11
constant sys_conf_ibd_deuna : boolean := true; -- DEUNA
-- configure mass storage devices
constant sys_conf_ibd_rk11 : boolean := true; -- RK11
constant sys_conf_ibd_rl11 : boolean := true; -- RL11
constant sys_conf_ibd_rhrp : boolean := true; -- RHRP
constant sys_conf_ibd_tm11 : boolean := true; -- TM11
-- configure other devices
constant sys_conf_ibd_iist : boolean := true; -- IIST
constant sys_conf_ibd_kw11p : boolean := true; -- KW11P
constant sys_conf_ibd_m9312 : boolean := true; -- M9312
-- derived constants =======================================================
constant sys_conf_clksys : integer :=
((100000000/sys_conf_clksys_vcodivide)*sys_conf_clksys_vcomultiply) /
sys_conf_clksys_outdivide;
constant sys_conf_clksys_mhz : integer := sys_conf_clksys/1000000;
constant sys_conf_clkser : integer :=
((100000000/sys_conf_clkser_vcodivide)*sys_conf_clkser_vcomultiply) /
sys_conf_clkser_outdivide;
constant sys_conf_clkser_mhz : integer := sys_conf_clkser/1000000;
end package sys_conf;
|
-------------------------------------------------------------------------------
--
-- Title : addr_decoder
-- Design : plk_mn
--
-------------------------------------------------------------------------------
--
-- File : C:\my_designs\PLK_MN\plk_mn\src\lib\addr_decoder.vhd
-- Generated : Wed Jul 27 09:39:25 2011
-- From : interface description file
-- By : Itf2Vhdl ver. 1.22
--
-------------------------------------------------------------------------------
--
-- (c) B&R, 2011
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- 2011-07-26 V0.01 zelenkaj First version
--
-------------------------------------------------------------------------------
--{{ Section below this comment is automatically maintained
-- and may be overwritten
--{entity {addr_decoder} architecture {rtl}}
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity addr_decoder is
generic(
addrWidth_g : integer := 32;
baseaddr_g : integer := 16#1000#;
highaddr_g : integer := 16#1FFF#
);
port(
selin : in std_logic;
addr : in std_logic_vector(addrWidth_g-1 downto 0);
selout : out std_logic
);
end addr_decoder;
--}} End of automatically maintained section
architecture rtl of addr_decoder is
begin
selout <= selin when addr >= conv_std_logic_vector(baseaddr_g, addr'length) and addr <= conv_std_logic_vector(highaddr_g, addr'length) else '0';
end rtl;
|
-------------------------------------------------------------------------------
--
-- Title : addr_decoder
-- Design : plk_mn
--
-------------------------------------------------------------------------------
--
-- File : C:\my_designs\PLK_MN\plk_mn\src\lib\addr_decoder.vhd
-- Generated : Wed Jul 27 09:39:25 2011
-- From : interface description file
-- By : Itf2Vhdl ver. 1.22
--
-------------------------------------------------------------------------------
--
-- (c) B&R, 2011
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- 2011-07-26 V0.01 zelenkaj First version
--
-------------------------------------------------------------------------------
--{{ Section below this comment is automatically maintained
-- and may be overwritten
--{entity {addr_decoder} architecture {rtl}}
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity addr_decoder is
generic(
addrWidth_g : integer := 32;
baseaddr_g : integer := 16#1000#;
highaddr_g : integer := 16#1FFF#
);
port(
selin : in std_logic;
addr : in std_logic_vector(addrWidth_g-1 downto 0);
selout : out std_logic
);
end addr_decoder;
--}} End of automatically maintained section
architecture rtl of addr_decoder is
begin
selout <= selin when addr >= conv_std_logic_vector(baseaddr_g, addr'length) and addr <= conv_std_logic_vector(highaddr_g, addr'length) else '0';
end rtl;
|
-------------------------------------------------------------------------------
--
-- Title : addr_decoder
-- Design : plk_mn
--
-------------------------------------------------------------------------------
--
-- File : C:\my_designs\PLK_MN\plk_mn\src\lib\addr_decoder.vhd
-- Generated : Wed Jul 27 09:39:25 2011
-- From : interface description file
-- By : Itf2Vhdl ver. 1.22
--
-------------------------------------------------------------------------------
--
-- (c) B&R, 2011
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- 2011-07-26 V0.01 zelenkaj First version
--
-------------------------------------------------------------------------------
--{{ Section below this comment is automatically maintained
-- and may be overwritten
--{entity {addr_decoder} architecture {rtl}}
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity addr_decoder is
generic(
addrWidth_g : integer := 32;
baseaddr_g : integer := 16#1000#;
highaddr_g : integer := 16#1FFF#
);
port(
selin : in std_logic;
addr : in std_logic_vector(addrWidth_g-1 downto 0);
selout : out std_logic
);
end addr_decoder;
--}} End of automatically maintained section
architecture rtl of addr_decoder is
begin
selout <= selin when addr >= conv_std_logic_vector(baseaddr_g, addr'length) and addr <= conv_std_logic_vector(highaddr_g, addr'length) else '0';
end rtl;
|
-------------------------------------------------------------------------------
--
-- Title : addr_decoder
-- Design : plk_mn
--
-------------------------------------------------------------------------------
--
-- File : C:\my_designs\PLK_MN\plk_mn\src\lib\addr_decoder.vhd
-- Generated : Wed Jul 27 09:39:25 2011
-- From : interface description file
-- By : Itf2Vhdl ver. 1.22
--
-------------------------------------------------------------------------------
--
-- (c) B&R, 2011
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- 2011-07-26 V0.01 zelenkaj First version
--
-------------------------------------------------------------------------------
--{{ Section below this comment is automatically maintained
-- and may be overwritten
--{entity {addr_decoder} architecture {rtl}}
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity addr_decoder is
generic(
addrWidth_g : integer := 32;
baseaddr_g : integer := 16#1000#;
highaddr_g : integer := 16#1FFF#
);
port(
selin : in std_logic;
addr : in std_logic_vector(addrWidth_g-1 downto 0);
selout : out std_logic
);
end addr_decoder;
--}} End of automatically maintained section
architecture rtl of addr_decoder is
begin
selout <= selin when addr >= conv_std_logic_vector(baseaddr_g, addr'length) and addr <= conv_std_logic_vector(highaddr_g, addr'length) else '0';
end rtl;
|
-------------------------------------------------------------------------------
--
-- Title : addr_decoder
-- Design : plk_mn
--
-------------------------------------------------------------------------------
--
-- File : C:\my_designs\PLK_MN\plk_mn\src\lib\addr_decoder.vhd
-- Generated : Wed Jul 27 09:39:25 2011
-- From : interface description file
-- By : Itf2Vhdl ver. 1.22
--
-------------------------------------------------------------------------------
--
-- (c) B&R, 2011
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- 2011-07-26 V0.01 zelenkaj First version
--
-------------------------------------------------------------------------------
--{{ Section below this comment is automatically maintained
-- and may be overwritten
--{entity {addr_decoder} architecture {rtl}}
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity addr_decoder is
generic(
addrWidth_g : integer := 32;
baseaddr_g : integer := 16#1000#;
highaddr_g : integer := 16#1FFF#
);
port(
selin : in std_logic;
addr : in std_logic_vector(addrWidth_g-1 downto 0);
selout : out std_logic
);
end addr_decoder;
--}} End of automatically maintained section
architecture rtl of addr_decoder is
begin
selout <= selin when addr >= conv_std_logic_vector(baseaddr_g, addr'length) and addr <= conv_std_logic_vector(highaddr_g, addr'length) else '0';
end rtl;
|
-------------------------------------------------------------------------------
--
-- MSX1 FPGA project
--
-- Copyright (c) 2016, Fabio Belavenuto ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-------------------------------------------------------------------------------
--
-- Terasic DE2 top-level
--
-- altera message_off 10540 10541
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.msx_pack.all;
-- Generic top-level entity for Altera DE2 board
entity de2_top is
generic (
per_opll_g : boolean := true;
per_jt51_g : boolean := true
);
port (
-- Clocks
clk50_i : in std_logic;
clk27_i : in std_logic;
clk_ext_i : in std_logic;
-- Switches
sw_i : in std_logic_vector(17 downto 0);
-- Buttons
key_n_i : in std_logic_vector( 3 downto 0);
-- 7 segment displays
display0_o : out std_logic_vector( 6 downto 0) := (others => '1');
display1_o : out std_logic_vector( 6 downto 0) := (others => '1');
display2_o : out std_logic_vector( 6 downto 0) := (others => '1');
display3_o : out std_logic_vector( 6 downto 0) := (others => '1');
display4_o : out std_logic_vector( 6 downto 0) := (others => '1');
display5_o : out std_logic_vector( 6 downto 0) := (others => '1');
display6_o : out std_logic_vector( 6 downto 0) := (others => '1');
display7_o : out std_logic_vector( 6 downto 0) := (others => '1');
-- Red LEDs
ledr_o : out std_logic_vector(17 downto 0) := (others => '0');
-- Green LEDs
ledg_o : out std_logic_vector( 8 downto 0) := (others => '0');
-- Serial
uart_rx_i : in std_logic;
uart_tx_o : out std_logic := '1';
-- IRDA
irda_rx_i : in std_logic;
irda_tx_o : out std_logic := '0';
-- SRAM
sram_addr_o : out std_logic_vector(17 downto 0) := (others => '0');
sram_data_io : inout std_logic_vector(15 downto 0) := (others => '0');
sram_ce_n_o : out std_logic := '1';
sram_oe_n_o : out std_logic := '1';
sram_we_n_o : out std_logic := '1';
sram_ub_n_o : out std_logic := '1';
sram_lb_n_o : out std_logic := '1';
-- SDRAM
dram_cke_o : out std_logic := '1';
dram_clk_o : out std_logic := '1';
dram_addr_o : out std_logic_vector(11 downto 0) := (others => '0');
dram_data_io : inout std_logic_vector(15 downto 0) := (others => '0');
dram_cas_n_o : out std_logic := '1';
dram_ras_n_o : out std_logic := '1';
dram_cs_n_o : out std_logic := '1';
dram_we_n_o : out std_logic := '1';
dram_ba_o : out std_logic_vector( 1 downto 0) := "11";
dram_ldqm_o : out std_logic := '1';
dram_udqm_o : out std_logic := '1';
-- Flash
fl_rst_n_o : out std_logic := '1';
fl_addr_o : out std_logic_vector(21 downto 0) := (others => '0');
fl_data_io : inout std_logic_vector( 7 downto 0) := (others => 'Z');
fl_ce_n_o : out std_logic := '1';
fl_oe_n_o : out std_logic := '1';
fl_we_n_o : out std_logic := '1';
-- ISP1362 Interface
otg_addr_o : out std_logic_vector( 1 downto 0) := (others => '0');
otg_data_io : inout std_logic_vector(15 downto 0) := (others => 'Z');
otg_cs_n_o : out std_logic := '1';
otg_rd_n_o : out std_logic := '1';
otg_wr_n_o : out std_logic := '1';
otg_rst_n_o : out std_logic := '1';
otg_fspeed_o : out std_logic := 'Z';
otg_lspeed_o : out std_logic := 'Z';
otg_int0_i : in std_logic;
otg_int1_i : in std_logic;
otg_dreq0_i : in std_logic;
otg_dreq1_i : in std_logic;
otg_dack0_n_o : out std_logic := '1';
otg_dack1_n_o : out std_logic := '1';
-- LCD Module 16X2
lcd_on_o : out std_logic := '0';
lcd_blon_o : out std_logic := '0';
lcd_data_io : inout std_logic_vector(7 downto 0) := (others => '0');
lcd_rw_o : out std_logic := '1'; -- 0=Write
lcd_en_o : out std_logic := '1';
lcd_rs_o : out std_logic := '1'; -- 0=Command
-- SD card (SPI mode)
sd_miso_i : in std_logic;
sd_mosi_o : out std_logic := '1';
sd_cs_n_o : out std_logic := '1';
sd_sclk_o : out std_logic := '1';
-- I2C
i2c_sclk_io : inout std_logic := '1';
i2c_sdat_io : inout std_logic := '1';
-- PS/2 Keyboard
ps2_clk_io : inout std_logic := '1';
ps2_dat_io : inout std_logic := '1';
-- VGA
vga_clk_o : out std_logic := '0';
vga_r_o : out std_logic_vector( 9 downto 0) := (others => '0');
vga_g_o : out std_logic_vector( 9 downto 0) := (others => '0');
vga_b_o : out std_logic_vector( 9 downto 0) := (others => '0');
vga_hsync_n_o : out std_logic := '1';
vga_vsync_n_o : out std_logic := '1';
vga_blank_n_o : out std_logic := '1';
vga_sync_o : out std_logic := '0';
-- Ethernet Interface
enet_clk_o : out std_logic := '0';
enet_data_io : inout std_logic_vector(15 downto 0) := (others => 'Z');
enet_cmd_o : out std_logic := '0'; -- 0=Command
enet_cs_n_o : out std_logic := '1';
enet_wr_n_o : out std_logic := '1';
enet_rd_n_o : out std_logic := '1';
enet_rst_n_o : out std_logic := '1';
enet_int_i : in std_logic;
-- Audio
aud_xck_o : out std_logic := '0';
aud_bclk_o : out std_logic := '0';
aud_adclrck_o : out std_logic := '0';
aud_adcdat_i : in std_logic;
aud_daclrck_o : out std_logic := '0';
aud_dacdat_o : out std_logic := '0';
-- TV Decoder
td_data_i : in std_logic_vector(7 downto 0);
td_hsync_n_i : in std_logic;
td_vsync_n_i : in std_logic;
td_reset_n_o : out std_logic := '1';
-- GPIO
gpio0_io : inout std_logic_vector(35 downto 0) := (others => 'Z');
gpio1_io : inout std_logic_vector(35 downto 0) := (others => 'Z')
);
end entity;
architecture behavior of de2_top is
-- Resets
signal pll_locked_s : std_logic;
signal por_s : std_logic;
signal reset_s : std_logic;
signal soft_por_s : std_logic;
signal soft_reset_k_s : std_logic;
signal soft_reset_s_s : std_logic;
signal soft_rst_cnt_s : unsigned(7 downto 0) := X"FF";
-- Clocks
signal clock_master_s : std_logic;
signal clock_sdram_s : std_logic;
signal clock_audio_s : std_logic;
signal clock_vdp_s : std_logic;
signal clock_cpu_s : std_logic;
signal clock_psg_en_s : std_logic;
signal clock_3m_s : std_logic;
signal clock_16m_s : std_logic;
signal clock_8m_s : std_logic;
signal turbo_on_s : std_logic;
-- RAM
signal ram_addr_s : std_logic_vector(22 downto 0); -- 8MB
signal ram_data_from_s : std_logic_vector( 7 downto 0);
signal ram_data_to_s : std_logic_vector( 7 downto 0);
signal ram_ce_s : std_logic;
signal ram_oe_s : std_logic;
signal ram_we_s : std_logic;
-- VRAM memory
signal vram_addr_s : std_logic_vector(13 downto 0); -- 16K
signal vram_data_from_s : std_logic_vector( 7 downto 0);
signal vram_data_to_s : std_logic_vector( 7 downto 0);
signal vram_ce_s : std_logic;
signal vram_oe_s : std_logic;
signal vram_we_s : std_logic;
-- Audio
signal audio_scc_s : signed(14 downto 0);
signal audio_psg_s : unsigned(7 downto 0);
signal beep_s : std_logic;
signal ear_s : std_logic;
signal audio_l_s : signed(15 downto 0);
signal audio_r_s : signed(15 downto 0);
signal volumes_s : volumes_t;
-- Video
signal rgb_r_s : std_logic_vector( 3 downto 0);
signal rgb_g_s : std_logic_vector( 3 downto 0);
signal rgb_b_s : std_logic_vector( 3 downto 0);
signal rgb_hsync_n_s : std_logic;
signal rgb_vsync_n_s : std_logic;
signal ntsc_pal_s : std_logic;
signal vga_en_s : std_logic;
-- Keyboard
signal rows_s : std_logic_vector( 3 downto 0);
signal cols_s : std_logic_vector( 7 downto 0);
signal caps_en_s : std_logic;
signal extra_keys_s : std_logic_vector( 3 downto 0);
signal keyb_valid_s : std_logic;
signal keyb_data_s : std_logic_vector( 7 downto 0);
signal keymap_addr_s : std_logic_vector( 8 downto 0);
signal keymap_data_s : std_logic_vector( 7 downto 0);
signal keymap_we_s : std_logic;
-- Joystick (Minimig Standard)
alias J0_UP : std_logic is gpio1_io(34); -- Pin 1
alias J0_DOWN : std_logic is gpio1_io(32); -- Pin 2
alias J0_LEFT : std_logic is gpio1_io(30); -- Pin 3
alias J0_RIGHT : std_logic is gpio1_io(28); -- Pin 4
alias J0_MMB : std_logic is gpio1_io(26); -- Pin 5
alias J0_BTN : std_logic is gpio1_io(35); -- Pin 6
alias J0_BTN2 : std_logic is gpio1_io(29); -- Pin 9
alias J1_UP : std_logic is gpio1_io(24);
alias J1_DOWN : std_logic is gpio1_io(22);
alias J1_LEFT : std_logic is gpio1_io(20);
alias J1_RIGHT : std_logic is gpio1_io(23);
alias J1_MMB : std_logic is gpio1_io(27);
alias J1_BTN : std_logic is gpio1_io(25);
alias J1_BTN2 : std_logic is gpio1_io(21);
-- SD
signal sd_cs_n_s : std_logic;
-- Bus
signal bus_addr_s : std_logic_vector(15 downto 0);
signal bus_data_from_s : std_logic_vector( 7 downto 0) := (others => '1');
signal bus_data_to_s : std_logic_vector( 7 downto 0);
signal bus_rd_n_s : std_logic;
signal bus_wr_n_s : std_logic;
signal bus_m1_n_s : std_logic;
signal bus_iorq_n_s : std_logic;
signal bus_mreq_n_s : std_logic;
signal bus_sltsl1_n_s : std_logic;
signal bus_sltsl2_n_s : std_logic;
signal bus_int_n_s : std_logic;
-- JT51
signal jt51_cs_n_s : std_logic := '1';
signal jt51_data_from_s : std_logic_vector( 7 downto 0) := (others => '1');
signal jt51_hd_s : std_logic := '0';
signal jt51_left_s : signed(15 downto 0) := (others => '0');
signal jt51_right_s : signed(15 downto 0) := (others => '0');
-- OPLL
signal opll_cs_n_s : std_logic := '1';
signal opll_mo_s : signed(12 downto 0) := (others => '0');
signal opll_ro_s : signed(12 downto 0) := (others => '0');
-- Serial interface
signal serial_cs_s : std_logic := '0';
signal serial_data_from_s: std_logic_vector( 7 downto 0) := (others => '1');
signal serial_hd_s : std_logic := '0';
-- Debug
signal D_display_s : std_logic_vector(15 downto 0);
begin
-- PLL
pll_1: entity work.pll1
port map (
inclk0 => clk50_i,
c0 => clock_master_s, -- 21.428571 MHz (6x NTSC)
c1 => clock_sdram_s, -- 85.714286
c2 => dram_clk_o, -- 85.714286 90°
locked => pll_locked_s
);
pll_2: entity work.pll2
port map (
inclk0 => clk27_i,
c0 => clock_audio_s, -- 24.000000 MHz
c1 => clock_16m_s -- 16 MHz
);
-- Clocks
clks: entity work.clocks
port map (
clock_i => clock_master_s,
por_i => not pll_locked_s,
turbo_on_i => turbo_on_s,
clock_vdp_o => clock_vdp_s,
clock_5m_en_o => open,
clock_cpu_o => clock_cpu_s,
clock_psg_en_o => clock_psg_en_s,
clock_3m_o => clock_3m_s
);
-- The MSX1
the_msx: entity work.msx
generic map (
hw_id_g => 2,
hw_txt_g => "DE-2 Board",
hw_version_g => actual_version,
video_opt_g => 1, -- dblscan configurable
ramsize_g => 8192
)
port map (
-- Clocks
clock_i => clock_master_s,
clock_vdp_i => clock_vdp_s,
clock_cpu_i => clock_cpu_s,
clock_psg_en_i => clock_psg_en_s,
-- Turbo
turbo_on_k_i => extra_keys_s(3), -- F11
turbo_on_o => turbo_on_s,
-- Resets
reset_i => reset_s,
por_i => por_s,
softreset_o => soft_reset_s_s,
-- Options
opt_nextor_i => '1',
opt_mr_type_i => sw_i(2 downto 1),
opt_vga_on_i => '1',
-- RAM
ram_addr_o => ram_addr_s,
ram_data_i => ram_data_from_s,
ram_data_o => ram_data_to_s,
ram_ce_o => ram_ce_s,
ram_we_o => ram_we_s,
ram_oe_o => ram_oe_s,
-- ROM
rom_addr_o => open,
rom_data_i => ram_data_from_s,
rom_ce_o => open,
rom_oe_o => open,
-- External bus
bus_addr_o => bus_addr_s,
bus_data_i => bus_data_from_s,
bus_data_o => bus_data_to_s,
bus_rd_n_o => bus_rd_n_s,
bus_wr_n_o => bus_wr_n_s,
bus_m1_n_o => bus_m1_n_s,
bus_iorq_n_o => bus_iorq_n_s,
bus_mreq_n_o => bus_mreq_n_s,
bus_sltsl1_n_o => bus_sltsl1_n_s,
bus_sltsl2_n_o => bus_sltsl2_n_s,
bus_wait_n_i => '1',
bus_nmi_n_i => '1',
bus_int_n_i => bus_int_n_s,
-- VDP RAM
vram_addr_o => vram_addr_s,
vram_data_i => vram_data_from_s,
vram_data_o => vram_data_to_s,
vram_ce_o => vram_ce_s,
vram_oe_o => vram_oe_s,
vram_we_o => vram_we_s,
-- Keyboard
rows_o => rows_s,
cols_i => cols_s,
caps_en_o => caps_en_s,
keyb_valid_i => keyb_valid_s,
keyb_data_i => keyb_data_s,
keymap_addr_o => keymap_addr_s,
keymap_data_o => keymap_data_s,
keymap_we_o => keymap_we_s,
-- Audio
audio_scc_o => audio_scc_s,
audio_psg_o => audio_psg_s,
beep_o => beep_s,
volumes_o => volumes_s,
-- K7
k7_motor_o => open,
k7_audio_o => open,
k7_audio_i => ear_s,
-- Joystick
joy1_up_i => J0_UP,
joy1_down_i => J0_DOWN,
joy1_left_i => J0_LEFT,
joy1_right_i => J0_RIGHT,
joy1_btn1_i => J0_BTN,
joy1_btn1_o => J0_BTN,
joy1_btn2_i => J0_BTN2,
joy1_btn2_o => J0_BTN2,
joy1_out_o => open,
joy2_up_i => J1_UP,
joy2_down_i => J1_DOWN,
joy2_left_i => J1_LEFT,
joy2_right_i => J1_RIGHT,
joy2_btn1_i => J1_BTN,
joy2_btn1_o => J1_BTN,
joy2_btn2_i => J1_BTN2,
joy2_btn2_o => J1_BTN2,
joy2_out_o => open,
-- Video
rgb_r_o => rgb_r_s,
rgb_g_o => rgb_g_s,
rgb_b_o => rgb_b_s,
hsync_n_o => rgb_hsync_n_s,
vsync_n_o => rgb_vsync_n_s,
ntsc_pal_o => ntsc_pal_s,
vga_on_k_i => extra_keys_s(2), -- Print Screen
scanline_on_k_i=> extra_keys_s(1), -- Scroll Lock
vga_en_o => vga_en_s,
-- SPI/SD
flspi_cs_n_o => open,
spi_cs_n_o => sd_cs_n_s,
spi_sclk_o => sd_sclk_o,
spi_mosi_o => sd_mosi_o,
spi_miso_i => sd_miso_i,
sd_pres_n_i => '0',
sd_wp_i => '0',
-- DEBUG
D_wait_o => open,
D_slots_o => open,
D_ipl_en_o => open
);
-- Keyboard PS/2
keyb: entity work.keyboard
port map (
clock_i => clock_3m_s,
reset_i => reset_s,
-- MSX
rows_coded_i => rows_s,
cols_o => cols_s,
keymap_addr_i => keymap_addr_s,
keymap_data_i => keymap_data_s,
keymap_we_i => keymap_we_s,
-- LEDs
led_caps_i => caps_en_s,
-- PS/2 interface
ps2_clk_io => ps2_clk_io,
ps2_data_io => ps2_dat_io,
-- Direct Access
keyb_valid_o => keyb_valid_s,
keyb_data_o => keyb_data_s,
--
reset_o => soft_reset_k_s,
por_o => soft_por_s,
reload_core_o => open,
extra_keys_o => extra_keys_s
);
-- VRAM
vram: entity work.spram
generic map (
addr_width_g => 14,
data_width_g => 8
)
port map (
clk_i => clock_master_s,
we_i => vram_we_s,
addr_i => vram_addr_s,
data_i => vram_data_to_s,
data_o => vram_data_from_s
);
-- sram_addr_o <= "0000" & vram_addr_s;
-- sram_data_io <= "ZZZZZZZZ" & vram_data_to_s when vram_we_s = '1' else
-- (others => 'Z');
-- vram_data_from_s <= sram_data_io( 7 downto 0);
-- sram_ub_n_o <= '1';
-- sram_lb_n_o <= '0';
-- sram_ce_n_o <= not vram_ce_s;
-- sram_oe_n_o <= not vram_oe_s;
-- sram_we_n_o <= not vram_we_s;
-- RAM
ram: entity work.ssdram
generic map (
freq_g => 86
)
port map (
clock_i => clock_sdram_s,
reset_i => reset_s,
refresh_i => '1',
-- Static RAM bus
addr_i => ram_addr_s,
data_i => ram_data_to_s,
data_o => ram_data_from_s,
cs_i => ram_ce_s,
oe_i => ram_oe_s,
we_i => ram_we_s,
-- SD-RAM ports
mem_cke_o => dram_cke_o,
mem_cs_n_o => dram_cs_n_o,
mem_ras_n_o => dram_ras_n_o,
mem_cas_n_o => dram_cas_n_o,
mem_we_n_o => dram_we_n_o,
mem_udq_o => dram_udqm_o,
mem_ldq_o => dram_ldqm_o,
mem_ba_o => dram_ba_o,
mem_addr_o => dram_addr_o,
mem_data_io => dram_data_io
);
-- Audio
mixer: entity work.mixers
port map (
clock_i => clock_master_s,
reset_i => reset_s,
volumes_i => volumes_s,
beep_i => beep_s,
ear_i => ear_s,
audio_scc_i => audio_scc_s,
audio_psg_i => audio_psg_s,
jt51_left_i => jt51_left_s,
jt51_right_i => jt51_right_s,
opll_mo_i => opll_mo_s,
opll_ro_i => opll_ro_s,
audio_mix_l_o => audio_l_s,
audio_mix_r_o => audio_r_s
);
codec: entity work.WM8731
port map (
clock_i => clock_audio_s,
reset_i => reset_s,
k7_audio_o => ear_s,
audio_l_i => audio_l_s,
audio_r_i => audio_r_s,
i2s_xck_o => aud_xck_o,
i2s_bclk_o => aud_bclk_o,
i2s_adclrck_o => aud_adclrck_o,
i2s_adcdat_i => aud_adcdat_i,
i2s_daclrck_o => aud_daclrck_o,
i2s_dacdat_o => aud_dacdat_o,
i2c_sda_io => i2c_sdat_io,
i2c_scl_io => i2c_sclk_io
);
-- Glue logic
-- Resets
por_s <= '1' when pll_locked_s = '0' or soft_por_s = '1' or key_n_i(3) = '0' else '0';
reset_s <= '1' when soft_rst_cnt_s = X"00" or por_s = '1' or key_n_i(0) = '0' else '0';
process(clock_master_s)
begin
if rising_edge(clock_master_s) then
if reset_s = '1' or por_s = '1' then
soft_rst_cnt_s <= X"FF";
elsif (soft_reset_k_s = '1' or soft_reset_s_s = '1') and soft_rst_cnt_s /= X"00" then
soft_rst_cnt_s <= soft_rst_cnt_s - 1;
end if;
end if;
end process;
-- SD
sd_cs_n_o <= sd_cs_n_s;
-- VGA Output
vga_r_o <= rgb_r_s & "000000";
vga_g_o <= rgb_g_s & "000000";
vga_b_o <= rgb_b_s & "000000";
vga_hsync_n_o <= rgb_hsync_n_s;
vga_vsync_n_o <= rgb_vsync_n_s;
vga_blank_n_o <= '1';
vga_clk_o <= clock_master_s;
-- Peripheral BUS control
bus_data_from_s <= jt51_data_from_s when jt51_hd_s = '1' else
serial_data_from_s when serial_hd_s = '1' else
(others => '1');
bus_int_n_s <= '1';
ptjt: if per_jt51_g generate
-- JT51 tests
jt51_cs_n_s <= '0' when bus_addr_s(7 downto 1) = "0010000" and bus_iorq_n_s = '0' and bus_m1_n_s = '1' else '1'; -- 0x20 - 0x21
jt51: entity work.jt51_wrapper
port map (
clock_i => clock_3m_s,
reset_i => reset_s,
addr_i => bus_addr_s(0),
cs_n_i => jt51_cs_n_s,
wr_n_i => bus_wr_n_s,
rd_n_i => bus_rd_n_s,
data_i => bus_data_to_s,
data_o => jt51_data_from_s,
has_data_o => jt51_hd_s,
ct1_o => open,
ct2_o => open,
irq_n_o => open,
p1_o => open,
-- Low resolution output (same as real chip)
sample_o => open,
left_o => open,
right_o => open,
-- Full resolution output
xleft_o => jt51_left_s,
xright_o => jt51_right_s,
-- unsigned outputs for sigma delta converters, full resolution
dacleft_o => open,
dacright_o => open
);
end generate;
popll: if per_opll_g generate
-- OPLL tests
opll_cs_n_s <= '0' when bus_addr_s(7 downto 1) = "0111110" and bus_iorq_n_s = '0' and bus_m1_n_s = '1' else '1'; -- 0x7C - 0x7D
opll1 : entity work.OPLL
port map (
clock_i => clock_master_s,
clock_en_i => clock_psg_en_s,
reset_i => reset_s,
data_i => bus_data_to_s,
addr_i => bus_addr_s(0),
cs_n => opll_cs_n_s,
we_n => bus_wr_n_s,
melody_o => opll_mo_s,
rythm_o => opll_ro_s
);
end generate;
-- Tests UART
serial_cs_s <= '1' when bus_addr_s(7 downto 3) = "11001" and bus_iorq_n_s = '0' and bus_m1_n_s = '1' else '0'; -- 0xC8 - 0xCF
serial: entity work.uart
port map (
clock_i => clock_16m_s,
reset_i => reset_s,
addr_i => bus_addr_s(2 downto 0),
data_i => bus_data_to_s,
data_o => serial_data_from_s,
has_data_o => serial_hd_s,
cs_i => serial_cs_s,
rd_i => not bus_rd_n_s,
wr_i => not bus_wr_n_s,
int_n_o => open,
--
rxd_i => uart_rx_i,
txd_o => uart_tx_o,
dsr_n_i => '0',
rts_n_o => open,
cts_n_i => '0',
dtr_n_o => open,
dcd_i => '0',
ri_n_i => '1'
);
-- DEBUG
D_display_s <= bus_addr_s;
ledg_o(7) <= turbo_on_s;
ledg_o(6) <= vga_en_s;
ledg_o(5) <= ntsc_pal_s;
ledg_o(4) <= not jt51_cs_n_s;
ledg_o(0) <= not sd_cs_n_s;
ledr_o(15 downto 0) <= std_logic_vector(jt51_left_s);
ld3: entity work.seg7
port map(
D => D_display_s(15 downto 12),
Q => display3_o
);
ld2: entity work.seg7
port map(
D => D_display_s(11 downto 8),
Q => display2_o
);
ld1: entity work.seg7
port map(
D => D_display_s(7 downto 4),
Q => display1_o
);
ld0: entity work.seg7
port map(
D => D_display_s(3 downto 0),
Q => display0_o
);
end architecture; |
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: apbctrl
-- File: apbctrl.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AMBA AHB/APB bridge with plug&play support
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.config_types.all;
use grlib.config.all;
use grlib.amba.all;
use grlib.stdlib.all;
-- pragma translate_off
use grlib.devices.all;
use std.textio.all;
-- pragma translate_on
entity apbctrl is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
nslaves : integer range 1 to NAPBSLV := NAPBSLV;
debug : integer range 0 to 2 := 2;
icheck : integer range 0 to 1 := 1;
enbusmon : integer range 0 to 1 := 0;
asserterr : integer range 0 to 1 := 0;
assertwarn : integer range 0 to 1 := 0;
pslvdisable : integer := 0;
mcheck : integer range 0 to 1 := 1;
ccheck : integer range 0 to 1 := 1
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbi : in ahb_slv_in_type;
ahbo : out ahb_slv_out_type;
apbi : out apb_slv_in_type;
apbo : in apb_slv_out_vector
);
end;
architecture rtl of apbctrl is
constant apbmax : integer := 19;
constant VERSION : amba_version_type := 0;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( 1, 6, 0, VERSION, 0),
4 => ahb_membar(haddr, '0', '0', hmask),
others => zero32);
constant IOAREA : std_logic_vector(11 downto 0) :=
conv_std_logic_vector(haddr, 12);
constant IOMSK : std_logic_vector(11 downto 0) :=
conv_std_logic_vector(hmask, 12);
type reg_type is record
haddr : std_logic_vector(apbmax downto 0); -- address bus
hwrite : std_logic; -- read/write
hready : std_logic; -- ready
penable : std_logic;
psel : std_logic;
prdata : std_logic_vector(31 downto 0); -- read data
pwdata : std_logic_vector(31 downto 0); -- write data
state : std_logic_vector(1 downto 0); -- state
cfgsel : std_ulogic;
end record;
constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1;
constant RES : reg_type :=
(haddr => (others => '0'), hwrite => '0', hready => '1', penable => '0',
psel => '0', prdata => (others => '0'), pwdata => (others => '0'),
state => (others => '0'), cfgsel => '0');
signal r, rin : reg_type;
--pragma translate_off
signal lapbi : apb_slv_in_type;
--pragma translate_on
begin
comb : process(ahbi, apbo, r, rst)
variable v : reg_type;
variable psel : std_logic_vector(0 to 31);
variable pwdata : std_logic_vector(31 downto 0);
variable apbaddr : std_logic_vector(apbmax downto 0);
variable apbaddr2 : std_logic_vector(31 downto 0);
variable pirq : std_logic_vector(NAHBIRQ-1 downto 0);
variable nslave : integer range 0 to nslaves-1;
variable bnslave : std_logic_vector(3 downto 0);
begin
v := r; v.psel := '0'; v.penable := '0'; psel := (others => '0');
pirq := (others => '0');
-- detect start of cycle
if (ahbi.hready = '1') then
if ((ahbi.htrans = HTRANS_NONSEQ) or (ahbi.htrans = HTRANS_SEQ)) and
(ahbi.hsel(hindex) = '1')
then
v.hready := '0'; v.hwrite := ahbi.hwrite;
v.haddr(apbmax downto 0) := ahbi.haddr(apbmax downto 0);
v.state := "01"; v.psel := not ahbi.hwrite;
end if;
end if;
case r.state is
when "00" => null; -- idle
when "01" =>
if r.hwrite = '0' then v.penable := '1';
else v.pwdata := ahbreadword(ahbi.hwdata, r.haddr(4 downto 2)); end if;
v.psel := '1'; v.state := "10";
when others =>
if r.penable = '0' then v.psel := '1'; v.penable := '1'; end if;
v.state := "00"; v.hready := '1';
end case;
psel := (others => '0');
for i in 0 to nslaves-1 loop
if ((apbo(i).pconfig(1)(1 downto 0) = "01") and
((apbo(i).pconfig(1)(31 downto 20) and apbo(i).pconfig(1)(15 downto 4)) =
(r.haddr(19 downto 8) and apbo(i).pconfig(1)(15 downto 4))))
then psel(i) := '1'; end if;
end loop;
bnslave(0) := psel(1) or psel(3) or psel(5) or psel(7) or
psel(9) or psel(11) or psel(13) or psel(15);
bnslave(1) := psel(2) or psel(3) or psel(6) or psel(7) or
psel(10) or psel(11) or psel(14) or psel(15);
bnslave(2) := psel(4) or psel(5) or psel(6) or psel(7) or
psel(12) or psel(13) or psel(14) or psel(15);
bnslave(3) := psel(8) or psel(9) or psel(10) or psel(11) or
psel(12) or psel(13) or psel(14) or psel(15);
nslave := conv_integer(bnslave);
if (r.haddr(19 downto 12) = "11111111") then
v.cfgsel := '1'; psel := (others => '0'); v.penable := '0';
else v.cfgsel := '0'; end if;
v.prdata := apbo(nslave).prdata;
if r.cfgsel = '1' then
v.prdata := apbo(conv_integer(r.haddr(6 downto 3))).pconfig(conv_integer(r.haddr(2 downto 2)));
if nslaves <= conv_integer(r.haddr(6 downto 3)) then
v.prdata := (others => '0');
end if;
end if;
for i in 0 to nslaves-1 loop pirq := pirq or apbo(i).pirq; end loop;
-- AHB respons
ahbo.hready <= r.hready;
ahbo.hrdata <= ahbdrivedata(r.prdata);
ahbo.hirq <= pirq;
if (not RESET_ALL) and (rst = '0') then
v.penable := RES.penable; v.hready := RES.hready;
v.psel := RES.psel; v.state := RES.state;
v.hwrite := RES.hwrite;
-- pragma translate_off
v.haddr := RES.haddr;
-- pragma translate_on
end if;
rin <= v;
-- drive APB bus
apbaddr2 := (others => '0');
apbaddr2(apbmax downto 0) := r.haddr(apbmax downto 0);
apbi.paddr <= apbaddr2;
apbi.pwdata <= r.pwdata;
apbi.pwrite <= r.hwrite;
apbi.penable <= r.penable;
apbi.pirq <= ahbi.hirq;
apbi.testen <= ahbi.testen;
apbi.testoen <= ahbi.testoen;
apbi.scanen <= ahbi.scanen;
apbi.testrst <= ahbi.testrst;
apbi.testin <= ahbi.testin;
apbi.psel <= (others => '0');
for i in 0 to nslaves-1 loop apbi.psel(i) <= psel(i) and r.psel; end loop;
--pragma translate_off
lapbi.paddr <= apbaddr2;
lapbi.pwdata <= r.pwdata;
lapbi.pwrite <= r.hwrite;
lapbi.penable <= r.penable;
lapbi.pirq <= ahbi.hirq;
for i in 0 to nslaves-1 loop lapbi.psel(i) <= psel(i) and r.psel; end loop;
--pragma translate_on
end process;
ahbo.hindex <= hindex;
ahbo.hconfig <= hconfig;
ahbo.hsplit <= (others => '0');
ahbo.hresp <= HRESP_OKAY;
reg : process(clk)
begin
if rising_edge(clk) then
r <= rin;
if RESET_ALL and rst = '0' then
r <= RES;
end if;
end if;
end process;
-- pragma translate_off
mon0 : if enbusmon /= 0 generate
mon : apbmon
generic map(
asserterr => asserterr,
assertwarn => assertwarn,
pslvdisable => pslvdisable,
napb => nslaves)
port map(
rst => rst,
clk => clk,
apbi => lapbi,
apbo => apbo,
err => open);
end generate;
diag : process
type apb_memarea_type is record
start : std_logic_vector(31 downto 20);
stop : std_logic_vector(31 downto 20);
end record;
type memmap_type is array (0 to nslaves-1) of apb_memarea_type;
variable k : integer;
variable mask : std_logic_vector(11 downto 0);
variable device : std_logic_vector(11 downto 0);
variable devicei : integer;
variable vendor : std_logic_vector( 7 downto 0);
variable vendori : integer;
variable iosize : integer;
variable iounit : string(1 to 5) := "byte ";
variable memstart : std_logic_vector(11 downto 0) := IOAREA and IOMSK;
variable L1 : line := new string'("");
variable memmap : memmap_type;
begin
wait for 3 ns;
if debug > 0 then
print("apbctrl: APB Bridge at " & tost(memstart) & "00000 rev 1");
end if;
for i in 0 to nslaves-1 loop
vendor := apbo(i).pconfig(0)(31 downto 24);
vendori := conv_integer(vendor);
if vendori /= 0 then
if debug > 1 then
device := apbo(i).pconfig(0)(23 downto 12);
devicei := conv_integer(device);
std.textio.write(L1, "apbctrl: slv" & tost(i) & ": " &
iptable(vendori).vendordesc & iptable(vendori).device_table(devicei));
std.textio.writeline(OUTPUT, L1);
mask := apbo(i).pconfig(1)(15 downto 4);
k := 0;
while (k<15) and (mask(k) = '0') loop k := k+1; end loop;
iosize := 256 * 2**k; iounit := "byte ";
if (iosize > 1023) then iosize := iosize/1024; iounit := "kbyte"; end if;
print("apbctrl: I/O ports at " &
tost(memstart & (apbo(i).pconfig(1)(31 downto 20) and
apbo(i).pconfig(1)(15 downto 4))) &
"00, size " & tost(iosize) & " " & iounit);
if mcheck /= 0 then
memmap(i).start := (apbo(i).pconfig(1)(31 downto 20) and
apbo(i).pconfig(1)(15 downto 4));
memmap(i).stop := memmap(i).start + 2**k;
end if;
end if;
assert (apbo(i).pindex = i) or (icheck = 0)
report "APB slave index error on slave " & tost(i) &
". Detected index value " & tost(apbo(i).pindex) severity failure;
if mcheck /= 0 then
for j in 0 to i loop
if memmap(i).start /= memmap(i).stop then
assert ((memmap(i).start >= memmap(j).stop) or
(memmap(i).stop <= memmap(j).start) or (i = j))
report "APB slave " & tost(i) & " memory area" &
" intersects with APB slave " & tost(j) & " memory area."
severity failure;
end if;
end loop;
end if;
else
for j in 0 to NAPBCFG-1 loop
assert (apbo(i).pconfig(j) = zx or ccheck = 0)
report "APB slave " & tost(i) & " appears to be disabled, " &
"but the config record is not driven to zero"
severity warning;
end loop;
end if;
end loop;
if nslaves < NAPBSLV then
for i in nslaves to NAPBSLV-1 loop
for j in 0 to NAPBCFG-1 loop
assert (apbo(i).pconfig(j) = zx or ccheck = 0)
report "APB slave " & tost(i) & " is outside the range of decoded " &
"slave indexes but the config record is not driven to zero"
severity warning;
end loop; -- j
end loop; -- i
end if;
wait;
end process;
-- pragma translate_on
end;
|
package fifo_pkg is
end package fifo_pkg;
package fifo_pkg is
end package fifo_pkg;
|
----------------------------------------------------------------------------------
-- Company: University of Genoa
-- Engineer: Alessio Leoncini, Alberto Oliveri
--
-- Create Date: 11:06:29 07/26/2011
-- Design Name:
-- Module Name: newCaoticGen - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description: Random Bit Generator based on a chaotic map
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_SIGNED.ALL;
use ieee.std_logic_arith.all;
use work.variable_Caos.all ;
entity newCaoticGen2 is
Port ( Clk : in STD_LOGIC;
reset : IN std_logic;
X_out : out signed(numbit-1 downto 0));
end newCaoticGen2;
architecture Behavioral of newCaoticGen2 is
signal x : signed(numbit-1 downto 0):= signed(convtosigned(Val_init));
begin
process(Clk,reset)
variable k : signed(numbit-1 downto 0):= signed(convtosigned(Param));
variable temp: integer;
begin
X_out <= x;
if reset = '0' then
if (Clk'event and Clk ='1') then
if (x < conv_signed(0,numBit)) then
temp:=mult(k,x);
x <= conv_signed(2**(scalamento) + temp,numBit);
temp := 0;
else
temp:=mult(k,x);
x <= conv_signed(-2**(scalamento)+temp,numBit);
temp:=0;
end if;
end if;
end if;
end process;
end Behavioral;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Smeeg3jysJc08xAOb2nOd8FEN8V9es/sY+lTssvDLXD3cjJKpSLHoqpFtunmg6rra+wHBnR4FSDB
JFWtqncnfg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NWlzcmMQ4p0UAeBE7wkq3LRFRwlPrCt5lhcilT7wHJSaexxhufhYP94eOCNH4W3yVoUIUB2wUSzH
C4aNdubCvVaIC56v9ns1GtEFwDyZPjGao8JHtuLPQZDakJvyo1CVkTqY5HYVffUIWEifr89zYv+A
jURHOe0JmSKaLRJy8n8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MQrfa1H7o7HVmaqtpYsWDtCkuI9lbIkiNZAXKPORAqJKWRyn+pUT96okkwLY09eX4U1WxARMFLJf
VUy0mEc9iSuDkjJ8vbdUJsdOIa86ejozLurwjrwY1LAxc3nI0rheT9b3OX9WqPUg5QdqOSC5NmU3
Jj48n6P6ADnsw+hFTRqb4BghXjtG+7ZwvHEP/CcmYZpOjSp/xW94vSvLPkZMI2MKSpf/064NOQ5v
ZAvkadPzZeYrcQ0JaOKhmsBkQETVdDmHJXOxofluEJoF16c1td3BcSi0WK3xpdmNvNFw3kfPaQUG
y8uLh2cldRm3xpzofMIuw0P/UxhDjR/GamdnhQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
x03EDrz0TLRe76jprorFdf49LAC204WxXikRDU0TCPk4JZ+frcodyiyuXc76/BNK2vWKZgkYdRPx
qGMYCzV9KNdHtn1ThO96PrJo+Ol8BOO7j/VGDk+N8pFzE80B7TP5crA0uNyYFz71jW/JZHNQyQNo
KqMkKjr2J9Qa3YOLgQY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
C5Ar+kdz0EOJhYtkyRuj0IZR9XkmmypdrmmtMoDxgEk427rdS7Fho0Whu2VLJjFkY9SUwqrpP465
jNeOozuU0KQfvpFZ2fgHcAMUGKjTQzU0+jtxs4qKLK529Qab4/GUZXEnBBp5cAHuLtjiMi5EB6/p
H8kDQloGtVXU+S9tVG0sRDnp3S7FjPvPBJRUMDacvg1XQ+m+DQUs72bA5M5GaBD1YMg8qy03oSUJ
4gAZuIIjhaHSoljc5ZNOt8rhjk+VVF737PqBT8iHcxqskwfvUF6bbuDIpGuXkjv+JCwBc6dc029Q
apnJ43mMi2uirUTM0cSC0hb77JgNTgMYCr2k5Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24880)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Smeeg3jysJc08xAOb2nOd8FEN8V9es/sY+lTssvDLXD3cjJKpSLHoqpFtunmg6rra+wHBnR4FSDB
JFWtqncnfg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NWlzcmMQ4p0UAeBE7wkq3LRFRwlPrCt5lhcilT7wHJSaexxhufhYP94eOCNH4W3yVoUIUB2wUSzH
C4aNdubCvVaIC56v9ns1GtEFwDyZPjGao8JHtuLPQZDakJvyo1CVkTqY5HYVffUIWEifr89zYv+A
jURHOe0JmSKaLRJy8n8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MQrfa1H7o7HVmaqtpYsWDtCkuI9lbIkiNZAXKPORAqJKWRyn+pUT96okkwLY09eX4U1WxARMFLJf
VUy0mEc9iSuDkjJ8vbdUJsdOIa86ejozLurwjrwY1LAxc3nI0rheT9b3OX9WqPUg5QdqOSC5NmU3
Jj48n6P6ADnsw+hFTRqb4BghXjtG+7ZwvHEP/CcmYZpOjSp/xW94vSvLPkZMI2MKSpf/064NOQ5v
ZAvkadPzZeYrcQ0JaOKhmsBkQETVdDmHJXOxofluEJoF16c1td3BcSi0WK3xpdmNvNFw3kfPaQUG
y8uLh2cldRm3xpzofMIuw0P/UxhDjR/GamdnhQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
x03EDrz0TLRe76jprorFdf49LAC204WxXikRDU0TCPk4JZ+frcodyiyuXc76/BNK2vWKZgkYdRPx
qGMYCzV9KNdHtn1ThO96PrJo+Ol8BOO7j/VGDk+N8pFzE80B7TP5crA0uNyYFz71jW/JZHNQyQNo
KqMkKjr2J9Qa3YOLgQY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
C5Ar+kdz0EOJhYtkyRuj0IZR9XkmmypdrmmtMoDxgEk427rdS7Fho0Whu2VLJjFkY9SUwqrpP465
jNeOozuU0KQfvpFZ2fgHcAMUGKjTQzU0+jtxs4qKLK529Qab4/GUZXEnBBp5cAHuLtjiMi5EB6/p
H8kDQloGtVXU+S9tVG0sRDnp3S7FjPvPBJRUMDacvg1XQ+m+DQUs72bA5M5GaBD1YMg8qy03oSUJ
4gAZuIIjhaHSoljc5ZNOt8rhjk+VVF737PqBT8iHcxqskwfvUF6bbuDIpGuXkjv+JCwBc6dc029Q
apnJ43mMi2uirUTM0cSC0hb77JgNTgMYCr2k5Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24880)
`protect data_block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`protect end_protected
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2631.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c13s03b01x00p02n01i02631ent IS
END c13s03b01x00p02n01i02631ent;
ARCHITECTURE c13s03b01x00p02n01i02631arch OF c13s03b01x00p02n01i02631ent IS
BEGIN
TESTING: PROCESS
variable k"k : integer := 0;
BEGIN
assert FALSE
report "***FAILED TEST: c13s03b01x00p02n01i02631 - Identifier can not contain '"'."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s03b01x00p02n01i02631arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2631.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c13s03b01x00p02n01i02631ent IS
END c13s03b01x00p02n01i02631ent;
ARCHITECTURE c13s03b01x00p02n01i02631arch OF c13s03b01x00p02n01i02631ent IS
BEGIN
TESTING: PROCESS
variable k"k : integer := 0;
BEGIN
assert FALSE
report "***FAILED TEST: c13s03b01x00p02n01i02631 - Identifier can not contain '"'."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s03b01x00p02n01i02631arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2631.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c13s03b01x00p02n01i02631ent IS
END c13s03b01x00p02n01i02631ent;
ARCHITECTURE c13s03b01x00p02n01i02631arch OF c13s03b01x00p02n01i02631ent IS
BEGIN
TESTING: PROCESS
variable k"k : integer := 0;
BEGIN
assert FALSE
report "***FAILED TEST: c13s03b01x00p02n01i02631 - Identifier can not contain '"'."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s03b01x00p02n01i02631arch;
|
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>
--
-- Copyright (C) 2014 Jakub Kicinski <[email protected]>
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity bus_get_last_nbits is
generic (N_BITS : integer);
port (Clk : in std_logic;
Rst : in std_logic;
PktIn : in std_logic;
DataIn : in std_logic_vector(7 downto 0);
Value : out std_logic_vector(N_BITS - 1 downto 0);
ValueEn : out std_logic);
end bus_get_last_nbits;
architecture Behavioral of bus_get_last_nbits is
constant N_BYTES : integer := (N_BITS - 1)/8 + 1;
type byte_vec is array (0 to N_BYTES) of std_logic_vector(7 downto 0);
signal delayByte : byte_vec;
signal delayPkt : std_logic_vector(0 to N_BYTES);
begin
delayByte(0) <= DataIn when rising_edge(Clk);
delayPkt(0) <= PktIn when rising_edge(Clk);
delay_path : for i in 0 to N_BYTES - 1
generate
delayByte(i + 1) <= delayByte(i) when rising_edge(Clk);
delayPkt(i + 1) <= delayPkt(i) when rising_edge(Clk);
end generate delay_path;
output_path : for i in 0 to N_BITS - 1
generate
Value(i) <= delayByte(1 + i/8)(i mod 8);
end generate output_path;
ValueEn <= (not delayPkt(0)) and delayPkt(1) and (not Rst);
end Behavioral;
|
entity FIFO is
generic (
G_WIDTH : integer := 256;
G_DEPTH : integer := 32
);
end entity FIFO;
-- Violation below
entity FIFO is
generic (
W_WIDTH : integer := 256;
DEPTH : integer := 32
);
end entity FIFO;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc749.vhd,v 1.2 2001-10-26 16:29:59 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s01b01x01p05n02i00749ent IS
generic(
zero : integer := 0;
one : integer := 1;
two : integer := 2;
three: integer := 3;
four : integer := 4;
five : integer := 5;
six : integer := 6;
seven: integer := 7;
eight: integer := 8;
nine : integer := 9;
fifteen:integer:= 15;
C1 : boolean := true;
C2 : bit := '1';
C3 : character := 's';
C4 : severity_level:= note;
C5 : integer := 3;
C6 : real := 3.0;
C7 : time := 3 ns;
C8 : natural := 1;
C9 : positive := 1;
C10 : string := "shishir";
C11 : bit_vector := B"0011"
);
END c01s01b01x01p05n02i00749ent;
ARCHITECTURE c01s01b01x01p05n02i00749arch OF c01s01b01x01p05n02i00749ent IS
subtype hi_to_low_range is integer range zero to seven;
type boolean_vector is array (natural range <>) of boolean;
type severity_level_vector is array (natural range <>) of severity_level;
type integer_vector is array (natural range <>) of integer;
type real_vector is array (natural range <>) of real;
type time_vector is array (natural range <>) of time;
type natural_vector is array (natural range <>) of natural;
type positive_vector is array (natural range <>) of positive;
subtype boolean_vector_st is boolean_vector(zero to fifteen);
subtype severity_level_vector_st is severity_level_vector(zero to fifteen);
subtype integer_vector_st is integer_vector(zero to fifteen);
subtype real_vector_st is real_vector(zero to fifteen);
subtype time_vector_st is time_vector(zero to fifteen);
subtype natural_vector_st is natural_vector(zero to fifteen);
subtype positive_vector_st is positive_vector(zero to fifteen);
type boolean_cons_vector is array (fifteen downto zero) of boolean;
type severity_level_cons_vector is array (fifteen downto zero) of severity_level;
type integer_cons_vector is array (fifteen downto zero) of integer;
type real_cons_vector is array (fifteen downto zero) of real;
type time_cons_vector is array (fifteen downto zero) of time;
type natural_cons_vector is array (fifteen downto zero) of natural;
type positive_cons_vector is array (fifteen downto zero) of positive;
type boolean_cons_vectorofvector is array (zero to fifteen) of boolean_cons_vector;
type severity_level_cons_vectorofvector is array (zero to fifteen) of severity_level_cons_vector;
type integer_cons_vectorofvector is array (zero to fifteen) of integer_cons_vector
;
type real_cons_vectorofvector is array (zero to fifteen) of real_cons_vector;
type time_cons_vectorofvector is array (zero to fifteen) of time_cons_vector;
type natural_cons_vectorofvector is array (zero to fifteen) of natural_cons_vector;
type positive_cons_vectorofvector is array (zero to fifteen) of positive_cons_vector;
type record_std_package is record
a:boolean;
b:bit;
c:character;
d:severity_level;
e:integer;
f:real;
g:time;
h:natural;
i:positive;
j:string(one to seven);
k:bit_vector(zero to three);
end record;
type record_array_st is record
a:boolean_vector_st;
b:severity_level_vector_st;
c:integer_vector_st;
d:real_vector_st;
e:time_vector_st;
f:natural_vector_st;
g:positive_vector_st;
end record;
type record_cons_array is record
a:boolean_cons_vector;
b:severity_level_cons_vector;
c:integer_cons_vector;
d:real_cons_vector;
e:time_cons_vector;
f:natural_cons_vector;
g:positive_cons_vector;
end record;
type record_cons_arrayofarray is record
a:boolean_cons_vectorofvector;
b:severity_level_cons_vectorofvector;
c:integer_cons_vectorofvector;
d:real_cons_vectorofvector;
e:time_cons_vectorofvector;
f:natural_cons_vectorofvector;
g:positive_cons_vectorofvector;
end record;
type record_array_new is record
a:boolean_vector(zero to fifteen);
b:severity_level_vector(zero to fifteen);
c:integer_vector(zero to fifteen);
d:real_vector(zero to fifteen);
e:time_vector(zero to fifteen);
f:natural_vector(zero to fifteen);
g:positive_vector(zero to fifteen);
end record;
type record_of_records is record
a: record_std_package;
c: record_cons_array;
g: record_cons_arrayofarray;
i: record_array_st;
j: record_array_new;
end record;
subtype boolean_vector_range is boolean_vector(hi_to_low_range);
subtype severity_level_vector_range is severity_level_vector(hi_to_low_range);
subtype integer_vector_range is integer_vector(hi_to_low_range);
subtype real_vector_range is real_vector(hi_to_low_range);
subtype time_vector_range is time_vector(hi_to_low_range);
subtype natural_vector_range is natural_vector(hi_to_low_range);
subtype positive_vector_range is positive_vector(hi_to_low_range);
type array_rec_std is array (integer range <>) of record_std_package;
type array_rec_cons is array (integer range <>) of record_cons_array;
type array_rec_rec is array (integer range <>) of record_of_records;
subtype array_rec_std_st is array_rec_std (hi_to_low_range);
subtype array_rec_cons_st is array_rec_cons (hi_to_low_range);
subtype array_rec_rec_st is array_rec_rec (hi_to_low_range);
type record_of_arr_of_record is record
a: array_rec_std(zero to seven);
b: array_rec_cons(zero to seven);
c: array_rec_rec(zero to seven);
end record;
type current is range -2147483647 to +2147483647
units
nA;
uA = 1000 nA;
mA = 1000 uA;
A = 1000 mA;
end units;
type current_vector is array (natural range <>) of current;
subtype current_vector_range is current_vector(hi_to_low_range);
type resistance is range -2147483647 to +2147483647
units
uOhm;
mOhm = 1000 uOhm;
Ohm = 1000 mOhm;
KOhm = 1000 Ohm;
end units;
type resistance_vector is array (natural range <>) of resistance;
subtype resistance_vector_range is resistance_vector(hi_to_low_range);
type byte is array(zero to seven) of bit;
subtype word is bit_vector(zero to fifteen); --constrained array
constant size :integer := seven;
type primary_memory is array(zero to size) of word; --array of an array
type primary_memory_module is --record with field
record --as an array
enable:bit;
memory_number:primary_memory;
end record;
type whole_memory is array(0 to size) of primary_memory_module; --array of a complex record
subtype delay is integer range one to 10;
constant C12 : boolean_vector := (C1,false);
constant C13 : severity_level_vector := (C4,error);
constant C14 : integer_vector := (one,two,three,four);
constant C15 : real_vector := (1.0,2.0,C6,4.0);
constant C16 : time_vector := (1 ns, 2 ns,C7, 4 ns);
constant C17 : natural_vector := (one,2,3,4);
constant C18 : positive_vector := (one,2,3,4);
constant C19 : boolean_cons_vector := (others => C1);
constant C20 : severity_level_cons_vector := (others => C4);
constant C21 : integer_cons_vector := (others => C5);
constant C22 : real_cons_vector := (others => C6);
constant C23 : time_cons_vector := (others => C7);
constant C24 : natural_cons_vector := (others => C8);
constant C25 : positive_cons_vector := (others => C9);
constant C26 : boolean_cons_vectorofvector := (others => (others => C1));
constant C27 : severity_level_cons_vectorofvector := (others => (others => C4));
constant C28 : integer_cons_vectorofvector := (others => (others => C5));
constant C29 : real_cons_vectorofvector := (others => (others => C6));
constant C30 : time_cons_vectorofvector := (others => (others => C7));
constant C31 : natural_cons_vectorofvector := (others => (others => C8));
constant C32 : positive_cons_vectorofvector := (others => (others => C9));
constant C50 : record_std_package := (C1,C2,C3,C4,C5,C6,C7,C8,C9,C10,C11);
constant C51 : record_cons_array := (C19,C20,C21,C22,C23,C24,C25);
constant C53 : record_cons_arrayofarray := (C26,C27,C28,C29,C30,C31,C32);
constant C70 : boolean_vector_st :=(others => C1);
constant C71 : severity_level_vector_st := (others => C4);
constant C72 : integer_vector_st:=(others => C5);
constant C73 : real_vector_st :=(others => C6);
constant C74 : time_vector_st :=(others => C7);
constant C75 : natural_vector_st:=(others => C8);
constant C76 : positive_vector_st:=(others => C9);
constant C77 : record_array_st := (C70,C71,C72,C73,C74,C75,C76);
constant C54a :record_array_st := (C70,C71,C72,C73,C74,C75,C76);
constant C54b: record_array_new := (C70,C71,C72,C73,C74,C75,C76);
constant C55 : record_of_records:= (C50,C51,C53,C77,C54b);
constant C60 : byte := (others => '0');
constant C61 : word := (others =>'0' );
constant C64 : primary_memory := (others => C61);
constant C65 : primary_memory_module := ('1',C64);
constant C66 : whole_memory := (others => C65);
constant C67 : current := 1 A;
constant C68 : resistance := 1 Ohm;
constant C69 : delay := 2;
constant C78: boolean_vector_range := (others => C1);
constant C79: severity_level_vector_range := (others => C4) ;
constant C80: integer_vector_range :=(others => C5) ;
constant C81: real_vector_range :=(others => C6);
constant C82: time_vector_range :=(others => C7);
constant C83: natural_vector_range :=(others => C8);
constant C84: positive_vector_range :=(others => C9);
constant C85: array_rec_std(0 to 7) :=(others => C50) ;
constant C86: array_rec_cons (0 to 7) :=(others => C51);
constant C88: array_rec_rec(0 to 7) :=(others => C55);
constant C102: record_of_arr_of_record := (C85,C86,C88);
signal V1 : boolean_vector(zero to fifteen) ;
signal V2 : severity_level_vector(zero to fifteen);
signal V3 : integer_vector(zero to fifteen) ;
signal V4 : real_vector(zero to fifteen) ;
signal V5 : time_vector (zero to fifteen);
signal V6 : natural_vector(zero to fifteen);
signal V7 : positive_vector(zero to fifteen);
signal V8 : boolean_cons_vector;
signal V9 : severity_level_cons_vector ;
signal V10 : integer_cons_vector;
signal V11 : real_cons_vector;
signal V12 : time_cons_vector ;
signal V13 : natural_cons_vector ;
signal V14 : positive_cons_vector ;
signal V15 : boolean_cons_vectorofvector ;
signal V16 : severity_level_cons_vectorofvector;
signal V17 : integer_cons_vectorofvector;
signal V18 : real_cons_vectorofvector;
signal V19 : time_cons_vectorofvector;
signal V20 : natural_cons_vectorofvector;
signal V21 : positive_cons_vectorofvector;
signal V22 : record_std_package;
signal V23 : record_cons_array ;
signal V24 : record_cons_arrayofarray ;
signal V25 : boolean_vector_st ;
signal V26 : severity_level_vector_st ;
signal V27 : integer_vector_st ;
signal V28 : real_vector_st ;
signal V29 : time_vector_st ;
signal V30 : natural_vector_st ;
signal V31 : positive_vector_st ;
signal V32 : record_array_st ;
signal V33 : record_array_st ;
signal V34 : record_array_new ;
signal V35 : record_of_records ;
signal V36 : byte ;
signal V37 : word ;
signal V41 : boolean_vector_range ;
signal V42 : severity_level_vector_range ;
signal V43 : integer_vector_range ;
signal V44 : real_vector_range ;
signal V45 : time_vector_range ;
signal V46 : natural_vector_range ;
signal V47 : positive_vector_range ;
signal V48 : array_rec_std(zero to seven) ;
signal V49 : array_rec_cons(zero to seven) ;
signal V50 : array_rec_rec(zero to seven) ;
signal V51 : record_of_arr_of_record ;
BEGIN
V1 <= (zero to fifteen => C1);
V2 <= (zero to fifteen => C4);
V3 <= (zero to fifteen => C5);
V4 <= (zero to fifteen => C6);
V5 <= (zero to fifteen => C7);
V6 <= (zero to fifteen => C8);
V7 <= (zero to fifteen => C9);
V8 <= C19;
V9 <= C20;
V10 <= C21;
V11 <= C22;
V12 <= C23;
V13 <= C24;
V14 <= C25;
V15 <= C26;
V16 <= C27;
V17 <= C28;
V18 <= C29;
V19 <= C30;
V20 <= C31;
V21 <= C32;
V22 <= C50;
V23 <= C51;
V24 <= C53;
V25 <= C70;
V26 <= C71;
V27 <= C72;
V28 <= C73;
V29 <= C74;
V30 <= C75;
V31 <= C76;
V32 <= C54a;
V33 <= C54a;
V34 <= C54b;
V35 <= C55;
V36 <= C60;
V37 <= C61;
V41 <= C78;
V42 <= C79;
V43 <= C80;
V44 <= C81;
V45 <= C82;
V46 <= C83;
V47 <= C84;
V48 <= C85;
V49 <= C86;
V50 <= C88;
V51 <= C102;
TESTING: PROCESS
BEGIN
wait for 1 ns;
assert (V1(0) = C1) report " error in initializing S1" severity error;
assert (V2(0) = C4) report " error in initializing S2" severity error;
assert (V3(0) = C5) report " error in initializing S3" severity error;
assert (V4(0) = C6) report " error in initializing S4" severity error;
assert (V5(0) = C7) report " error in initializing S5" severity error;
assert (V6(0) = C8) report " error in initializing S6" severity error;
assert (V7(0) = C9) report " error in initializing S7" severity error;
assert V8 = C19 report " error in initializing S8" severity error;
assert V9 = C20 report " error in initializing S9" severity error;
assert V10 = C21 report " error in initializing S10" severity error;
assert V11 = C22 report " error in initializing S11" severity error;
assert V12 = C23 report " error in initializing S12" severity error;
assert V13 = C24 report " error in initializing S13" severity error;
assert V14 = C25 report " error in initializing S14" severity error;
assert V15 = C26 report " error in initializing S15" severity error;
assert V16 = C27 report " error in initializing S16" severity error;
assert V17 = C28 report " error in initializing S17" severity error;
assert V18 = C29 report " error in initializing S18" severity error;
assert V19 = C30 report " error in initializing S19" severity error;
assert V20 = C31 report " error in initializing S20" severity error;
assert V21 = C32 report " error in initializing S21" severity error;
assert V22 = C50 report " error in initializing S22" severity error;
assert V23 = C51 report " error in initializing S23" severity error;
assert V24 = C53 report " error in initializing S24" severity error;
assert V25 = C70 report " error in initializing S25" severity error;
assert V26 = C71 report " error in initializing S26" severity error;
assert V27 = C72 report " error in initializing S27" severity error;
assert V28 = C73 report " error in initializing S28" severity error;
assert V29 = C74 report " error in initializing S29" severity error;
assert V30 = C75 report " error in initializing S30" severity error;
assert V31 = C76 report " error in initializing S31" severity error;
assert V32 = C54a report " error in initializing S32" severity error;
assert V33 = C54a report " error in initializing S33" severity error;
assert V34= C54b report " error in initializing S34" severity error;
assert V35 = C55 report " error in initializing S35" severity error;
assert V36 = C60 report " error in initializing S36" severity error;
assert V37 = C61 report " error in initializing S37" severity error;
assert V41= C78 report " error in initializing S41" severity error;
assert V42= C79 report " error in initializing S42" severity error;
assert V43= C80 report " error in initializing S43" severity error;
assert V44= C81 report " error in initializing S44" severity error;
assert V45= C82 report " error in initializing S45" severity error;
assert V46= C83 report " error in initializing S46" severity error;
assert V47= C84 report " error in initializing S47" severity error;
assert V48= C85 report " error in initializing S48" severity error;
assert V49= C86 report " error in initializing S49" severity error;
assert V50= C88 report " error in initializing S50" severity error;
assert V51= C102 report " error in initializing S51" severity error;
assert NOT( (V1(0) = C1) and
(V2(0) = C4) and
(V3(0) = C5) and
(V4(0) = C6) and
(V5(0) = C7) and
(V6(0) = C8) and
(V7(0) = C9) and
V8 = C19 and
V9 = C20 and
V10 = C21 and
V11 = C22 and
V12 = C23 and
V13 = C24 and
V14 = C25 and
V15 = C26 and
V16 = C27 and
V17 = C28 and
V18 = C29 and
V19 = C30 and
V20 = C31 and
V21 = C32 and
V22 = C50 and
V23 = C51 and
V24 = C53 and
V25 = C70 and
V26 = C71 and
V27 = C72 and
V28 = C73 and
V29 = C74 and
V30 = C75 and
V31 = C76 and
V32 = C54a and
V33 = C54a and
V34= C54b and
V35 = C55 and
V36 = C60 and
V37 = C61 and
V41= C78 and
V42= C79 and
V43= C80 and
V44= C81 and
V45= C82 and
V46= C83 and
V47= C84 and
V48= C85 and
V49= C86 and
V50= C88 and
V51= C102 )
report "***PASSED TEST: c01s01b01x01p05n02i00749"
severity NOTE;
assert ( (V1(0) = C1) and
(V2(0) = C4) and
(V3(0) = C5) and
(V4(0) = C6) and
(V5(0) = C7) and
(V6(0) = C8) and
(V7(0) = C9) and
V8 = C19 and
V9 = C20 and
V10 = C21 and
V11 = C22 and
V12 = C23 and
V13 = C24 and
V14 = C25 and
V15 = C26 and
V16 = C27 and
V17 = C28 and
V18 = C29 and
V19 = C30 and
V20 = C31 and
V21 = C32 and
V22 = C50 and
V23 = C51 and
V24 = C53 and
V25 = C70 and
V26 = C71 and
V27 = C72 and
V28 = C73 and
V29 = C74 and
V30 = C75 and
V31 = C76 and
V32 = C54a and
V33 = C54a and
V34= C54b and
V35 = C55 and
V36 = C60 and
V37 = C61 and
V41= C78 and
V42= C79 and
V43= C80 and
V44= C81 and
V45= C82 and
V46= C83 and
V47= C84 and
V48= C85 and
V49= C86 and
V50= C88 and
V51= C102 )
report "***FAILED TEST: c01s01b01x01p05n02i00749 - Generic can be used to specify the size of ports."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s01b01x01p05n02i00749arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc749.vhd,v 1.2 2001-10-26 16:29:59 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s01b01x01p05n02i00749ent IS
generic(
zero : integer := 0;
one : integer := 1;
two : integer := 2;
three: integer := 3;
four : integer := 4;
five : integer := 5;
six : integer := 6;
seven: integer := 7;
eight: integer := 8;
nine : integer := 9;
fifteen:integer:= 15;
C1 : boolean := true;
C2 : bit := '1';
C3 : character := 's';
C4 : severity_level:= note;
C5 : integer := 3;
C6 : real := 3.0;
C7 : time := 3 ns;
C8 : natural := 1;
C9 : positive := 1;
C10 : string := "shishir";
C11 : bit_vector := B"0011"
);
END c01s01b01x01p05n02i00749ent;
ARCHITECTURE c01s01b01x01p05n02i00749arch OF c01s01b01x01p05n02i00749ent IS
subtype hi_to_low_range is integer range zero to seven;
type boolean_vector is array (natural range <>) of boolean;
type severity_level_vector is array (natural range <>) of severity_level;
type integer_vector is array (natural range <>) of integer;
type real_vector is array (natural range <>) of real;
type time_vector is array (natural range <>) of time;
type natural_vector is array (natural range <>) of natural;
type positive_vector is array (natural range <>) of positive;
subtype boolean_vector_st is boolean_vector(zero to fifteen);
subtype severity_level_vector_st is severity_level_vector(zero to fifteen);
subtype integer_vector_st is integer_vector(zero to fifteen);
subtype real_vector_st is real_vector(zero to fifteen);
subtype time_vector_st is time_vector(zero to fifteen);
subtype natural_vector_st is natural_vector(zero to fifteen);
subtype positive_vector_st is positive_vector(zero to fifteen);
type boolean_cons_vector is array (fifteen downto zero) of boolean;
type severity_level_cons_vector is array (fifteen downto zero) of severity_level;
type integer_cons_vector is array (fifteen downto zero) of integer;
type real_cons_vector is array (fifteen downto zero) of real;
type time_cons_vector is array (fifteen downto zero) of time;
type natural_cons_vector is array (fifteen downto zero) of natural;
type positive_cons_vector is array (fifteen downto zero) of positive;
type boolean_cons_vectorofvector is array (zero to fifteen) of boolean_cons_vector;
type severity_level_cons_vectorofvector is array (zero to fifteen) of severity_level_cons_vector;
type integer_cons_vectorofvector is array (zero to fifteen) of integer_cons_vector
;
type real_cons_vectorofvector is array (zero to fifteen) of real_cons_vector;
type time_cons_vectorofvector is array (zero to fifteen) of time_cons_vector;
type natural_cons_vectorofvector is array (zero to fifteen) of natural_cons_vector;
type positive_cons_vectorofvector is array (zero to fifteen) of positive_cons_vector;
type record_std_package is record
a:boolean;
b:bit;
c:character;
d:severity_level;
e:integer;
f:real;
g:time;
h:natural;
i:positive;
j:string(one to seven);
k:bit_vector(zero to three);
end record;
type record_array_st is record
a:boolean_vector_st;
b:severity_level_vector_st;
c:integer_vector_st;
d:real_vector_st;
e:time_vector_st;
f:natural_vector_st;
g:positive_vector_st;
end record;
type record_cons_array is record
a:boolean_cons_vector;
b:severity_level_cons_vector;
c:integer_cons_vector;
d:real_cons_vector;
e:time_cons_vector;
f:natural_cons_vector;
g:positive_cons_vector;
end record;
type record_cons_arrayofarray is record
a:boolean_cons_vectorofvector;
b:severity_level_cons_vectorofvector;
c:integer_cons_vectorofvector;
d:real_cons_vectorofvector;
e:time_cons_vectorofvector;
f:natural_cons_vectorofvector;
g:positive_cons_vectorofvector;
end record;
type record_array_new is record
a:boolean_vector(zero to fifteen);
b:severity_level_vector(zero to fifteen);
c:integer_vector(zero to fifteen);
d:real_vector(zero to fifteen);
e:time_vector(zero to fifteen);
f:natural_vector(zero to fifteen);
g:positive_vector(zero to fifteen);
end record;
type record_of_records is record
a: record_std_package;
c: record_cons_array;
g: record_cons_arrayofarray;
i: record_array_st;
j: record_array_new;
end record;
subtype boolean_vector_range is boolean_vector(hi_to_low_range);
subtype severity_level_vector_range is severity_level_vector(hi_to_low_range);
subtype integer_vector_range is integer_vector(hi_to_low_range);
subtype real_vector_range is real_vector(hi_to_low_range);
subtype time_vector_range is time_vector(hi_to_low_range);
subtype natural_vector_range is natural_vector(hi_to_low_range);
subtype positive_vector_range is positive_vector(hi_to_low_range);
type array_rec_std is array (integer range <>) of record_std_package;
type array_rec_cons is array (integer range <>) of record_cons_array;
type array_rec_rec is array (integer range <>) of record_of_records;
subtype array_rec_std_st is array_rec_std (hi_to_low_range);
subtype array_rec_cons_st is array_rec_cons (hi_to_low_range);
subtype array_rec_rec_st is array_rec_rec (hi_to_low_range);
type record_of_arr_of_record is record
a: array_rec_std(zero to seven);
b: array_rec_cons(zero to seven);
c: array_rec_rec(zero to seven);
end record;
type current is range -2147483647 to +2147483647
units
nA;
uA = 1000 nA;
mA = 1000 uA;
A = 1000 mA;
end units;
type current_vector is array (natural range <>) of current;
subtype current_vector_range is current_vector(hi_to_low_range);
type resistance is range -2147483647 to +2147483647
units
uOhm;
mOhm = 1000 uOhm;
Ohm = 1000 mOhm;
KOhm = 1000 Ohm;
end units;
type resistance_vector is array (natural range <>) of resistance;
subtype resistance_vector_range is resistance_vector(hi_to_low_range);
type byte is array(zero to seven) of bit;
subtype word is bit_vector(zero to fifteen); --constrained array
constant size :integer := seven;
type primary_memory is array(zero to size) of word; --array of an array
type primary_memory_module is --record with field
record --as an array
enable:bit;
memory_number:primary_memory;
end record;
type whole_memory is array(0 to size) of primary_memory_module; --array of a complex record
subtype delay is integer range one to 10;
constant C12 : boolean_vector := (C1,false);
constant C13 : severity_level_vector := (C4,error);
constant C14 : integer_vector := (one,two,three,four);
constant C15 : real_vector := (1.0,2.0,C6,4.0);
constant C16 : time_vector := (1 ns, 2 ns,C7, 4 ns);
constant C17 : natural_vector := (one,2,3,4);
constant C18 : positive_vector := (one,2,3,4);
constant C19 : boolean_cons_vector := (others => C1);
constant C20 : severity_level_cons_vector := (others => C4);
constant C21 : integer_cons_vector := (others => C5);
constant C22 : real_cons_vector := (others => C6);
constant C23 : time_cons_vector := (others => C7);
constant C24 : natural_cons_vector := (others => C8);
constant C25 : positive_cons_vector := (others => C9);
constant C26 : boolean_cons_vectorofvector := (others => (others => C1));
constant C27 : severity_level_cons_vectorofvector := (others => (others => C4));
constant C28 : integer_cons_vectorofvector := (others => (others => C5));
constant C29 : real_cons_vectorofvector := (others => (others => C6));
constant C30 : time_cons_vectorofvector := (others => (others => C7));
constant C31 : natural_cons_vectorofvector := (others => (others => C8));
constant C32 : positive_cons_vectorofvector := (others => (others => C9));
constant C50 : record_std_package := (C1,C2,C3,C4,C5,C6,C7,C8,C9,C10,C11);
constant C51 : record_cons_array := (C19,C20,C21,C22,C23,C24,C25);
constant C53 : record_cons_arrayofarray := (C26,C27,C28,C29,C30,C31,C32);
constant C70 : boolean_vector_st :=(others => C1);
constant C71 : severity_level_vector_st := (others => C4);
constant C72 : integer_vector_st:=(others => C5);
constant C73 : real_vector_st :=(others => C6);
constant C74 : time_vector_st :=(others => C7);
constant C75 : natural_vector_st:=(others => C8);
constant C76 : positive_vector_st:=(others => C9);
constant C77 : record_array_st := (C70,C71,C72,C73,C74,C75,C76);
constant C54a :record_array_st := (C70,C71,C72,C73,C74,C75,C76);
constant C54b: record_array_new := (C70,C71,C72,C73,C74,C75,C76);
constant C55 : record_of_records:= (C50,C51,C53,C77,C54b);
constant C60 : byte := (others => '0');
constant C61 : word := (others =>'0' );
constant C64 : primary_memory := (others => C61);
constant C65 : primary_memory_module := ('1',C64);
constant C66 : whole_memory := (others => C65);
constant C67 : current := 1 A;
constant C68 : resistance := 1 Ohm;
constant C69 : delay := 2;
constant C78: boolean_vector_range := (others => C1);
constant C79: severity_level_vector_range := (others => C4) ;
constant C80: integer_vector_range :=(others => C5) ;
constant C81: real_vector_range :=(others => C6);
constant C82: time_vector_range :=(others => C7);
constant C83: natural_vector_range :=(others => C8);
constant C84: positive_vector_range :=(others => C9);
constant C85: array_rec_std(0 to 7) :=(others => C50) ;
constant C86: array_rec_cons (0 to 7) :=(others => C51);
constant C88: array_rec_rec(0 to 7) :=(others => C55);
constant C102: record_of_arr_of_record := (C85,C86,C88);
signal V1 : boolean_vector(zero to fifteen) ;
signal V2 : severity_level_vector(zero to fifteen);
signal V3 : integer_vector(zero to fifteen) ;
signal V4 : real_vector(zero to fifteen) ;
signal V5 : time_vector (zero to fifteen);
signal V6 : natural_vector(zero to fifteen);
signal V7 : positive_vector(zero to fifteen);
signal V8 : boolean_cons_vector;
signal V9 : severity_level_cons_vector ;
signal V10 : integer_cons_vector;
signal V11 : real_cons_vector;
signal V12 : time_cons_vector ;
signal V13 : natural_cons_vector ;
signal V14 : positive_cons_vector ;
signal V15 : boolean_cons_vectorofvector ;
signal V16 : severity_level_cons_vectorofvector;
signal V17 : integer_cons_vectorofvector;
signal V18 : real_cons_vectorofvector;
signal V19 : time_cons_vectorofvector;
signal V20 : natural_cons_vectorofvector;
signal V21 : positive_cons_vectorofvector;
signal V22 : record_std_package;
signal V23 : record_cons_array ;
signal V24 : record_cons_arrayofarray ;
signal V25 : boolean_vector_st ;
signal V26 : severity_level_vector_st ;
signal V27 : integer_vector_st ;
signal V28 : real_vector_st ;
signal V29 : time_vector_st ;
signal V30 : natural_vector_st ;
signal V31 : positive_vector_st ;
signal V32 : record_array_st ;
signal V33 : record_array_st ;
signal V34 : record_array_new ;
signal V35 : record_of_records ;
signal V36 : byte ;
signal V37 : word ;
signal V41 : boolean_vector_range ;
signal V42 : severity_level_vector_range ;
signal V43 : integer_vector_range ;
signal V44 : real_vector_range ;
signal V45 : time_vector_range ;
signal V46 : natural_vector_range ;
signal V47 : positive_vector_range ;
signal V48 : array_rec_std(zero to seven) ;
signal V49 : array_rec_cons(zero to seven) ;
signal V50 : array_rec_rec(zero to seven) ;
signal V51 : record_of_arr_of_record ;
BEGIN
V1 <= (zero to fifteen => C1);
V2 <= (zero to fifteen => C4);
V3 <= (zero to fifteen => C5);
V4 <= (zero to fifteen => C6);
V5 <= (zero to fifteen => C7);
V6 <= (zero to fifteen => C8);
V7 <= (zero to fifteen => C9);
V8 <= C19;
V9 <= C20;
V10 <= C21;
V11 <= C22;
V12 <= C23;
V13 <= C24;
V14 <= C25;
V15 <= C26;
V16 <= C27;
V17 <= C28;
V18 <= C29;
V19 <= C30;
V20 <= C31;
V21 <= C32;
V22 <= C50;
V23 <= C51;
V24 <= C53;
V25 <= C70;
V26 <= C71;
V27 <= C72;
V28 <= C73;
V29 <= C74;
V30 <= C75;
V31 <= C76;
V32 <= C54a;
V33 <= C54a;
V34 <= C54b;
V35 <= C55;
V36 <= C60;
V37 <= C61;
V41 <= C78;
V42 <= C79;
V43 <= C80;
V44 <= C81;
V45 <= C82;
V46 <= C83;
V47 <= C84;
V48 <= C85;
V49 <= C86;
V50 <= C88;
V51 <= C102;
TESTING: PROCESS
BEGIN
wait for 1 ns;
assert (V1(0) = C1) report " error in initializing S1" severity error;
assert (V2(0) = C4) report " error in initializing S2" severity error;
assert (V3(0) = C5) report " error in initializing S3" severity error;
assert (V4(0) = C6) report " error in initializing S4" severity error;
assert (V5(0) = C7) report " error in initializing S5" severity error;
assert (V6(0) = C8) report " error in initializing S6" severity error;
assert (V7(0) = C9) report " error in initializing S7" severity error;
assert V8 = C19 report " error in initializing S8" severity error;
assert V9 = C20 report " error in initializing S9" severity error;
assert V10 = C21 report " error in initializing S10" severity error;
assert V11 = C22 report " error in initializing S11" severity error;
assert V12 = C23 report " error in initializing S12" severity error;
assert V13 = C24 report " error in initializing S13" severity error;
assert V14 = C25 report " error in initializing S14" severity error;
assert V15 = C26 report " error in initializing S15" severity error;
assert V16 = C27 report " error in initializing S16" severity error;
assert V17 = C28 report " error in initializing S17" severity error;
assert V18 = C29 report " error in initializing S18" severity error;
assert V19 = C30 report " error in initializing S19" severity error;
assert V20 = C31 report " error in initializing S20" severity error;
assert V21 = C32 report " error in initializing S21" severity error;
assert V22 = C50 report " error in initializing S22" severity error;
assert V23 = C51 report " error in initializing S23" severity error;
assert V24 = C53 report " error in initializing S24" severity error;
assert V25 = C70 report " error in initializing S25" severity error;
assert V26 = C71 report " error in initializing S26" severity error;
assert V27 = C72 report " error in initializing S27" severity error;
assert V28 = C73 report " error in initializing S28" severity error;
assert V29 = C74 report " error in initializing S29" severity error;
assert V30 = C75 report " error in initializing S30" severity error;
assert V31 = C76 report " error in initializing S31" severity error;
assert V32 = C54a report " error in initializing S32" severity error;
assert V33 = C54a report " error in initializing S33" severity error;
assert V34= C54b report " error in initializing S34" severity error;
assert V35 = C55 report " error in initializing S35" severity error;
assert V36 = C60 report " error in initializing S36" severity error;
assert V37 = C61 report " error in initializing S37" severity error;
assert V41= C78 report " error in initializing S41" severity error;
assert V42= C79 report " error in initializing S42" severity error;
assert V43= C80 report " error in initializing S43" severity error;
assert V44= C81 report " error in initializing S44" severity error;
assert V45= C82 report " error in initializing S45" severity error;
assert V46= C83 report " error in initializing S46" severity error;
assert V47= C84 report " error in initializing S47" severity error;
assert V48= C85 report " error in initializing S48" severity error;
assert V49= C86 report " error in initializing S49" severity error;
assert V50= C88 report " error in initializing S50" severity error;
assert V51= C102 report " error in initializing S51" severity error;
assert NOT( (V1(0) = C1) and
(V2(0) = C4) and
(V3(0) = C5) and
(V4(0) = C6) and
(V5(0) = C7) and
(V6(0) = C8) and
(V7(0) = C9) and
V8 = C19 and
V9 = C20 and
V10 = C21 and
V11 = C22 and
V12 = C23 and
V13 = C24 and
V14 = C25 and
V15 = C26 and
V16 = C27 and
V17 = C28 and
V18 = C29 and
V19 = C30 and
V20 = C31 and
V21 = C32 and
V22 = C50 and
V23 = C51 and
V24 = C53 and
V25 = C70 and
V26 = C71 and
V27 = C72 and
V28 = C73 and
V29 = C74 and
V30 = C75 and
V31 = C76 and
V32 = C54a and
V33 = C54a and
V34= C54b and
V35 = C55 and
V36 = C60 and
V37 = C61 and
V41= C78 and
V42= C79 and
V43= C80 and
V44= C81 and
V45= C82 and
V46= C83 and
V47= C84 and
V48= C85 and
V49= C86 and
V50= C88 and
V51= C102 )
report "***PASSED TEST: c01s01b01x01p05n02i00749"
severity NOTE;
assert ( (V1(0) = C1) and
(V2(0) = C4) and
(V3(0) = C5) and
(V4(0) = C6) and
(V5(0) = C7) and
(V6(0) = C8) and
(V7(0) = C9) and
V8 = C19 and
V9 = C20 and
V10 = C21 and
V11 = C22 and
V12 = C23 and
V13 = C24 and
V14 = C25 and
V15 = C26 and
V16 = C27 and
V17 = C28 and
V18 = C29 and
V19 = C30 and
V20 = C31 and
V21 = C32 and
V22 = C50 and
V23 = C51 and
V24 = C53 and
V25 = C70 and
V26 = C71 and
V27 = C72 and
V28 = C73 and
V29 = C74 and
V30 = C75 and
V31 = C76 and
V32 = C54a and
V33 = C54a and
V34= C54b and
V35 = C55 and
V36 = C60 and
V37 = C61 and
V41= C78 and
V42= C79 and
V43= C80 and
V44= C81 and
V45= C82 and
V46= C83 and
V47= C84 and
V48= C85 and
V49= C86 and
V50= C88 and
V51= C102 )
report "***FAILED TEST: c01s01b01x01p05n02i00749 - Generic can be used to specify the size of ports."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s01b01x01p05n02i00749arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc749.vhd,v 1.2 2001-10-26 16:29:59 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s01b01x01p05n02i00749ent IS
generic(
zero : integer := 0;
one : integer := 1;
two : integer := 2;
three: integer := 3;
four : integer := 4;
five : integer := 5;
six : integer := 6;
seven: integer := 7;
eight: integer := 8;
nine : integer := 9;
fifteen:integer:= 15;
C1 : boolean := true;
C2 : bit := '1';
C3 : character := 's';
C4 : severity_level:= note;
C5 : integer := 3;
C6 : real := 3.0;
C7 : time := 3 ns;
C8 : natural := 1;
C9 : positive := 1;
C10 : string := "shishir";
C11 : bit_vector := B"0011"
);
END c01s01b01x01p05n02i00749ent;
ARCHITECTURE c01s01b01x01p05n02i00749arch OF c01s01b01x01p05n02i00749ent IS
subtype hi_to_low_range is integer range zero to seven;
type boolean_vector is array (natural range <>) of boolean;
type severity_level_vector is array (natural range <>) of severity_level;
type integer_vector is array (natural range <>) of integer;
type real_vector is array (natural range <>) of real;
type time_vector is array (natural range <>) of time;
type natural_vector is array (natural range <>) of natural;
type positive_vector is array (natural range <>) of positive;
subtype boolean_vector_st is boolean_vector(zero to fifteen);
subtype severity_level_vector_st is severity_level_vector(zero to fifteen);
subtype integer_vector_st is integer_vector(zero to fifteen);
subtype real_vector_st is real_vector(zero to fifteen);
subtype time_vector_st is time_vector(zero to fifteen);
subtype natural_vector_st is natural_vector(zero to fifteen);
subtype positive_vector_st is positive_vector(zero to fifteen);
type boolean_cons_vector is array (fifteen downto zero) of boolean;
type severity_level_cons_vector is array (fifteen downto zero) of severity_level;
type integer_cons_vector is array (fifteen downto zero) of integer;
type real_cons_vector is array (fifteen downto zero) of real;
type time_cons_vector is array (fifteen downto zero) of time;
type natural_cons_vector is array (fifteen downto zero) of natural;
type positive_cons_vector is array (fifteen downto zero) of positive;
type boolean_cons_vectorofvector is array (zero to fifteen) of boolean_cons_vector;
type severity_level_cons_vectorofvector is array (zero to fifteen) of severity_level_cons_vector;
type integer_cons_vectorofvector is array (zero to fifteen) of integer_cons_vector
;
type real_cons_vectorofvector is array (zero to fifteen) of real_cons_vector;
type time_cons_vectorofvector is array (zero to fifteen) of time_cons_vector;
type natural_cons_vectorofvector is array (zero to fifteen) of natural_cons_vector;
type positive_cons_vectorofvector is array (zero to fifteen) of positive_cons_vector;
type record_std_package is record
a:boolean;
b:bit;
c:character;
d:severity_level;
e:integer;
f:real;
g:time;
h:natural;
i:positive;
j:string(one to seven);
k:bit_vector(zero to three);
end record;
type record_array_st is record
a:boolean_vector_st;
b:severity_level_vector_st;
c:integer_vector_st;
d:real_vector_st;
e:time_vector_st;
f:natural_vector_st;
g:positive_vector_st;
end record;
type record_cons_array is record
a:boolean_cons_vector;
b:severity_level_cons_vector;
c:integer_cons_vector;
d:real_cons_vector;
e:time_cons_vector;
f:natural_cons_vector;
g:positive_cons_vector;
end record;
type record_cons_arrayofarray is record
a:boolean_cons_vectorofvector;
b:severity_level_cons_vectorofvector;
c:integer_cons_vectorofvector;
d:real_cons_vectorofvector;
e:time_cons_vectorofvector;
f:natural_cons_vectorofvector;
g:positive_cons_vectorofvector;
end record;
type record_array_new is record
a:boolean_vector(zero to fifteen);
b:severity_level_vector(zero to fifteen);
c:integer_vector(zero to fifteen);
d:real_vector(zero to fifteen);
e:time_vector(zero to fifteen);
f:natural_vector(zero to fifteen);
g:positive_vector(zero to fifteen);
end record;
type record_of_records is record
a: record_std_package;
c: record_cons_array;
g: record_cons_arrayofarray;
i: record_array_st;
j: record_array_new;
end record;
subtype boolean_vector_range is boolean_vector(hi_to_low_range);
subtype severity_level_vector_range is severity_level_vector(hi_to_low_range);
subtype integer_vector_range is integer_vector(hi_to_low_range);
subtype real_vector_range is real_vector(hi_to_low_range);
subtype time_vector_range is time_vector(hi_to_low_range);
subtype natural_vector_range is natural_vector(hi_to_low_range);
subtype positive_vector_range is positive_vector(hi_to_low_range);
type array_rec_std is array (integer range <>) of record_std_package;
type array_rec_cons is array (integer range <>) of record_cons_array;
type array_rec_rec is array (integer range <>) of record_of_records;
subtype array_rec_std_st is array_rec_std (hi_to_low_range);
subtype array_rec_cons_st is array_rec_cons (hi_to_low_range);
subtype array_rec_rec_st is array_rec_rec (hi_to_low_range);
type record_of_arr_of_record is record
a: array_rec_std(zero to seven);
b: array_rec_cons(zero to seven);
c: array_rec_rec(zero to seven);
end record;
type current is range -2147483647 to +2147483647
units
nA;
uA = 1000 nA;
mA = 1000 uA;
A = 1000 mA;
end units;
type current_vector is array (natural range <>) of current;
subtype current_vector_range is current_vector(hi_to_low_range);
type resistance is range -2147483647 to +2147483647
units
uOhm;
mOhm = 1000 uOhm;
Ohm = 1000 mOhm;
KOhm = 1000 Ohm;
end units;
type resistance_vector is array (natural range <>) of resistance;
subtype resistance_vector_range is resistance_vector(hi_to_low_range);
type byte is array(zero to seven) of bit;
subtype word is bit_vector(zero to fifteen); --constrained array
constant size :integer := seven;
type primary_memory is array(zero to size) of word; --array of an array
type primary_memory_module is --record with field
record --as an array
enable:bit;
memory_number:primary_memory;
end record;
type whole_memory is array(0 to size) of primary_memory_module; --array of a complex record
subtype delay is integer range one to 10;
constant C12 : boolean_vector := (C1,false);
constant C13 : severity_level_vector := (C4,error);
constant C14 : integer_vector := (one,two,three,four);
constant C15 : real_vector := (1.0,2.0,C6,4.0);
constant C16 : time_vector := (1 ns, 2 ns,C7, 4 ns);
constant C17 : natural_vector := (one,2,3,4);
constant C18 : positive_vector := (one,2,3,4);
constant C19 : boolean_cons_vector := (others => C1);
constant C20 : severity_level_cons_vector := (others => C4);
constant C21 : integer_cons_vector := (others => C5);
constant C22 : real_cons_vector := (others => C6);
constant C23 : time_cons_vector := (others => C7);
constant C24 : natural_cons_vector := (others => C8);
constant C25 : positive_cons_vector := (others => C9);
constant C26 : boolean_cons_vectorofvector := (others => (others => C1));
constant C27 : severity_level_cons_vectorofvector := (others => (others => C4));
constant C28 : integer_cons_vectorofvector := (others => (others => C5));
constant C29 : real_cons_vectorofvector := (others => (others => C6));
constant C30 : time_cons_vectorofvector := (others => (others => C7));
constant C31 : natural_cons_vectorofvector := (others => (others => C8));
constant C32 : positive_cons_vectorofvector := (others => (others => C9));
constant C50 : record_std_package := (C1,C2,C3,C4,C5,C6,C7,C8,C9,C10,C11);
constant C51 : record_cons_array := (C19,C20,C21,C22,C23,C24,C25);
constant C53 : record_cons_arrayofarray := (C26,C27,C28,C29,C30,C31,C32);
constant C70 : boolean_vector_st :=(others => C1);
constant C71 : severity_level_vector_st := (others => C4);
constant C72 : integer_vector_st:=(others => C5);
constant C73 : real_vector_st :=(others => C6);
constant C74 : time_vector_st :=(others => C7);
constant C75 : natural_vector_st:=(others => C8);
constant C76 : positive_vector_st:=(others => C9);
constant C77 : record_array_st := (C70,C71,C72,C73,C74,C75,C76);
constant C54a :record_array_st := (C70,C71,C72,C73,C74,C75,C76);
constant C54b: record_array_new := (C70,C71,C72,C73,C74,C75,C76);
constant C55 : record_of_records:= (C50,C51,C53,C77,C54b);
constant C60 : byte := (others => '0');
constant C61 : word := (others =>'0' );
constant C64 : primary_memory := (others => C61);
constant C65 : primary_memory_module := ('1',C64);
constant C66 : whole_memory := (others => C65);
constant C67 : current := 1 A;
constant C68 : resistance := 1 Ohm;
constant C69 : delay := 2;
constant C78: boolean_vector_range := (others => C1);
constant C79: severity_level_vector_range := (others => C4) ;
constant C80: integer_vector_range :=(others => C5) ;
constant C81: real_vector_range :=(others => C6);
constant C82: time_vector_range :=(others => C7);
constant C83: natural_vector_range :=(others => C8);
constant C84: positive_vector_range :=(others => C9);
constant C85: array_rec_std(0 to 7) :=(others => C50) ;
constant C86: array_rec_cons (0 to 7) :=(others => C51);
constant C88: array_rec_rec(0 to 7) :=(others => C55);
constant C102: record_of_arr_of_record := (C85,C86,C88);
signal V1 : boolean_vector(zero to fifteen) ;
signal V2 : severity_level_vector(zero to fifteen);
signal V3 : integer_vector(zero to fifteen) ;
signal V4 : real_vector(zero to fifteen) ;
signal V5 : time_vector (zero to fifteen);
signal V6 : natural_vector(zero to fifteen);
signal V7 : positive_vector(zero to fifteen);
signal V8 : boolean_cons_vector;
signal V9 : severity_level_cons_vector ;
signal V10 : integer_cons_vector;
signal V11 : real_cons_vector;
signal V12 : time_cons_vector ;
signal V13 : natural_cons_vector ;
signal V14 : positive_cons_vector ;
signal V15 : boolean_cons_vectorofvector ;
signal V16 : severity_level_cons_vectorofvector;
signal V17 : integer_cons_vectorofvector;
signal V18 : real_cons_vectorofvector;
signal V19 : time_cons_vectorofvector;
signal V20 : natural_cons_vectorofvector;
signal V21 : positive_cons_vectorofvector;
signal V22 : record_std_package;
signal V23 : record_cons_array ;
signal V24 : record_cons_arrayofarray ;
signal V25 : boolean_vector_st ;
signal V26 : severity_level_vector_st ;
signal V27 : integer_vector_st ;
signal V28 : real_vector_st ;
signal V29 : time_vector_st ;
signal V30 : natural_vector_st ;
signal V31 : positive_vector_st ;
signal V32 : record_array_st ;
signal V33 : record_array_st ;
signal V34 : record_array_new ;
signal V35 : record_of_records ;
signal V36 : byte ;
signal V37 : word ;
signal V41 : boolean_vector_range ;
signal V42 : severity_level_vector_range ;
signal V43 : integer_vector_range ;
signal V44 : real_vector_range ;
signal V45 : time_vector_range ;
signal V46 : natural_vector_range ;
signal V47 : positive_vector_range ;
signal V48 : array_rec_std(zero to seven) ;
signal V49 : array_rec_cons(zero to seven) ;
signal V50 : array_rec_rec(zero to seven) ;
signal V51 : record_of_arr_of_record ;
BEGIN
V1 <= (zero to fifteen => C1);
V2 <= (zero to fifteen => C4);
V3 <= (zero to fifteen => C5);
V4 <= (zero to fifteen => C6);
V5 <= (zero to fifteen => C7);
V6 <= (zero to fifteen => C8);
V7 <= (zero to fifteen => C9);
V8 <= C19;
V9 <= C20;
V10 <= C21;
V11 <= C22;
V12 <= C23;
V13 <= C24;
V14 <= C25;
V15 <= C26;
V16 <= C27;
V17 <= C28;
V18 <= C29;
V19 <= C30;
V20 <= C31;
V21 <= C32;
V22 <= C50;
V23 <= C51;
V24 <= C53;
V25 <= C70;
V26 <= C71;
V27 <= C72;
V28 <= C73;
V29 <= C74;
V30 <= C75;
V31 <= C76;
V32 <= C54a;
V33 <= C54a;
V34 <= C54b;
V35 <= C55;
V36 <= C60;
V37 <= C61;
V41 <= C78;
V42 <= C79;
V43 <= C80;
V44 <= C81;
V45 <= C82;
V46 <= C83;
V47 <= C84;
V48 <= C85;
V49 <= C86;
V50 <= C88;
V51 <= C102;
TESTING: PROCESS
BEGIN
wait for 1 ns;
assert (V1(0) = C1) report " error in initializing S1" severity error;
assert (V2(0) = C4) report " error in initializing S2" severity error;
assert (V3(0) = C5) report " error in initializing S3" severity error;
assert (V4(0) = C6) report " error in initializing S4" severity error;
assert (V5(0) = C7) report " error in initializing S5" severity error;
assert (V6(0) = C8) report " error in initializing S6" severity error;
assert (V7(0) = C9) report " error in initializing S7" severity error;
assert V8 = C19 report " error in initializing S8" severity error;
assert V9 = C20 report " error in initializing S9" severity error;
assert V10 = C21 report " error in initializing S10" severity error;
assert V11 = C22 report " error in initializing S11" severity error;
assert V12 = C23 report " error in initializing S12" severity error;
assert V13 = C24 report " error in initializing S13" severity error;
assert V14 = C25 report " error in initializing S14" severity error;
assert V15 = C26 report " error in initializing S15" severity error;
assert V16 = C27 report " error in initializing S16" severity error;
assert V17 = C28 report " error in initializing S17" severity error;
assert V18 = C29 report " error in initializing S18" severity error;
assert V19 = C30 report " error in initializing S19" severity error;
assert V20 = C31 report " error in initializing S20" severity error;
assert V21 = C32 report " error in initializing S21" severity error;
assert V22 = C50 report " error in initializing S22" severity error;
assert V23 = C51 report " error in initializing S23" severity error;
assert V24 = C53 report " error in initializing S24" severity error;
assert V25 = C70 report " error in initializing S25" severity error;
assert V26 = C71 report " error in initializing S26" severity error;
assert V27 = C72 report " error in initializing S27" severity error;
assert V28 = C73 report " error in initializing S28" severity error;
assert V29 = C74 report " error in initializing S29" severity error;
assert V30 = C75 report " error in initializing S30" severity error;
assert V31 = C76 report " error in initializing S31" severity error;
assert V32 = C54a report " error in initializing S32" severity error;
assert V33 = C54a report " error in initializing S33" severity error;
assert V34= C54b report " error in initializing S34" severity error;
assert V35 = C55 report " error in initializing S35" severity error;
assert V36 = C60 report " error in initializing S36" severity error;
assert V37 = C61 report " error in initializing S37" severity error;
assert V41= C78 report " error in initializing S41" severity error;
assert V42= C79 report " error in initializing S42" severity error;
assert V43= C80 report " error in initializing S43" severity error;
assert V44= C81 report " error in initializing S44" severity error;
assert V45= C82 report " error in initializing S45" severity error;
assert V46= C83 report " error in initializing S46" severity error;
assert V47= C84 report " error in initializing S47" severity error;
assert V48= C85 report " error in initializing S48" severity error;
assert V49= C86 report " error in initializing S49" severity error;
assert V50= C88 report " error in initializing S50" severity error;
assert V51= C102 report " error in initializing S51" severity error;
assert NOT( (V1(0) = C1) and
(V2(0) = C4) and
(V3(0) = C5) and
(V4(0) = C6) and
(V5(0) = C7) and
(V6(0) = C8) and
(V7(0) = C9) and
V8 = C19 and
V9 = C20 and
V10 = C21 and
V11 = C22 and
V12 = C23 and
V13 = C24 and
V14 = C25 and
V15 = C26 and
V16 = C27 and
V17 = C28 and
V18 = C29 and
V19 = C30 and
V20 = C31 and
V21 = C32 and
V22 = C50 and
V23 = C51 and
V24 = C53 and
V25 = C70 and
V26 = C71 and
V27 = C72 and
V28 = C73 and
V29 = C74 and
V30 = C75 and
V31 = C76 and
V32 = C54a and
V33 = C54a and
V34= C54b and
V35 = C55 and
V36 = C60 and
V37 = C61 and
V41= C78 and
V42= C79 and
V43= C80 and
V44= C81 and
V45= C82 and
V46= C83 and
V47= C84 and
V48= C85 and
V49= C86 and
V50= C88 and
V51= C102 )
report "***PASSED TEST: c01s01b01x01p05n02i00749"
severity NOTE;
assert ( (V1(0) = C1) and
(V2(0) = C4) and
(V3(0) = C5) and
(V4(0) = C6) and
(V5(0) = C7) and
(V6(0) = C8) and
(V7(0) = C9) and
V8 = C19 and
V9 = C20 and
V10 = C21 and
V11 = C22 and
V12 = C23 and
V13 = C24 and
V14 = C25 and
V15 = C26 and
V16 = C27 and
V17 = C28 and
V18 = C29 and
V19 = C30 and
V20 = C31 and
V21 = C32 and
V22 = C50 and
V23 = C51 and
V24 = C53 and
V25 = C70 and
V26 = C71 and
V27 = C72 and
V28 = C73 and
V29 = C74 and
V30 = C75 and
V31 = C76 and
V32 = C54a and
V33 = C54a and
V34= C54b and
V35 = C55 and
V36 = C60 and
V37 = C61 and
V41= C78 and
V42= C79 and
V43= C80 and
V44= C81 and
V45= C82 and
V46= C83 and
V47= C84 and
V48= C85 and
V49= C86 and
V50= C88 and
V51= C102 )
report "***FAILED TEST: c01s01b01x01p05n02i00749 - Generic can be used to specify the size of ports."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s01b01x01p05n02i00749arch;
|
entity And2 is
generic (delay: DELAY_LENGTH)
-- DELAY_LENGTH is a subtype: time range 0 fs to highest_time in the system
port (x, y: in BIT; z: out BIT);
end entity And2;
architecture arch2 of And2 is
begin
z <= x and y after delay;
end architecture arch2;
-- When gate is used in a netlist, a value is passed to the model using a generic map
g2: entity WORK.And2(arch2) generic map(5 ns) port map(p, b, q);
-- Or the non-positional form can be used
g2: entity WORK.And2(arch2) generic map(delay => 5ns) port map (z=>q, x=>p, y=>b);
-- Omit generic map if default value is used
generic(delay: DELAY_LENGTH := 5 ns);
-- Using defaults
g2: entity WORK.And2(arch2) port map(p, b, q); -- 5ns is passed to delay
-- Or like this
g2: entity WORK.And2(arch2) generic map(3 ns) port map(p, b, q);
-- the default value will be used if reserved word "open" is used:
g2: entity WORK.And2(arch2) generic map(open) port map(p, b, q);
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
configuration b_config of b is
for b_archi2
--
end for;
end configuration b_config;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
ENTITY test_bcd_display IS
END test_bcd_display;
ARCHITECTURE behavior OF test_bcd_display IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT bcd_display
PORT(
clk : in std_logic;
masterReset : in std_logic;
byte_in : in STD_LOGIC_VECTOR(7 downto 0);
bcd_val : out STD_LOGIC_VECTOR(11 downto 0)
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
signal masterReset : std_logic := '0';
signal byte_in : std_logic_vector(7 downto 0) := (others => '0');
--Outputs
signal bcd_val : std_logic_vector(11 downto 0) := (others => '0');
-- Clock period definitions
constant clk_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: bcd_display PORT MAP (
clk => clk,
masterReset => masterReset,
byte_in => byte_in,
bcd_val => bcd_val
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
process (masterReset, clk) begin
if (masterReset = '1') then
byte_in <= (others => '0');
elsif (clk'event and clk = '1') then
byte_in <= byte_in + '1';
end if;
end process;
END;
|
-- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of inst_ea_e
--
-- Generated
-- by: wig
-- on: Mon Mar 22 13:27:29 2004
-- cmd: H:\work\mix_new\mix\mix_0.pl -strip -nodelta ../../mde_tests.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_ea_e-rtl-a.vhd,v 1.3 2006/06/26 08:39:43 wig Exp $
-- $Date: 2006/06/26 08:39:43 $
-- $Log: inst_ea_e-rtl-a.vhd,v $
-- Revision 1.3 2006/06/26 08:39:43 wig
-- Update more testcases (up to generic)
--
-- Revision 1.1 2004/04/06 10:50:21 wig
-- Adding result/mde_tests
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.37 2003/12/23 13:25:21 abauer Exp
--
-- Generator: mix_0.pl Revision: 1.26 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of inst_ea_e
--
architecture rtl of inst_ea_e is
-- Generated Constant Declarations
--
-- Components
--
-- Generated Components
component inst_eaa_e --
-- No Generated Generics
port (
-- Generated Port for Entity inst_eaa_e
mbist_clut_fail_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
mbist_fifo_fail_o : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL
reset_n : in std_ulogic;
reset_n_s : in std_ulogic
-- End of Generated Port for Entity inst_eaa_e
);
end component;
-- ---------
component inst_eab_e --
-- No Generated Generics
-- Generated Generics for Entity inst_eab_e
-- End of Generated Generics for Entity inst_eab_e
port (
-- Generated Port for Entity inst_eab_e
nreset : in std_ulogic;
nreset_s : in std_ulogic;
v_select : in std_ulogic_vector(5 downto 0)
-- End of Generated Port for Entity inst_eab_e
);
end component;
-- ---------
component inst_eac_e --
-- No Generated Generics
-- Generated Generics for Entity inst_eac_e
-- End of Generated Generics for Entity inst_eac_e
port (
-- Generated Port for Entity inst_eac_e
adp_bist_fail : out std_ulogic;
cp_laddr : in std_ulogic_vector(31 downto 0);
cp_lcmd : in std_ulogic_vector(6 downto 0);
cpu_bist_fail : out std_ulogic;
cvi_sbist_fail0 : in std_ulogic;
cvi_sbist_fail1 : in std_ulogic;
ema_bist_fail : out std_ulogic;
ga_sbist_fail0 : in std_ulogic;
ga_sbist_fail1 : in std_ulogic;
gpio_int : out std_ulogic_vector(4 downto 0);
ifu_bist_fail : out std_ulogic;
mcu_bist_fail : out std_ulogic;
nreset : in std_ulogic;
nreset_s : in std_ulogic;
pdu_bist_fail0 : out std_ulogic;
pdu_bist_fail1 : out std_ulogic;
tmu_dac_reset : out std_ulogic;
tsd_bist_fail : out std_ulogic
-- End of Generated Port for Entity inst_eac_e
);
end component;
-- ---------
--
-- Nets
--
--
-- Generated Signal List
--
signal mix_logic0_0 : std_ulogic;
signal mix_logic0_2 : std_ulogic;
signal mix_logic0_bus_1 : std_ulogic_vector(5 downto 0);
signal cp_laddr : std_ulogic_vector(31 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal cp_lcmd : std_ulogic_vector(6 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal gpio_int : std_ulogic_vector(4 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal nreset : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal nreset_s : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal tmi_sbist_fail : std_ulogic_vector(12 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal tmu_dac_reset : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal v_select : std_ulogic_vector(5 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
-- Generated Signal Assignments
mix_logic0_0 <= '0';
mix_logic0_2 <= '0';
mix_logic0_bus_1 <= ( others => '0' );
cp_laddr(31 downto 1) <= p_mix_cp_laddr_31_1_gi(30 downto 0); -- __I_I_SLICE_PORT
cp_lcmd(6) <= p_mix_cp_lcmd_6_6_gi; -- __I_I_SLICE_PORT -- __W_SINGLE_BIT_SLICE
p_mix_gpio_int_4_0_go <= gpio_int; -- __I_O_BUS_PORT
nreset <= p_mix_nreset_gi; -- __I_I_BIT_PORT
nreset_s <= p_mix_nreset_s_gi; -- __I_I_BIT_PORT
tmi_sbist_fail(11 downto 10) <= p_mix_tmi_sbist_fail_11_10_gi(1 downto 0); -- __I_I_SLICE_PORT
p_mix_tmi_sbist_fail_9_0_go(9 downto 0) <= tmi_sbist_fail(9 downto 0); -- __I_O_SLICE_PORT
p_mix_tmu_dac_reset_go <= tmu_dac_reset; -- __I_O_BIT_PORT
v_select(5) <= p_mix_v_select_5_5_gi; -- __I_I_SLICE_PORT -- __W_SINGLE_BIT_SLICE
v_select(2) <= p_mix_v_select_2_2_gi; -- __I_I_SLICE_PORT -- __W_SINGLE_BIT_SLICE
--
-- Generated Instances
--
-- Generated Instances and Port Mappings
-- Generated Instance Port Map for inst_eaa
inst_eaa: inst_eaa_e
port map (
mbist_clut_fail_o => tmi_sbist_fail(8),
mbist_fifo_fail_o => tmi_sbist_fail(9),
reset_n => nreset, -- GlobalRESET(Verilogmacro)
reset_n_s => nreset_s -- GlobalRESET(Verilogmacro)
);
-- End of Generated Instance Port Map for inst_eaa
-- Generated Instance Port Map for inst_eab
inst_eab: inst_eab_e
port map (
nreset => nreset, -- GlobalRESET(Verilogmacro)
nreset_s => nreset_s, -- GlobalRESET(Verilogmacro)
v_select(0) => mix_logic0_0,
v_select(1) => mix_logic0_0,
v_select(2) => v_select(2),
v_select(3) => mix_logic0_0,
v_select(4) => mix_logic0_0, -- GuestBusLBC(memorymappedI/O)Interface
v_select(5) => v_select(5) -- VPUinterfaceRequestBusinterface:RequestBus#6(VPU)requestbusinterfaceforcgpandcgclientserver
);
-- End of Generated Instance Port Map for inst_eab
-- Generated Instance Port Map for inst_eac
inst_eac: inst_eac_e
port map (
adp_bist_fail => tmi_sbist_fail(0),
cp_laddr(0) => mix_logic0_2, -- __I_BIT_TO_BUSPORT -- GuestBusLBC(memorymappedI/O)Interface
cp_laddr(31 downto 1) => cp_laddr(31 downto 1), -- GuestBusLBC(memorymappedI/O)InterfaceLBCinterfacetobeusecurrentlybyGuestBus
cp_lcmd(5 downto 0) => mix_logic0_bus_1, -- __W_PORT
cp_lcmd(6) => cp_lcmd(6), -- GuestBusLBC(memorymappedI/O)Interface
cpu_bist_fail => tmi_sbist_fail(1),
cvi_sbist_fail0 => tmi_sbist_fail(10),
cvi_sbist_fail1 => tmi_sbist_fail(11),
ema_bist_fail => tmi_sbist_fail(7),
ga_sbist_fail0 => tmi_sbist_fail(8),
ga_sbist_fail1 => tmi_sbist_fail(9),
gpio_int => gpio_int, -- GPIOWakeUPSignalsInterruptinputs
ifu_bist_fail => tmi_sbist_fail(6),
mcu_bist_fail => tmi_sbist_fail(2),
nreset => nreset, -- GlobalRESET(Verilogmacro)
nreset_s => nreset_s, -- GlobalRESET(Verilogmacro)
pdu_bist_fail0 => tmi_sbist_fail(3),
pdu_bist_fail1 => tmi_sbist_fail(4),
tmu_dac_reset => tmu_dac_reset, -- CADCTestModeRGBADAC
tsd_bist_fail => tmi_sbist_fail(5)
);
-- End of Generated Instance Port Map for inst_eac
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_port_GNXAOKDYKC is
port(
input : in std_logic_vector(0 downto 0);
output : out std_logic_vector(0 downto 0));
end entity;
architecture rtl of alt_dspbuilder_port_GNXAOKDYKC is
Begin
-- Straight Bypass block
output <= input;
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_port_GNXAOKDYKC is
port(
input : in std_logic_vector(0 downto 0);
output : out std_logic_vector(0 downto 0));
end entity;
architecture rtl of alt_dspbuilder_port_GNXAOKDYKC is
Begin
-- Straight Bypass block
output <= input;
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_port_GNXAOKDYKC is
port(
input : in std_logic_vector(0 downto 0);
output : out std_logic_vector(0 downto 0));
end entity;
architecture rtl of alt_dspbuilder_port_GNXAOKDYKC is
Begin
-- Straight Bypass block
output <= input;
end architecture; |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_port_GNXAOKDYKC is
port(
input : in std_logic_vector(0 downto 0);
output : out std_logic_vector(0 downto 0));
end entity;
architecture rtl of alt_dspbuilder_port_GNXAOKDYKC is
Begin
-- Straight Bypass block
output <= input;
end architecture; |
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Package: vcomponents
-- File: vcomponents.vhd
-- Author: Jiri Gaisler, Gaisler Research
-- Description: Component declartions of some XILINX primitives
-----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package vcomponents is
-- synopsys translate_off
-----------------------------------------
----------- FPGA Globals --------------
-----------------------------------------
signal GSR : std_logic := '0';
signal GTS : std_logic := '0';
signal GWE : std_logic := '0';
signal PLL_LOCKG : std_logic := 'H';
signal PROGB_GLBL : std_logic := '0';
signal CCLKO_GLBL : std_logic := 'H';
-----------------------------------------
----------- CPLD Globals --------------
-----------------------------------------
signal PRLD : std_logic := '0';
-----------------------------------------
----------- JTAG Globals --------------
-----------------------------------------
signal JTAG_TDO_GLBL : std_logic;
signal JTAG_TDI_GLBL : std_logic := '0';
signal JTAG_TMS_GLBL : std_logic := '0';
signal JTAG_TCK_GLBL : std_logic := '0';
signal JTAG_TRST_GLBL : std_logic := '0';
signal JTAG_CAPTURE_GLBL : std_logic := '0';
signal JTAG_RESET_GLBL : std_logic := '1';
signal JTAG_SHIFT_GLBL : std_logic := '1';
signal JTAG_UPDATE_GLBL : std_logic := '0';
signal JTAG_RUNTEST_GLBL : std_logic := '0';
signal JTAG_SEL1_GLBL : std_logic := '0';
signal JTAG_SEL2_GLBL : std_logic := '0';
signal JTAG_SEL3_GLBL : std_logic := '0';
signal JTAG_SEL4_GLBL : std_logic := '0';
signal JTAG_USER_TDO1_GLBL : std_logic := 'Z';
signal JTAG_USER_TDO2_GLBL : std_logic := 'Z';
signal JTAG_USER_TDO3_GLBL : std_logic := 'Z';
signal JTAG_USER_TDO4_GLBL : std_logic := 'Z';
-- synopsys translate_on
component ramb4_s16 port (
do : out std_logic_vector (15 downto 0);
addr : in std_logic_vector (7 downto 0);
clk : in std_ulogic;
di : in std_logic_vector (15 downto 0);
en, rst, we : in std_ulogic);
end component;
component RAMB4_S8
port (do : out std_logic_vector (7 downto 0);
addr : in std_logic_vector (8 downto 0);
clk : in std_ulogic;
di : in std_logic_vector (7 downto 0);
en, rst, we : in std_ulogic);
end component;
component RAMB4_S4
port (do : out std_logic_vector (3 downto 0);
addr : in std_logic_vector (9 downto 0);
clk : in std_ulogic;
di : in std_logic_vector (3 downto 0);
en, rst, we : in std_ulogic);
end component;
component RAMB4_S2
port (do : out std_logic_vector (1 downto 0);
addr : in std_logic_vector (10 downto 0);
clk : in std_ulogic;
di : in std_logic_vector (1 downto 0);
en, rst, we : in std_ulogic);
end component;
component RAMB4_S1
port (do : out std_logic_vector (0 downto 0);
addr : in std_logic_vector (11 downto 0);
clk : in std_ulogic;
di : in std_logic_vector (0 downto 0);
en, rst, we : in std_ulogic);
end component;
component RAMB4_S1_S1
port (
doa : out std_logic_vector (0 downto 0);
dob : out std_logic_vector (0 downto 0);
addra : in std_logic_vector (11 downto 0);
addrb : in std_logic_vector (11 downto 0);
clka : in std_ulogic;
clkb : in std_ulogic;
dia : in std_logic_vector (0 downto 0);
dib : in std_logic_vector (0 downto 0);
ena : in std_ulogic;
enb : in std_ulogic;
rsta : in std_ulogic;
rstb : in std_ulogic;
wea : in std_ulogic;
web : in std_ulogic
);
end component;
component RAMB4_S2_S2
port (
doa : out std_logic_vector (1 downto 0);
dob : out std_logic_vector (1 downto 0);
addra : in std_logic_vector (10 downto 0);
addrb : in std_logic_vector (10 downto 0);
clka : in std_ulogic;
clkb : in std_ulogic;
dia : in std_logic_vector (1 downto 0);
dib : in std_logic_vector (1 downto 0);
ena : in std_ulogic;
enb : in std_ulogic;
rsta : in std_ulogic;
rstb : in std_ulogic;
wea : in std_ulogic;
web : in std_ulogic
);
end component;
component RAMB4_S4_S4
port (
doa : out std_logic_vector (3 downto 0);
dob : out std_logic_vector (3 downto 0);
addra : in std_logic_vector (9 downto 0);
addrb : in std_logic_vector (9 downto 0);
clka : in std_ulogic;
clkb : in std_ulogic;
dia : in std_logic_vector (3 downto 0);
dib : in std_logic_vector (3 downto 0);
ena : in std_ulogic;
enb : in std_ulogic;
rsta : in std_ulogic;
rstb : in std_ulogic;
wea : in std_ulogic;
web : in std_ulogic
);
end component;
component RAMB4_S8_S8
port (
doa : out std_logic_vector (7 downto 0);
dob : out std_logic_vector (7 downto 0);
addra : in std_logic_vector (8 downto 0);
addrb : in std_logic_vector (8 downto 0);
clka : in std_ulogic;
clkb : in std_ulogic;
dia : in std_logic_vector (7 downto 0);
dib : in std_logic_vector (7 downto 0);
ena : in std_ulogic;
enb : in std_ulogic;
rsta : in std_ulogic;
rstb : in std_ulogic;
wea : in std_ulogic;
web : in std_ulogic
);
end component;
component RAMB4_S16_S16
port (
doa : out std_logic_vector (15 downto 0);
dob : out std_logic_vector (15 downto 0);
addra : in std_logic_vector (7 downto 0);
addrb : in std_logic_vector (7 downto 0);
clka : in std_ulogic;
clkb : in std_ulogic;
dia : in std_logic_vector (15 downto 0);
dib : in std_logic_vector (15 downto 0);
ena : in std_ulogic;
enb : in std_ulogic;
rsta : in std_ulogic;
rstb : in std_ulogic;
wea : in std_ulogic;
web : in std_ulogic
);
end component;
component RAMB16_S1
-- pragma translate_off
generic
(
INIT : bit_vector := X"0";
SRVAL : bit_vector := X"0";
WRITE_MODE : string := "WRITE_FIRST";
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"
);
-- pragma translate_on
port (
DO : out std_logic_vector (0 downto 0);
ADDR : in std_logic_vector (13 downto 0);
CLK : in std_ulogic;
DI : in std_logic_vector (0 downto 0);
EN : in std_ulogic;
SSR : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAMB16_S2
-- pragma translate_off
generic
(
INIT : bit_vector := X"0";
SRVAL : bit_vector := X"0";
WRITE_MODE : string := "WRITE_FIRST";
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"
);
-- pragma translate_on
port (
DO : out std_logic_vector (1 downto 0);
ADDR : in std_logic_vector (12 downto 0);
CLK : in std_ulogic;
DI : in std_logic_vector (1 downto 0);
EN : in std_ulogic;
SSR : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAMB16_S4
-- pragma translate_off
generic
(
INIT : bit_vector := X"0";
SRVAL : bit_vector := X"0";
WRITE_MODE : string := "WRITE_FIRST";
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"
);
-- pragma translate_on
port (
DO : out std_logic_vector (3 downto 0);
ADDR : in std_logic_vector (11 downto 0);
CLK : in std_ulogic;
DI : in std_logic_vector (3 downto 0);
EN : in std_ulogic;
SSR : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAMB16_S9
-- pragma translate_off
generic
(
INIT : bit_vector := X"000";
SRVAL : bit_vector := X"000";
WRITE_MODE : string := "WRITE_FIRST";
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"
);
-- pragma translate_on
port (
DO : out std_logic_vector (7 downto 0);
DOP : out std_logic_vector (0 downto 0);
ADDR : in std_logic_vector (10 downto 0);
CLK : in std_ulogic;
DI : in std_logic_vector (7 downto 0);
DIP : in std_logic_vector (0 downto 0);
EN : in std_ulogic;
SSR : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAMB16_S18
-- pragma translate_off
generic
(
INIT : bit_vector := X"00000";
SRVAL : bit_vector := X"00000";
write_mode : string := "WRITE_FIRST";
INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"
);
-- pragma translate_on
port (
DO : out std_logic_vector (15 downto 0);
DOP : out std_logic_vector (1 downto 0);
ADDR : in std_logic_vector (9 downto 0);
CLK : in std_ulogic;
DI : in std_logic_vector (15 downto 0);
DIP : in std_logic_vector (1 downto 0);
EN : in std_ulogic;
SSR : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAMB16_S36
-- pragma translate_off
generic
(
INIT : bit_vector := X"000000000";
SRVAL : bit_vector := X"000000000";
WRITE_MODE : string := "WRITE_FIRST";
INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"
);
-- pragma translate_on
port (
DO : out std_logic_vector (31 downto 0);
DOP : out std_logic_vector (3 downto 0);
ADDR : in std_logic_vector (8 downto 0);
CLK : in std_ulogic;
DI : in std_logic_vector (31 downto 0);
DIP : in std_logic_vector (3 downto 0);
EN : in std_ulogic;
SSR : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAMB16_S4_S4
-- pragma translate_off
generic
(
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_A : bit_vector := X"0";
INIT_B : bit_vector := X"0";
SIM_COLLISION_CHECK : string := "ALL";
SRVAL_A : bit_vector := X"0";
SRVAL_B : bit_vector := X"0";
WRITE_MODE_A : string := "WRITE_FIRST";
WRITE_MODE_B : string := "WRITE_FIRST"
);
-- pragma translate_on
port (
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0);
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic
);
end component;
component RAMB16_S1_S1
-- pragma translate_off
generic
(
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_A : bit_vector := X"0";
INIT_B : bit_vector := X"0";
SIM_COLLISION_CHECK : string := "ALL";
SRVAL_A : bit_vector := X"0";
SRVAL_B : bit_vector := X"0";
WRITE_MODE_A : string := "WRITE_FIRST";
WRITE_MODE_B : string := "WRITE_FIRST"
);
-- pragma translate_on
port (
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0);
ADDRA : in std_logic_vector (13 downto 0);
ADDRB : in std_logic_vector (13 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic
);
end component;
component RAMB16_S2_S2
-- pragma translate_off
generic
(
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_A : bit_vector := X"0";
INIT_B : bit_vector := X"0";
SIM_COLLISION_CHECK : string := "ALL";
SRVAL_A : bit_vector := X"0";
SRVAL_B : bit_vector := X"0";
WRITE_MODE_A : string := "WRITE_FIRST";
WRITE_MODE_B : string := "WRITE_FIRST"
);
-- pragma translate_on
port (
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0);
ADDRA : in std_logic_vector (12 downto 0);
ADDRB : in std_logic_vector (12 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic
);
end component;
component RAMB16_S9_S9
-- pragma translate_off
generic
(
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_A : bit_vector := X"000";
INIT_B : bit_vector := X"000";
SIM_COLLISION_CHECK : string := "ALL";
SRVAL_A : bit_vector := X"000";
SRVAL_B : bit_vector := X"000";
WRITE_MODE_A : string := "WRITE_FIRST";
WRITE_MODE_B : string := "WRITE_FIRST"
);
-- pragma translate_on
port (
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0);
DOPA : out std_logic_vector (0 downto 0);
DOPB : out std_logic_vector (0 downto 0);
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
DIPA : in std_logic_vector (0 downto 0);
DIPB : in std_logic_vector (0 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic
);
end component;
component RAMB16_S18_S18
-- pragma translate_off
generic
(
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_A : bit_vector := X"00000";
INIT_B : bit_vector := X"00000";
SIM_COLLISION_CHECK : string := "ALL";
SRVAL_A : bit_vector := X"00000";
SRVAL_B : bit_vector := X"00000";
WRITE_MODE_A : string := "WRITE_FIRST";
WRITE_MODE_B : string := "WRITE_FIRST"
);
-- pragma translate_on
port (
DOA : out std_logic_vector (15 downto 0);
DOB : out std_logic_vector (15 downto 0);
DOPA : out std_logic_vector (1 downto 0);
DOPB : out std_logic_vector (1 downto 0);
ADDRA : in std_logic_vector (9 downto 0);
ADDRB : in std_logic_vector (9 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (15 downto 0);
DIB : in std_logic_vector (15 downto 0);
DIPA : in std_logic_vector (1 downto 0);
DIPB : in std_logic_vector (1 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic);
end component;
component RAMB16_S36_S36
-- pragma translate_off
generic
(
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_A : bit_vector := X"000000000";
INIT_B : bit_vector := X"000000000";
SIM_COLLISION_CHECK : string := "ALL";
SRVAL_A : bit_vector := X"000000000";
SRVAL_B : bit_vector := X"000000000";
WRITE_MODE_A : string := "WRITE_FIRST";
WRITE_MODE_B : string := "WRITE_FIRST"
);
-- pragma translate_on
port (
DOA : out std_logic_vector (31 downto 0);
DOB : out std_logic_vector (31 downto 0);
DOPA : out std_logic_vector (3 downto 0);
DOPB : out std_logic_vector (3 downto 0);
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (31 downto 0);
DIB : in std_logic_vector (31 downto 0);
DIPA : in std_logic_vector (3 downto 0);
DIPB : in std_logic_vector (3 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic);
end component;
component DCM
generic (
CLKDV_DIVIDE : real := 2.0;
CLKFX_DIVIDE : integer := 1;
CLKFX_MULTIPLY : integer := 4;
CLKIN_DIVIDE_BY_2 : boolean := false;
CLKIN_PERIOD : real := 10.0;
CLKOUT_PHASE_SHIFT : string := "NONE";
CLK_FEEDBACK : string := "1X";
DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS";
DFS_FREQUENCY_MODE : string := "LOW";
DLL_FREQUENCY_MODE : string := "LOW";
DSS_MODE : string := "NONE";
DUTY_CYCLE_CORRECTION : boolean := true;
FACTORY_JF : bit_vector := X"C080";
PHASE_SHIFT : integer := 0;
STARTUP_WAIT : boolean := false
);
port (
CLKFB : in std_logic;
CLKIN : in std_logic;
DSSEN : in std_logic;
PSCLK : in std_logic;
PSEN : in std_logic;
PSINCDEC : in std_logic;
RST : in std_logic;
CLK0 : out std_logic;
CLK90 : out std_logic;
CLK180 : out std_logic;
CLK270 : out std_logic;
CLK2X : out std_logic;
CLK2X180 : out std_logic;
CLKDV : out std_logic;
CLKFX : out std_logic;
CLKFX180 : out std_logic;
LOCKED : out std_logic;
PSDONE : out std_logic;
STATUS : out std_logic_vector (7 downto 0));
end component;
component DCM_SP
generic (
TimingChecksOn : boolean := true;
InstancePath : string := "*";
Xon : boolean := true;
MsgOn : boolean := false;
CLKDV_DIVIDE : real := 2.0;
CLKFX_DIVIDE : integer := 1;
CLKFX_MULTIPLY : integer := 4;
CLKIN_DIVIDE_BY_2 : boolean := false;
CLKIN_PERIOD : real := 10.0; --non-simulatable
CLKOUT_PHASE_SHIFT : string := "NONE";
CLK_FEEDBACK : string := "1X";
DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS"; --non-simulatable
DFS_FREQUENCY_MODE : string := "LOW";
DLL_FREQUENCY_MODE : string := "LOW";
DSS_MODE : string := "NONE"; --non-simulatable
DUTY_CYCLE_CORRECTION : boolean := true;
FACTORY_JF : bit_vector := X"C080"; --non-simulatable
PHASE_SHIFT : integer := 0;
STARTUP_WAIT : boolean := false --non-simulatable
);
port (
CLK0 : out std_ulogic := '0';
CLK180 : out std_ulogic := '0';
CLK270 : out std_ulogic := '0';
CLK2X : out std_ulogic := '0';
CLK2X180 : out std_ulogic := '0';
CLK90 : out std_ulogic := '0';
CLKDV : out std_ulogic := '0';
CLKFX : out std_ulogic := '0';
CLKFX180 : out std_ulogic := '0';
LOCKED : out std_ulogic := '0';
PSDONE : out std_ulogic := '0';
STATUS : out std_logic_vector(7 downto 0) := "00000000";
CLKFB : in std_ulogic := '0';
CLKIN : in std_ulogic := '0';
DSSEN : in std_ulogic := '0';
PSCLK : in std_ulogic := '0';
PSEN : in std_ulogic := '0';
PSINCDEC : in std_ulogic := '0';
RST : in std_ulogic := '0'
);
end component;
----- component PLLE2_ADV -----
component PLLE2_ADV
generic (
BANDWIDTH : string := "OPTIMIZED";
CLKFBOUT_MULT : integer := 5;
CLKFBOUT_PHASE : real := 0.0;
CLKIN1_PERIOD : real := 0.0;
CLKIN2_PERIOD : real := 0.0;
CLKOUT0_DIVIDE : integer := 1;
CLKOUT0_DUTY_CYCLE : real := 0.5;
CLKOUT0_PHASE : real := 0.0;
CLKOUT1_DIVIDE : integer := 1;
CLKOUT1_DUTY_CYCLE : real := 0.5;
CLKOUT1_PHASE : real := 0.0;
CLKOUT2_DIVIDE : integer := 1;
CLKOUT2_DUTY_CYCLE : real := 0.5;
CLKOUT2_PHASE : real := 0.0;
CLKOUT3_DIVIDE : integer := 1;
CLKOUT3_DUTY_CYCLE : real := 0.5;
CLKOUT3_PHASE : real := 0.0;
CLKOUT4_DIVIDE : integer := 1;
CLKOUT4_DUTY_CYCLE : real := 0.5;
CLKOUT4_PHASE : real := 0.0;
CLKOUT5_DIVIDE : integer := 1;
CLKOUT5_DUTY_CYCLE : real := 0.5;
CLKOUT5_PHASE : real := 0.0;
COMPENSATION : string := "ZHOLD";
DIVCLK_DIVIDE : integer := 1;
REF_JITTER1 : real := 0.0;
REF_JITTER2 : real := 0.0;
STARTUP_WAIT : string := "FALSE"
);
port (
CLKFBOUT : out std_ulogic := '0';
CLKOUT0 : out std_ulogic := '0';
CLKOUT1 : out std_ulogic := '0';
CLKOUT2 : out std_ulogic := '0';
CLKOUT3 : out std_ulogic := '0';
CLKOUT4 : out std_ulogic := '0';
CLKOUT5 : out std_ulogic := '0';
DO : out std_logic_vector (15 downto 0);
DRDY : out std_ulogic := '0';
LOCKED : out std_ulogic := '0';
CLKFBIN : in std_ulogic;
CLKIN1 : in std_ulogic;
CLKIN2 : in std_ulogic;
CLKINSEL : in std_ulogic;
DADDR : in std_logic_vector(6 downto 0);
DCLK : in std_ulogic;
DEN : in std_ulogic;
DI : in std_logic_vector(15 downto 0);
DWE : in std_ulogic;
PWRDWN : in std_ulogic;
RST : in std_ulogic
);
end component;
component PLL_ADV
generic (
BANDWIDTH : string := "OPTIMIZED";
CLKFBOUT_DESKEW_ADJUST : string := "NONE";
CLKFBOUT_MULT : integer := 1;
CLKFBOUT_PHASE : real := 0.0;
CLKIN1_PERIOD : real := 0.000;
CLKIN2_PERIOD : real := 0.000;
CLKOUT0_DESKEW_ADJUST : string := "NONE";
CLKOUT0_DIVIDE : integer := 1;
CLKOUT0_DUTY_CYCLE : real := 0.5;
CLKOUT0_PHASE : real := 0.0;
CLKOUT1_DESKEW_ADJUST : string := "NONE";
CLKOUT1_DIVIDE : integer := 1;
CLKOUT1_DUTY_CYCLE : real := 0.5;
CLKOUT1_PHASE : real := 0.0;
CLKOUT2_DESKEW_ADJUST : string := "NONE";
CLKOUT2_DIVIDE : integer := 1;
CLKOUT2_DUTY_CYCLE : real := 0.5;
CLKOUT2_PHASE : real := 0.0;
CLKOUT3_DESKEW_ADJUST : string := "NONE";
CLKOUT3_DIVIDE : integer := 1;
CLKOUT3_DUTY_CYCLE : real := 0.5;
CLKOUT3_PHASE : real := 0.0;
CLKOUT4_DESKEW_ADJUST : string := "NONE";
CLKOUT4_DIVIDE : integer := 1;
CLKOUT4_DUTY_CYCLE : real := 0.5;
CLKOUT4_PHASE : real := 0.0;
CLKOUT5_DESKEW_ADJUST : string := "NONE";
CLKOUT5_DIVIDE : integer := 1;
CLKOUT5_DUTY_CYCLE : real := 0.5;
CLKOUT5_PHASE : real := 0.0;
CLK_FEEDBACK : string := "CLKFBOUT";
COMPENSATION : string := "SYSTEM_SYNCHRONOUS";
DIVCLK_DIVIDE : integer := 1;
EN_REL : boolean := FALSE;
PLL_PMCD_MODE : boolean := FALSE;
REF_JITTER : real := 0.100;
RESET_ON_LOSS_OF_LOCK : boolean := FALSE;
RST_DEASSERT_CLK : string := "CLKIN1";
SIM_DEVICE : string := "VIRTEX5"
);
port (
CLKFBDCM : out std_ulogic := '0';
CLKFBOUT : out std_ulogic := '0';
CLKOUT0 : out std_ulogic := '0';
CLKOUT1 : out std_ulogic := '0';
CLKOUT2 : out std_ulogic := '0';
CLKOUT3 : out std_ulogic := '0';
CLKOUT4 : out std_ulogic := '0';
CLKOUT5 : out std_ulogic := '0';
CLKOUTDCM0 : out std_ulogic := '0';
CLKOUTDCM1 : out std_ulogic := '0';
CLKOUTDCM2 : out std_ulogic := '0';
CLKOUTDCM3 : out std_ulogic := '0';
CLKOUTDCM4 : out std_ulogic := '0';
CLKOUTDCM5 : out std_ulogic := '0';
DO : out std_logic_vector(15 downto 0);
DRDY : out std_ulogic := '0';
LOCKED : out std_ulogic := '0';
CLKFBIN : in std_ulogic;
CLKIN1 : in std_ulogic;
CLKIN2 : in std_ulogic;
CLKINSEL : in std_ulogic;
DADDR : in std_logic_vector(4 downto 0);
DCLK : in std_ulogic;
DEN : in std_ulogic;
DI : in std_logic_vector(15 downto 0);
DWE : in std_ulogic;
REL : in std_ulogic;
RST : in std_ulogic
);
end component;
component PLL_BASE
generic (
BANDWIDTH : string := "OPTIMIZED";
CLKFBOUT_MULT : integer := 1;
CLKFBOUT_PHASE : real := 0.0;
CLKIN_PERIOD : real := 0.000;
CLKOUT0_DIVIDE : integer := 1;
CLKOUT0_DUTY_CYCLE : real := 0.5;
CLKOUT0_PHASE : real := 0.0;
CLKOUT1_DIVIDE : integer := 1;
CLKOUT1_DUTY_CYCLE : real := 0.5;
CLKOUT1_PHASE : real := 0.0;
CLKOUT2_DIVIDE : integer := 1;
CLKOUT2_DUTY_CYCLE : real := 0.5;
CLKOUT2_PHASE : real := 0.0;
CLKOUT3_DIVIDE : integer := 1;
CLKOUT3_DUTY_CYCLE : real := 0.5;
CLKOUT3_PHASE : real := 0.0;
CLKOUT4_DIVIDE : integer := 1;
CLKOUT4_DUTY_CYCLE : real := 0.5;
CLKOUT4_PHASE : real := 0.0;
CLKOUT5_DIVIDE : integer := 1;
CLKOUT5_DUTY_CYCLE : real := 0.5;
CLKOUT5_PHASE : real := 0.0;
CLK_FEEDBACK : string := "CLKFBOUT";
COMPENSATION : string := "SYSTEM_SYNCHRONOUS";
DIVCLK_DIVIDE : integer := 1;
REF_JITTER : real := 0.100;
RESET_ON_LOSS_OF_LOCK : boolean := FALSE
);
port (
CLKFBOUT : out std_ulogic;
CLKOUT0 : out std_ulogic;
CLKOUT1 : out std_ulogic;
CLKOUT2 : out std_ulogic;
CLKOUT3 : out std_ulogic;
CLKOUT4 : out std_ulogic;
CLKOUT5 : out std_ulogic;
LOCKED : out std_ulogic;
CLKFBIN : in std_ulogic;
CLKIN : in std_ulogic;
RST : in std_ulogic
);
end component;
component BUFGMUX port (O : out std_logic; I0, I1, S : in std_logic); end component;
component BUFG port (O : out std_logic; I : in std_logic); end component;
component BUFGP port (O : out std_logic; I : in std_logic); end component;
component BUFGDLL port (O : out std_logic; I : in std_logic); end component;
component IBUFG generic(
CAPACITANCE : string := "DONT_CARE";
IBUF_LOW_PWR : boolean := TRUE;
IOSTANDARD : string := "LVCMOS25");
port (O : out std_logic; I : in std_logic); end component;
component IBUF generic(
CAPACITANCE : string := "DONT_CARE"; IOSTANDARD : string := "LVCMOS25");
port (O : out std_ulogic; I : in std_ulogic); end component;
component IOBUF generic (
CAPACITANCE : string := "DONT_CARE"; DRIVE : integer := 12;
IBUF_DELAY_VALUE : string := "0"; IBUF_LOW_PWR : boolean := TRUE;
IFD_DELAY_VALUE : string := "AUTO";
IOSTANDARD : string := "LVCMOS25"; SLEW : string := "SLOW");
port (O : out std_ulogic; IO : inout std_logic; I, T : in std_ulogic); end component;
component OBUF generic (
CAPACITANCE : string := "DONT_CARE"; DRIVE : integer := 12;
IOSTANDARD : string := "LVCMOS25"; SLEW : string := "SLOW");
port (O : out std_ulogic; I : in std_ulogic); end component;
component OBUFT generic (
CAPACITANCE : string := "DONT_CARE"; DRIVE : integer := 12;
IOSTANDARD : string := "LVCMOS25"; SLEW : string := "SLOW");
port (O : out std_ulogic; I, T : in std_ulogic); end component;
component CLKDLL
port (
CLK0 : out std_ulogic;
CLK180 : out std_ulogic;
CLK270 : out std_ulogic;
CLK2X : out std_ulogic;
CLK90 : out std_ulogic;
CLKDV : out std_ulogic;
LOCKED : out std_ulogic;
CLKFB : in std_ulogic;
CLKIN : in std_ulogic;
RST : in std_ulogic);
end component;
component CLKDLLHF
port (
CLK0 : out std_ulogic := '0';
CLK180 : out std_ulogic := '0';
CLKDV : out std_ulogic := '0';
LOCKED : out std_ulogic := '0';
CLKFB : in std_ulogic := '0';
CLKIN : in std_ulogic := '0';
RST : in std_ulogic := '0');
end component;
component BSCAN_VIRTEX
port (CAPTURE : out STD_ULOGIC;
DRCK1 : out STD_ULOGIC;
DRCK2 : out STD_ULOGIC;
RESET : out STD_ULOGIC;
SEL1 : out STD_ULOGIC;
SEL2 : out STD_ULOGIC;
SHIFT : out STD_ULOGIC;
TDI : out STD_ULOGIC;
UPDATE : out STD_ULOGIC;
TDO1 : in STD_ULOGIC;
TDO2 : in STD_ULOGIC);
end component;
component BSCAN_VIRTEX2
port (CAPTURE : out STD_ULOGIC;
DRCK1 : out STD_ULOGIC;
DRCK2 : out STD_ULOGIC;
RESET : out STD_ULOGIC;
SEL1 : out STD_ULOGIC;
SEL2 : out STD_ULOGIC;
SHIFT : out STD_ULOGIC;
TDI : out STD_ULOGIC;
UPDATE : out STD_ULOGIC;
TDO1 : in STD_ULOGIC;
TDO2 : in STD_ULOGIC);
end component;
component BSCAN_SPARTAN3
port (CAPTURE : out STD_ULOGIC;
DRCK1 : out STD_ULOGIC;
DRCK2 : out STD_ULOGIC;
RESET : out STD_ULOGIC;
SEL1 : out STD_ULOGIC;
SEL2 : out STD_ULOGIC;
SHIFT : out STD_ULOGIC;
TDI : out STD_ULOGIC;
UPDATE : out STD_ULOGIC;
TDO1 : in STD_ULOGIC;
TDO2 : in STD_ULOGIC);
end component;
component BSCAN_VIRTEX4 generic ( JTAG_CHAIN : integer := 1);
port ( CAPTURE : out std_ulogic;
DRCK : out std_ulogic;
RESET : out std_ulogic;
SEL : out std_ulogic;
SHIFT : out std_ulogic;
TDI : out std_ulogic;
UPDATE : out std_ulogic;
TDO : in std_ulogic);
end component;
component BSCAN_VIRTEX5 generic ( JTAG_CHAIN : integer := 1);
port ( CAPTURE : out std_ulogic;
DRCK : out std_ulogic;
RESET : out std_ulogic;
SEL : out std_ulogic;
SHIFT : out std_ulogic;
TDI : out std_ulogic;
UPDATE : out std_ulogic;
TDO : in std_ulogic);
end component;
component IBUFDS
generic (
CAPACITANCE : string := "DONT_CARE";
DIFF_TERM : boolean := FALSE;
IBUF_DELAY_VALUE : string := "0";
IFD_DELAY_VALUE : string := "AUTO";
IOSTANDARD : string := "DEFAULT");
port (
O : out std_ulogic;
I : in std_ulogic;
IB : in std_ulogic
);
end component;
component IBUFDS_LVDS_25
port ( O : out std_ulogic;
I : in std_ulogic;
IB : in std_ulogic);
end component;
component IBUFGDS_LVDS_25
port ( O : out std_ulogic;
I : in std_ulogic;
IB : in std_ulogic);
end component;
component IOBUFDS
generic(
CAPACITANCE : string := "DONT_CARE";
IBUF_DELAY_VALUE : string := "0";
IFD_DELAY_VALUE : string := "AUTO";
IOSTANDARD : string := "DEFAULT");
port (
O : out std_ulogic;
IO : inout std_ulogic;
IOB : inout std_ulogic;
I : in std_ulogic;
T : in std_ulogic
);
end component;
component OBUFDS
generic(
CAPACITANCE : string := "DONT_CARE";
IOSTANDARD : string := "DEFAULT";
SLEW : string := "SLOW"
);
port(
O : out std_ulogic;
OB : out std_ulogic;
I : in std_ulogic
);
end component;
component OBUFDS_LVDS_25
port ( O : out std_ulogic;
OB : out std_ulogic;
I : in std_ulogic);
end component;
component OBUFTDS_LVDS_25
port ( O : out std_ulogic;
OB : out std_ulogic;
I : in std_ulogic;
T : in std_ulogic);
end component;
component IBUFGDS is
generic( CAPACITANCE : string := "DONT_CARE";
DIFF_TERM : boolean := FALSE; IBUF_DELAY_VALUE : string := "0";
IBUF_LOW_PWR : boolean := TRUE;
IOSTANDARD : string := "DEFAULT");
port (O : out std_logic; I, IB : in std_logic);
end component;
component IBUFDS_LVDS_33
port ( O : out std_ulogic;
I : in std_ulogic;
IB : in std_ulogic);
end component;
component IBUFGDS_LVDS_33
port ( O : out std_ulogic;
I : in std_ulogic;
IB : in std_ulogic);
end component;
component OBUFDS_LVDS_33
port ( O : out std_ulogic;
OB : out std_ulogic;
I : in std_ulogic);
end component;
component OBUFTDS_LVDS_33
port ( O : out std_ulogic;
OB : out std_ulogic;
I : in std_ulogic;
T : in std_ulogic);
end component;
component FDCPE
generic ( INIT : bit := '0');
port (
Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
CLR : in std_ulogic;
D : in std_ulogic;
PRE : in std_ulogic);
end component;
component IDDR
generic (
DDR_CLK_EDGE : string := "OPPOSITE_EDGE";
INIT_Q1 : bit := '0';
INIT_Q2 : bit := '0';
SRTYPE : string := "SYNC");
port
(
Q1 : out std_ulogic;
Q2 : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component ODDR
generic (
DDR_CLK_EDGE : string := "OPPOSITE_EDGE";
INIT : bit := '0';
SRTYPE : string := "SYNC");
port (
Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D1 : in std_ulogic;
D2 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component IFDDRRSE
port (
Q0 : out std_ulogic;
Q1 : out std_ulogic;
C0 : in std_ulogic;
C1 : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component OFDDRRSE
port (
Q : out std_ulogic;
C0 : in std_ulogic;
C1 : in std_ulogic;
CE : in std_ulogic;
D0 : in std_ulogic;
D1 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component FDDRRSE
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C0 : in std_ulogic;
C1 : in std_ulogic;
CE : in std_ulogic;
D0 : in std_ulogic;
D1 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component IDELAY
generic ( IOBDELAY_TYPE : string := "DEFAULT";
IOBDELAY_VALUE : integer := 0);
port ( O : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
I : in std_ulogic;
INC : in std_ulogic;
RST : in std_ulogic);
end component;
component IDELAYCTRL
port ( RDY : out std_ulogic;
REFCLK : in std_ulogic;
RST : in std_ulogic);
end component;
component BUFIO
port ( O : out std_ulogic;
I : in std_ulogic);
end component;
component BUFR
generic ( BUFR_DIVIDE : string := "BYPASS";
SIM_DEVICE : string := "VIRTEX4");
port ( O : out std_ulogic;
CE : in std_ulogic;
CLR : in std_ulogic;
I : in std_ulogic);
end component;
component ODDR2
generic
(
DDR_ALIGNMENT : string := "NONE";
INIT : bit := '0';
SRTYPE : string := "SYNC"
);
port
(
Q : out std_ulogic;
C0 : in std_ulogic;
C1 : in std_ulogic;
CE : in std_ulogic;
D0 : in std_ulogic;
D1 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic
);
end component;
component IDDR2
generic
(
DDR_ALIGNMENT : string := "NONE";
INIT_Q0 : bit := '0';
INIT_Q1 : bit := '0';
SRTYPE : string := "SYNC"
);
port
(
Q0 : out std_ulogic;
Q1 : out std_ulogic;
C0 : in std_ulogic;
C1 : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic
);
end component;
component SYSMON
generic
(
INIT_40 : bit_vector := X"0000";
INIT_41 : bit_vector := X"0000";
INIT_42 : bit_vector := X"0800";
INIT_43 : bit_vector := X"0000";
INIT_44 : bit_vector := X"0000";
INIT_45 : bit_vector := X"0000";
INIT_46 : bit_vector := X"0000";
INIT_47 : bit_vector := X"0000";
INIT_48 : bit_vector := X"0000";
INIT_49 : bit_vector := X"0000";
INIT_4A : bit_vector := X"0000";
INIT_4B : bit_vector := X"0000";
INIT_4C : bit_vector := X"0000";
INIT_4D : bit_vector := X"0000";
INIT_4E : bit_vector := X"0000";
INIT_4F : bit_vector := X"0000";
INIT_50 : bit_vector := X"0000";
INIT_51 : bit_vector := X"0000";
INIT_52 : bit_vector := X"0000";
INIT_53 : bit_vector := X"0000";
INIT_54 : bit_vector := X"0000";
INIT_55 : bit_vector := X"0000";
INIT_56 : bit_vector := X"0000";
INIT_57 : bit_vector := X"0000";
SIM_MONITOR_FILE : string := "design.txt"
);
port
(
ALM : out std_logic_vector(2 downto 0);
BUSY : out std_ulogic;
CHANNEL : out std_logic_vector(4 downto 0);
DO : out std_logic_vector(15 downto 0);
DRDY : out std_ulogic;
EOC : out std_ulogic;
EOS : out std_ulogic;
JTAGBUSY : out std_ulogic;
JTAGLOCKED : out std_ulogic;
JTAGMODIFIED : out std_ulogic;
OT : out std_ulogic;
CONVST : in std_ulogic;
CONVSTCLK : in std_ulogic;
DADDR : in std_logic_vector(6 downto 0);
DCLK : in std_ulogic;
DEN : in std_ulogic;
DI : in std_logic_vector(15 downto 0);
DWE : in std_ulogic;
RESET : in std_ulogic;
VAUXN : in std_logic_vector(15 downto 0);
VAUXP : in std_logic_vector(15 downto 0);
VN : in std_ulogic;
VP : in std_ulogic
);
end component;
component FDRSE
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component FDR
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic);
end component;
component FDRE
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic);
end component;
component FD
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic);
end component;
component FDRS
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component FDE
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic);
end component;
component MUXF5
port ( O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
S : in std_ulogic);
end component;
component VCC
port ( P : out std_ulogic := '1');
end component;
component GND
port ( G : out std_ulogic := '0');
end component;
component INV
port
(
O : out std_ulogic;
I : in std_ulogic
);
end component;
component LUT2_L
generic
(
INIT : bit_vector := X"0"
);
port
(
LO : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic
);
end component;
component LUT4
generic
(
INIT : bit_vector := X"0000"
);
port
(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic;
I3 : in std_ulogic
);
end component;
component LUT3
generic
(
INIT : bit_vector := X"00"
);
port
(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic
);
end component;
component LUT2
generic
(
INIT : bit_vector := X"0"
);
port
(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic
);
end component;
component FDC
generic
(
INIT : bit := '0'
);
port
(
Q : out std_ulogic;
C : in std_ulogic;
CLR : in std_ulogic;
D : in std_ulogic
);
end component;
component LUT3_L
generic
(
INIT : bit_vector := X"00"
);
port
(
LO : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic
);
end component;
component LUT1
generic
(
INIT : bit_vector := X"0"
);
port
(
O : out std_ulogic;
I0 : in std_ulogic
);
end component;
component LUT4_L
generic
(
INIT : bit_vector := X"0000"
);
port
(
LO : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic;
I3 : in std_ulogic
);
end component;
component FDCE
generic
(
INIT : bit := '0'
);
port
(
Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
CLR : in std_ulogic;
D : in std_ulogic
);
end component;
component FDC_1
generic
(
INIT : bit := '0'
);
port
(
Q : out std_ulogic;
C : in std_ulogic;
CLR : in std_ulogic;
D : in std_ulogic
);
end component;
component FDP
generic
(
INIT : bit := '1'
);
port
(
Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic;
PRE : in std_ulogic
);
end component;
component FDS
generic
(
INIT : bit := '1'
);
port
(
Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic;
S : in std_ulogic
);
end component;
component MUXCY
port
(
O : out std_ulogic;
CI : in std_ulogic;
DI : in std_ulogic;
S : in std_ulogic
);
end component;
component LUT1_L
generic
(
INIT : bit_vector := X"0"
);
port
(
LO : out std_ulogic;
I0 : in std_ulogic
);
end component;
component MUXF6
port
(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
S : in std_ulogic
);
end component;
component MUXF5_D
port
(
LO : out std_ulogic;
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
S : in std_ulogic
);
end component;
component XORCY
port
(
O : out std_ulogic;
CI : in std_ulogic;
LI : in std_ulogic
);
end component;
component MUXCY_L
port
(
LO : out std_ulogic;
CI : in std_ulogic;
DI : in std_ulogic;
S : in std_ulogic
);
end component;
component FDSE
generic
(
INIT : bit := '1'
);
port
(
Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
S : in std_ulogic
);
end component;
component MULT_AND
port
(
LO : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic
);
end component;
component SRL16E
generic
(
INIT : bit_vector := X"0000"
);
port
(
Q : out STD_ULOGIC;
A0 : in STD_ULOGIC;
A1 : in STD_ULOGIC;
A2 : in STD_ULOGIC;
A3 : in STD_ULOGIC;
CE : in STD_ULOGIC;
CLK : in STD_ULOGIC;
D : in STD_ULOGIC
);
end component;
component ROM256X1
generic
(
INIT : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"
);
port
(
O : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
A4 : in std_ulogic;
A5 : in std_ulogic;
A6 : in std_ulogic;
A7 : in std_ulogic
);
end component;
component FDPE
generic
(
INIT : bit := '1'
);
port
(
Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
PRE : in std_ulogic
);
end component;
component MULT18X18
port
(
P : out std_logic_vector (35 downto 0);
A : in std_logic_vector (17 downto 0);
B : in std_logic_vector (17 downto 0)
);
end component;
component MULT18X18S
port
(
P : out std_logic_vector (35 downto 0);
A : in std_logic_vector (17 downto 0);
B : in std_logic_vector (17 downto 0);
C : in std_ulogic;
CE : in std_ulogic;
R : in std_ulogic
);
end component;
component MUXF7
port
(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
S : in std_ulogic
);
end component;
component IODELAY
generic
(
DELAY_SRC : string := "I";
HIGH_PERFORMANCE_MODE : boolean := true;
IDELAY_TYPE : string := "DEFAULT";
IDELAY_VALUE : integer := 0;
ODELAY_VALUE : integer := 0;
REFCLK_FREQUENCY : real := 200.0;
SIGNAL_PATTERN : string := "DATA"
);
port
(
DATAOUT : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
DATAIN : in std_ulogic;
IDATAIN : in std_ulogic;
INC : in std_ulogic;
ODATAIN : in std_ulogic;
RST : in std_ulogic;
T : in std_ulogic
);
end component;
component IODELAY2
generic (
COUNTER_WRAPAROUND : string := "WRAPAROUND";
DATA_RATE : string := "SDR";
DELAY_SRC : string := "IO";
IDELAY2_VALUE : integer := 0;
IDELAY_MODE : string := "NORMAL";
IDELAY_TYPE : string := "DEFAULT";
IDELAY_VALUE : integer := 0;
ODELAY_VALUE : integer := 0;
SERDES_MODE : string := "NONE";
SIM_TAPDELAY_VALUE : integer := 75
);
port (
BUSY : out std_ulogic;
DATAOUT : out std_ulogic;
DATAOUT2 : out std_ulogic;
DOUT : out std_ulogic;
TOUT : out std_ulogic;
CAL : in std_ulogic;
CE : in std_ulogic;
CLK : in std_ulogic;
IDATAIN : in std_ulogic;
INC : in std_ulogic;
IOCLK0 : in std_ulogic;
IOCLK1 : in std_ulogic;
ODATAIN : in std_ulogic;
RST : in std_ulogic;
T : in std_ulogic
);
end component;
component ISERDES
generic
(
BITSLIP_ENABLE : boolean := false;
DATA_RATE : string := "DDR";
DATA_WIDTH : integer := 4;
INIT_Q1 : bit := '0';
INIT_Q2 : bit := '0';
INIT_Q3 : bit := '0';
INIT_Q4 : bit := '0';
INTERFACE_TYPE : string := "MEMORY";
IOBDELAY : string := "NONE";
IOBDELAY_TYPE : string := "DEFAULT";
IOBDELAY_VALUE : integer := 0;
NUM_CE : integer := 2;
SERDES_MODE : string := "MASTER";
SRVAL_Q1 : bit := '0';
SRVAL_Q2 : bit := '0';
SRVAL_Q3 : bit := '0';
SRVAL_Q4 : bit := '0'
);
port
(
O : out std_ulogic;
Q1 : out std_ulogic;
Q2 : out std_ulogic;
Q3 : out std_ulogic;
Q4 : out std_ulogic;
Q5 : out std_ulogic;
Q6 : out std_ulogic;
SHIFTOUT1 : out std_ulogic;
SHIFTOUT2 : out std_ulogic;
BITSLIP : in std_ulogic;
CE1 : in std_ulogic;
CE2 : in std_ulogic;
CLK : in std_ulogic;
CLKDIV : in std_ulogic;
D : in std_ulogic;
DLYCE : in std_ulogic;
DLYINC : in std_ulogic;
DLYRST : in std_ulogic;
OCLK : in std_ulogic;
REV : in std_ulogic;
SHIFTIN1 : in std_ulogic;
SHIFTIN2 : in std_ulogic;
SR : in std_ulogic
);
end component;
component RAM16X1S
generic
(
INIT : bit_vector(15 downto 0) := X"0000"
);
port
(
O : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
D : in std_ulogic;
WCLK : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAM16X1D
generic
(
INIT : bit_vector(15 downto 0) := X"0000"
);
port
(
DPO : out std_ulogic;
SPO : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
D : in std_ulogic;
DPRA0 : in std_ulogic;
DPRA1 : in std_ulogic;
DPRA2 : in std_ulogic;
DPRA3 : in std_ulogic;
WCLK : in std_ulogic;
WE : in std_ulogic
);
end component;
component ROM32X1
generic
(
INIT : bit_vector := X"00000000"
);
port
(
O : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
A4 : in std_ulogic
);
end component;
component DSP48
generic
(
AREG : integer := 1;
B_INPUT : string := "DIRECT";
BREG : integer := 1;
CARRYINREG : integer := 1;
CARRYINSELREG : integer := 1;
CREG : integer := 1;
LEGACY_MODE : string := "MULT18X18S";
MREG : integer := 1;
OPMODEREG : integer := 1;
PREG : integer := 1;
SUBTRACTREG : integer := 1
);
port
(
BCOUT : out std_logic_vector(17 downto 0);
P : out std_logic_vector(47 downto 0);
PCOUT : out std_logic_vector(47 downto 0);
A : in std_logic_vector(17 downto 0);
B : in std_logic_vector(17 downto 0);
BCIN : in std_logic_vector(17 downto 0);
C : in std_logic_vector(47 downto 0);
CARRYIN : in std_ulogic;
CARRYINSEL : in std_logic_vector(1 downto 0);
CEA : in std_ulogic;
CEB : in std_ulogic;
CEC : in std_ulogic;
CECARRYIN : in std_ulogic;
CECINSUB : in std_ulogic;
CECTRL : in std_ulogic;
CEM : in std_ulogic;
CEP : in std_ulogic;
CLK : in std_ulogic;
OPMODE : in std_logic_vector(6 downto 0);
PCIN : in std_logic_vector(47 downto 0);
RSTA : in std_ulogic;
RSTB : in std_ulogic;
RSTC : in std_ulogic;
RSTCARRYIN : in std_ulogic;
RSTCTRL : in std_ulogic;
RSTM : in std_ulogic;
RSTP : in std_ulogic;
SUBTRACT : in std_ulogic
);
end component;
component RAMB16
generic
(
DOA_REG : integer := 0;
DOB_REG : integer := 0;
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_A : bit_vector := X"000000000";
INIT_B : bit_vector := X"000000000";
INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INVERT_CLK_DOA_REG : boolean := false;
INVERT_CLK_DOB_REG : boolean := false;
RAM_EXTENSION_A : string := "NONE";
RAM_EXTENSION_B : string := "NONE";
READ_WIDTH_A : integer := 0;
READ_WIDTH_B : integer := 0;
SIM_COLLISION_CHECK : string := "ALL";
SRVAL_A : bit_vector := X"000000000";
SRVAL_B : bit_vector := X"000000000";
WRITE_MODE_A : string := "WRITE_FIRST";
WRITE_MODE_B : string := "WRITE_FIRST";
WRITE_WIDTH_A : integer := 0;
WRITE_WIDTH_B : integer := 0
);
port
(
CASCADEOUTA : out std_ulogic;
CASCADEOUTB : out std_ulogic;
DOA : out std_logic_vector (31 downto 0);
DOB : out std_logic_vector (31 downto 0);
DOPA : out std_logic_vector (3 downto 0);
DOPB : out std_logic_vector (3 downto 0);
ADDRA : in std_logic_vector (14 downto 0);
ADDRB : in std_logic_vector (14 downto 0);
CASCADEINA : in std_ulogic;
CASCADEINB : in std_ulogic;
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (31 downto 0);
DIB : in std_logic_vector (31 downto 0);
DIPA : in std_logic_vector (3 downto 0);
DIPB : in std_logic_vector (3 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
REGCEA : in std_ulogic;
REGCEB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_logic_vector (3 downto 0);
WEB : in std_logic_vector (3 downto 0)
);
end component;
component MUXF8
port
(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
S : in std_ulogic
);
end component;
component RAM64X1D
generic ( INIT : bit_vector(63 downto 0) := X"0000000000000000");
port
(
DPO : out std_ulogic;
SPO : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
A4 : in std_ulogic;
A5 : in std_ulogic;
D : in std_ulogic;
DPRA0 : in std_ulogic;
DPRA1 : in std_ulogic;
DPRA2 : in std_ulogic;
DPRA3 : in std_ulogic;
DPRA4 : in std_ulogic;
DPRA5 : in std_ulogic;
WCLK : in std_ulogic;
WE : in std_ulogic
);
end component;
component BUF
port
(
O : out std_ulogic;
I : in std_ulogic
);
end component;
component LUT5
generic
(
INIT : bit_vector := X"00000000"
);
port
(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic;
I3 : in std_ulogic;
I4 : in std_ulogic
);
end component;
component LUT5_L
generic
(
INIT : bit_vector := X"00000000"
);
port
(
LO : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic;
I3 : in std_ulogic;
I4 : in std_ulogic
);
end component;
component LUT6
generic
(
INIT : bit_vector := X"0000000000000000"
);
port
(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic;
I3 : in std_ulogic;
I4 : in std_ulogic;
I5 : in std_ulogic
);
end component;
component LUT6_L
generic
(
INIT : bit_vector := X"0000000000000000"
);
port
(
LO : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic;
I3 : in std_ulogic;
I4 : in std_ulogic;
I5 : in std_ulogic
);
end component;
component RAM128X1S
generic (
INIT : bit_vector(127 downto 0) := X"00000000000000000000000000000000"
);
port (
O : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
A4 : in std_ulogic;
A5 : in std_ulogic;
A6 : in std_ulogic;
D : in std_ulogic;
WCLK : in std_ulogic;
WE : in std_ulogic
);
end component;
component SRLC16E
generic (
INIT : bit_vector := X"0000"
);
port (
Q : out STD_ULOGIC;
Q15 : out STD_ULOGIC;
A0 : in STD_ULOGIC;
A1 : in STD_ULOGIC;
A2 : in STD_ULOGIC;
A3 : in STD_ULOGIC;
CE : in STD_ULOGIC;
CLK : in STD_ULOGIC;
D : in STD_ULOGIC
);
end component;
component LD_1
generic(
INIT : bit := '0'
);
port(
Q : out std_ulogic := '0';
D : in std_ulogic;
G : in std_ulogic
);
end component;
component RAM32X1D
generic (
INIT : bit_vector(31 downto 0) := X"00000000"
);
port (
DPO : out std_ulogic;
SPO : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
A4 : in std_ulogic;
D : in std_ulogic;
DPRA0 : in std_ulogic;
DPRA1 : in std_ulogic;
DPRA2 : in std_ulogic;
DPRA3 : in std_ulogic;
DPRA4 : in std_ulogic;
WCLK : in std_ulogic;
WE : in std_ulogic
);
end component;
component FD_1
generic(
INIT : bit := '0'
);
port(
Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic
);
end component;
component XORCY_L
port(
LO : out std_ulogic;
CI : in std_ulogic;
LI : in std_ulogic
);
end component;
component RAM32M
generic (
INIT_A : bit_vector(63 downto 0) := X"0000000000000000";
INIT_B : bit_vector(63 downto 0) := X"0000000000000000";
INIT_C : bit_vector(63 downto 0) := X"0000000000000000";
INIT_D : bit_vector(63 downto 0) := X"0000000000000000"
);
port (
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0);
DOC : out std_logic_vector (1 downto 0);
DOD : out std_logic_vector (1 downto 0);
ADDRA : in std_logic_vector(4 downto 0);
ADDRB : in std_logic_vector(4 downto 0);
ADDRC : in std_logic_vector(4 downto 0);
ADDRD : in std_logic_vector(4 downto 0);
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
DIC : in std_logic_vector (1 downto 0);
DID : in std_logic_vector (1 downto 0);
WCLK : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAM128X1D
generic
(
INIT : bit_vector(127 downto 0) := X"00000000000000000000000000000000"
);
port
(
DPO : out std_ulogic;
SPO : out std_ulogic;
A : in std_logic_vector(6 downto 0);
D : in std_ulogic;
DPRA : in std_logic_vector(6 downto 0);
WCLK : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAM64M
generic (
INIT_A : bit_vector(63 downto 0) := X"0000000000000000";
INIT_B : bit_vector(63 downto 0) := X"0000000000000000";
INIT_C : bit_vector(63 downto 0) := X"0000000000000000";
INIT_D : bit_vector(63 downto 0) := X"0000000000000000"
);
port (
DOA : out std_ulogic;
DOB : out std_ulogic;
DOC : out std_ulogic;
DOD : out std_ulogic;
ADDRA : in std_logic_vector(5 downto 0);
ADDRB : in std_logic_vector(5 downto 0);
ADDRC : in std_logic_vector(5 downto 0);
ADDRD : in std_logic_vector(5 downto 0);
DIA : in std_ulogic;
DIB : in std_ulogic;
DIC : in std_ulogic;
DID : in std_ulogic;
WCLK : in std_ulogic;
WE : in std_ulogic
);
end component;
component XOR2
port(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic
);
end component;
component BSCANE2
generic (
DISABLE_JTAG : string := "FALSE";
JTAG_CHAIN : integer := 1
);
port (
CAPTURE : out std_ulogic := 'H';
DRCK : out std_ulogic := 'H';
RESET : out std_ulogic := 'H';
RUNTEST : out std_ulogic := 'L';
SEL : out std_ulogic := 'L';
SHIFT : out std_ulogic := 'L';
TCK : out std_ulogic := 'L';
TDI : out std_ulogic := 'L';
TMS : out std_ulogic := 'L';
UPDATE : out std_ulogic := 'L';
TDO : in std_ulogic := 'X'
);
end component;
component BSCAN_SPARTAN6
generic (
JTAG_CHAIN : integer := 1
);
port (
CAPTURE : out std_ulogic := 'H';
DRCK : out std_ulogic := 'H';
RESET : out std_ulogic := 'H';
RUNTEST : out std_ulogic := 'L';
SEL : out std_ulogic := 'L';
SHIFT : out std_ulogic := 'L';
TCK : out std_ulogic := 'L';
TDI : out std_ulogic := 'L';
TMS : out std_ulogic := 'L';
UPDATE : out std_ulogic := 'L';
TDO : in std_ulogic := 'X'
);
end component;
component BSCAN_VIRTEX6
generic (
DISABLE_JTAG : boolean := FALSE;
JTAG_CHAIN : integer := 1
);
port (
CAPTURE : out std_ulogic := 'H';
DRCK : out std_ulogic := 'H';
RESET : out std_ulogic := 'H';
RUNTEST : out std_ulogic := 'L';
SEL : out std_ulogic := 'L';
SHIFT : out std_ulogic := 'L';
TCK : out std_ulogic := 'L';
TDI : out std_ulogic := 'L';
TMS : out std_ulogic := 'L';
UPDATE : out std_ulogic := 'L';
TDO : in std_ulogic := 'X'
);
end component;
component SRL16
generic ( INIT : bit_vector := X"0000");
port (
Q : out STD_ULOGIC;
A0 : in STD_ULOGIC;
A1 : in STD_ULOGIC;
A2 : in STD_ULOGIC;
A3 : in STD_ULOGIC;
CLK : in STD_ULOGIC;
D : in STD_ULOGIC);
end component;
component LUT6_2
generic(
INIT : bit_vector := X"0000000000000000"
);
port(
O5 : out std_ulogic;
O6 : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic;
I3 : in std_ulogic;
I4 : in std_ulogic;
I5 : in std_ulogic
);
end component;
component DSP48E
generic(
SIM_MODE : string := "SAFE";
ACASCREG : integer := 1;
ALUMODEREG : integer := 1;
AREG : integer := 1;
AUTORESET_PATTERN_DETECT : boolean := FALSE;
AUTORESET_PATTERN_DETECT_OPTINV : string := "MATCH";
A_INPUT : string := "DIRECT";
BCASCREG : integer := 1;
BREG : integer := 1;
B_INPUT : string := "DIRECT";
CARRYINREG : integer := 1;
CARRYINSELREG : integer := 1;
CREG : integer := 1;
MASK : bit_vector := X"3FFFFFFFFFFF";
MREG : integer := 1;
MULTCARRYINREG : integer := 1;
OPMODEREG : integer := 1;
PATTERN : bit_vector := X"000000000000";
PREG : integer := 1;
SEL_MASK : string := "MASK";
SEL_PATTERN : string := "PATTERN";
SEL_ROUNDING_MASK : string := "SEL_MASK";
USE_MULT : string := "MULT_S";
USE_PATTERN_DETECT : string := "NO_PATDET";
USE_SIMD : string := "ONE48"
);
port(
ACOUT : out std_logic_vector(29 downto 0);
BCOUT : out std_logic_vector(17 downto 0);
CARRYCASCOUT : out std_ulogic;
CARRYOUT : out std_logic_vector(3 downto 0);
MULTSIGNOUT : out std_ulogic;
OVERFLOW : out std_ulogic;
P : out std_logic_vector(47 downto 0);
PATTERNBDETECT : out std_ulogic;
PATTERNDETECT : out std_ulogic;
PCOUT : out std_logic_vector(47 downto 0);
UNDERFLOW : out std_ulogic;
A : in std_logic_vector(29 downto 0);
ACIN : in std_logic_vector(29 downto 0);
ALUMODE : in std_logic_vector(3 downto 0);
B : in std_logic_vector(17 downto 0);
BCIN : in std_logic_vector(17 downto 0);
C : in std_logic_vector(47 downto 0);
CARRYCASCIN : in std_ulogic;
CARRYIN : in std_ulogic;
CARRYINSEL : in std_logic_vector(2 downto 0);
CEA1 : in std_ulogic;
CEA2 : in std_ulogic;
CEALUMODE : in std_ulogic;
CEB1 : in std_ulogic;
CEB2 : in std_ulogic;
CEC : in std_ulogic;
CECARRYIN : in std_ulogic;
CECTRL : in std_ulogic;
CEM : in std_ulogic;
CEMULTCARRYIN : in std_ulogic;
CEP : in std_ulogic;
CLK : in std_ulogic;
MULTSIGNIN : in std_ulogic;
OPMODE : in std_logic_vector(6 downto 0);
PCIN : in std_logic_vector(47 downto 0);
RSTA : in std_ulogic;
RSTALLCARRYIN : in std_ulogic;
RSTALUMODE : in std_ulogic;
RSTB : in std_ulogic;
RSTC : in std_ulogic;
RSTCTRL : in std_ulogic;
RSTM : in std_ulogic;
RSTP : in std_ulogic
);
end component;
component RAMB18
generic (
DOA_REG : integer := 0;
DOB_REG : integer := 0;
INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_A : bit_vector := X"00000";
INIT_B : bit_vector := X"00000";
INIT_FILE : string := "NONE";
READ_WIDTH_A : integer := 0;
READ_WIDTH_B : integer := 0;
SIM_COLLISION_CHECK : string := "ALL";
SIM_MODE : string := "SAFE";
SRVAL_A : bit_vector := X"00000";
SRVAL_B : bit_vector := X"00000";
WRITE_MODE_A : string := "WRITE_FIRST";
WRITE_MODE_B : string := "WRITE_FIRST";
WRITE_WIDTH_A : integer := 0;
WRITE_WIDTH_B : integer := 0
);
port (
DOA : out std_logic_vector(15 downto 0);
DOB : out std_logic_vector(15 downto 0);
DOPA : out std_logic_vector(1 downto 0);
DOPB : out std_logic_vector(1 downto 0);
ADDRA : in std_logic_vector(13 downto 0);
ADDRB : in std_logic_vector(13 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector(15 downto 0);
DIB : in std_logic_vector(15 downto 0);
DIPA : in std_logic_vector(1 downto 0);
DIPB : in std_logic_vector(1 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
REGCEA : in std_ulogic;
REGCEB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_logic_vector(1 downto 0);
WEB : in std_logic_vector(1 downto 0)
);
end component;
component RAMB36
generic (
DOA_REG : integer := 0;
DOB_REG : integer := 0;
INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_40 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_41 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_42 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_43 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_44 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_45 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_46 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_47 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_48 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_49 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_4A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_4B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_4C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_4D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_4E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_4F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_50 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_51 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_52 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_53 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_54 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_55 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_56 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_57 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_58 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_59 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_5A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_5B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_5C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_5D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_5E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_5F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_60 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_61 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_62 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_63 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_64 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_65 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_66 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_67 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_68 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_69 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_6A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_6B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_6C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_6D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_6E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_6F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_70 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_71 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_72 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_73 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_74 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_75 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_76 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_77 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_78 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_79 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_7A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_7B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_7C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_7D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_7E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_7F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_A : bit_vector := X"000000000";
INIT_B : bit_vector := X"000000000";
INIT_FILE : string := "NONE";
RAM_EXTENSION_A : string := "NONE";
RAM_EXTENSION_B : string := "NONE";
READ_WIDTH_A : integer := 0;
READ_WIDTH_B : integer := 0;
SIM_COLLISION_CHECK : string := "ALL";
SIM_MODE : string := "SAFE";
SRVAL_A : bit_vector := X"000000000";
SRVAL_B : bit_vector := X"000000000";
WRITE_MODE_A : string := "WRITE_FIRST";
WRITE_MODE_B : string := "WRITE_FIRST";
WRITE_WIDTH_A : integer := 0;
WRITE_WIDTH_B : integer := 0
);
port (
CASCADEOUTLATA : out std_ulogic;
CASCADEOUTLATB : out std_ulogic;
CASCADEOUTREGA : out std_ulogic;
CASCADEOUTREGB : out std_ulogic;
DOA : out std_logic_vector(31 downto 0);
DOB : out std_logic_vector(31 downto 0);
DOPA : out std_logic_vector(3 downto 0);
DOPB : out std_logic_vector(3 downto 0);
ADDRA : in std_logic_vector(15 downto 0);
ADDRB : in std_logic_vector(15 downto 0);
CASCADEINLATA : in std_ulogic;
CASCADEINLATB : in std_ulogic;
CASCADEINREGA : in std_ulogic;
CASCADEINREGB : in std_ulogic;
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector(31 downto 0);
DIB : in std_logic_vector(31 downto 0);
DIPA : in std_logic_vector(3 downto 0);
DIPB : in std_logic_vector(3 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
REGCEA : in std_ulogic;
REGCEB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_logic_vector(3 downto 0);
WEB : in std_logic_vector(3 downto 0)
);
end component;
component BUFGCE
port(
O : out STD_ULOGIC;
CE: in STD_ULOGIC;
I : in STD_ULOGIC
);
end component;
component RAM64X1S
generic (
INIT : bit_vector(63 downto 0) := X"0000000000000000"
);
port (
O : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
A4 : in std_ulogic;
A5 : in std_ulogic;
D : in std_ulogic;
WCLK : in std_ulogic;
WE : in std_ulogic
);
end component;
component IBUFDS_GTXE1
generic (
CLKCM_CFG : boolean := TRUE;
CLKRCV_TRST : boolean := TRUE;
REFCLKOUT_DLY : bit_vector := b"0000000000"
);
port (
O : out std_ulogic;
ODIV2 : out std_ulogic;
CEB : in std_ulogic;
I : in std_ulogic;
IB : in std_ulogic
);
end component;
----- component MMCM_ADV -----
component MMCM_ADV
generic (
BANDWIDTH : string := "OPTIMIZED";
CLKFBOUT_MULT_F : real := 5.000;
CLKFBOUT_PHASE : real := 0.000;
CLKFBOUT_USE_FINE_PS : boolean := FALSE;
CLKIN1_PERIOD : real := 0.000;
CLKIN2_PERIOD : real := 0.000;
CLKOUT0_DIVIDE_F : real := 1.000;
CLKOUT0_DUTY_CYCLE : real := 0.500;
CLKOUT0_PHASE : real := 0.000;
CLKOUT0_USE_FINE_PS : boolean := FALSE;
CLKOUT1_DIVIDE : integer := 1;
CLKOUT1_DUTY_CYCLE : real := 0.500;
CLKOUT1_PHASE : real := 0.000;
CLKOUT1_USE_FINE_PS : boolean := FALSE;
CLKOUT2_DIVIDE : integer := 1;
CLKOUT2_DUTY_CYCLE : real := 0.500;
CLKOUT2_PHASE : real := 0.000;
CLKOUT2_USE_FINE_PS : boolean := FALSE;
CLKOUT3_DIVIDE : integer := 1;
CLKOUT3_DUTY_CYCLE : real := 0.500;
CLKOUT3_PHASE : real := 0.000;
CLKOUT3_USE_FINE_PS : boolean := FALSE;
CLKOUT4_CASCADE : boolean := FALSE;
CLKOUT4_DIVIDE : integer := 1;
CLKOUT4_DUTY_CYCLE : real := 0.500;
CLKOUT4_PHASE : real := 0.000;
CLKOUT4_USE_FINE_PS : boolean := FALSE;
CLKOUT5_DIVIDE : integer := 1;
CLKOUT5_DUTY_CYCLE : real := 0.500;
CLKOUT5_PHASE : real := 0.000;
CLKOUT5_USE_FINE_PS : boolean := FALSE;
CLKOUT6_DIVIDE : integer := 1;
CLKOUT6_DUTY_CYCLE : real := 0.500;
CLKOUT6_PHASE : real := 0.000;
CLKOUT6_USE_FINE_PS : boolean := FALSE;
CLOCK_HOLD : boolean := FALSE;
COMPENSATION : string := "ZHOLD";
DIVCLK_DIVIDE : integer := 1;
REF_JITTER1 : real := 0.0;
REF_JITTER2 : real := 0.0;
STARTUP_WAIT : boolean := FALSE
);
port (
CLKFBOUT : out std_ulogic := '0';
CLKFBOUTB : out std_ulogic := '0';
CLKFBSTOPPED : out std_ulogic := '0';
CLKINSTOPPED : out std_ulogic := '0';
CLKOUT0 : out std_ulogic := '0';
CLKOUT0B : out std_ulogic := '0';
CLKOUT1 : out std_ulogic := '0';
CLKOUT1B : out std_ulogic := '0';
CLKOUT2 : out std_ulogic := '0';
CLKOUT2B : out std_ulogic := '0';
CLKOUT3 : out std_ulogic := '0';
CLKOUT3B : out std_ulogic := '0';
CLKOUT4 : out std_ulogic := '0';
CLKOUT5 : out std_ulogic := '0';
CLKOUT6 : out std_ulogic := '0';
DO : out std_logic_vector (15 downto 0);
DRDY : out std_ulogic := '0';
LOCKED : out std_ulogic := '0';
PSDONE : out std_ulogic := '0';
CLKFBIN : in std_ulogic;
CLKIN1 : in std_ulogic;
CLKIN2 : in std_ulogic;
CLKINSEL : in std_ulogic;
DADDR : in std_logic_vector(6 downto 0);
DCLK : in std_ulogic;
DEN : in std_ulogic;
DI : in std_logic_vector(15 downto 0);
DWE : in std_ulogic;
PSCLK : in std_ulogic;
PSEN : in std_ulogic;
PSINCDEC : in std_ulogic;
PWRDWN : in std_ulogic;
RST : in std_ulogic
);
end component;
component OSERDESE1
generic (
DATA_RATE_OQ : string := "DDR";
DATA_RATE_TQ : string := "DDR";
DATA_WIDTH : integer := 4;
DDR3_DATA : integer := 1;
INIT_OQ : bit := '0';
INIT_TQ : bit := '0';
INTERFACE_TYPE : string := "DEFAULT";
ODELAY_USED : integer := 0;
SERDES_MODE : string := "MASTER";
SRVAL_OQ : bit := '0';
SRVAL_TQ : bit := '0';
TRISTATE_WIDTH : integer := 4
);
port (
OCBEXTEND : out std_ulogic;
OFB : out std_ulogic;
OQ : out std_ulogic;
SHIFTOUT1 : out std_ulogic;
SHIFTOUT2 : out std_ulogic;
TFB : out std_ulogic;
TQ : out std_ulogic;
CLK : in std_ulogic;
CLKDIV : in std_ulogic;
CLKPERF : in std_ulogic;
CLKPERFDELAY : in std_ulogic;
D1 : in std_ulogic;
D2 : in std_ulogic;
D3 : in std_ulogic;
D4 : in std_ulogic;
D5 : in std_ulogic;
D6 : in std_ulogic;
OCE : in std_ulogic;
ODV : in std_ulogic;
RST : in std_ulogic;
SHIFTIN1 : in std_ulogic;
SHIFTIN2 : in std_ulogic;
T1 : in std_ulogic;
T2 : in std_ulogic;
T3 : in std_ulogic;
T4 : in std_ulogic;
TCE : in std_ulogic;
WC : in std_ulogic
);
end component;
component IODELAYE1
generic (
CINVCTRL_SEL : boolean := FALSE;
DELAY_SRC : string := "I";
HIGH_PERFORMANCE_MODE : boolean := FALSE;
IDELAY_TYPE : string := "DEFAULT";
IDELAY_VALUE : integer := 0;
ODELAY_TYPE : string := "FIXED";
ODELAY_VALUE : integer := 0;
REFCLK_FREQUENCY : real := 200.0;
SIGNAL_PATTERN : string := "DATA"
);
port (
CNTVALUEOUT : out std_logic_vector(4 downto 0);
DATAOUT : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
CINVCTRL : in std_ulogic;
CLKIN : in std_ulogic;
CNTVALUEIN : in std_logic_vector(4 downto 0);
DATAIN : in std_ulogic;
IDATAIN : in std_ulogic;
INC : in std_ulogic;
ODATAIN : in std_ulogic;
RST : in std_ulogic;
T : in std_ulogic
);
end component;
component ISERDESE1
generic (
DATA_RATE : string := "DDR";
DATA_WIDTH : integer := 4;
DYN_CLKDIV_INV_EN : boolean := FALSE;
DYN_CLK_INV_EN : boolean := FALSE;
INIT_Q1 : bit := '0';
INIT_Q2 : bit := '0';
INIT_Q3 : bit := '0';
INIT_Q4 : bit := '0';
INTERFACE_TYPE : string := "MEMORY";
IOBDELAY : string := "NONE";
NUM_CE : integer := 2;
OFB_USED : boolean := FALSE;
SERDES_MODE : string := "MASTER";
SRVAL_Q1 : bit := '0';
SRVAL_Q2 : bit := '0';
SRVAL_Q3 : bit := '0';
SRVAL_Q4 : bit := '0'
);
port (
O : out std_ulogic;
Q1 : out std_ulogic;
Q2 : out std_ulogic;
Q3 : out std_ulogic;
Q4 : out std_ulogic;
Q5 : out std_ulogic;
Q6 : out std_ulogic;
SHIFTOUT1 : out std_ulogic;
SHIFTOUT2 : out std_ulogic;
BITSLIP : in std_ulogic;
CE1 : in std_ulogic;
CE2 : in std_ulogic;
CLK : in std_ulogic;
CLKB : in std_ulogic;
CLKDIV : in std_ulogic;
D : in std_ulogic;
DDLY : in std_ulogic;
DYNCLKDIVSEL : in std_ulogic;
DYNCLKSEL : in std_ulogic;
OCLK : in std_ulogic;
OFB : in std_ulogic;
RST : in std_ulogic;
SHIFTIN1 : in std_ulogic;
SHIFTIN2 : in std_ulogic
);
end component;
component IOBUFDS_DIFF_OUT
generic (
DIFF_TERM : boolean := FALSE;
IBUF_LOW_PWR : boolean := TRUE;
IOSTANDARD : string := "DEFAULT"
);
port (
O : out std_ulogic;
OB : out std_ulogic;
IO : inout std_ulogic;
IOB : inout std_ulogic;
I : in std_ulogic;
TM : in std_ulogic;
TS : in std_ulogic
);
end component;
component SRLC32E
generic (
INIT : bit_vector := X"00000000"
);
port (
Q : out STD_ULOGIC;
Q31 : out STD_ULOGIC;
A : in STD_LOGIC_VECTOR (4 downto 0);
CE : in STD_ULOGIC;
CLK : in STD_ULOGIC;
D : in STD_ULOGIC
);
end component;
end;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Package: vcomponents
-- File: vcomponents.vhd
-- Author: Jiri Gaisler, Gaisler Research
-- Description: Component declartions of some XILINX primitives
-----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package vcomponents is
-- synopsys translate_off
-----------------------------------------
----------- FPGA Globals --------------
-----------------------------------------
signal GSR : std_logic := '0';
signal GTS : std_logic := '0';
signal GWE : std_logic := '0';
signal PLL_LOCKG : std_logic := 'H';
signal PROGB_GLBL : std_logic := '0';
signal CCLKO_GLBL : std_logic := 'H';
-----------------------------------------
----------- CPLD Globals --------------
-----------------------------------------
signal PRLD : std_logic := '0';
-----------------------------------------
----------- JTAG Globals --------------
-----------------------------------------
signal JTAG_TDO_GLBL : std_logic;
signal JTAG_TDI_GLBL : std_logic := '0';
signal JTAG_TMS_GLBL : std_logic := '0';
signal JTAG_TCK_GLBL : std_logic := '0';
signal JTAG_TRST_GLBL : std_logic := '0';
signal JTAG_CAPTURE_GLBL : std_logic := '0';
signal JTAG_RESET_GLBL : std_logic := '1';
signal JTAG_SHIFT_GLBL : std_logic := '1';
signal JTAG_UPDATE_GLBL : std_logic := '0';
signal JTAG_RUNTEST_GLBL : std_logic := '0';
signal JTAG_SEL1_GLBL : std_logic := '0';
signal JTAG_SEL2_GLBL : std_logic := '0';
signal JTAG_SEL3_GLBL : std_logic := '0';
signal JTAG_SEL4_GLBL : std_logic := '0';
signal JTAG_USER_TDO1_GLBL : std_logic := 'Z';
signal JTAG_USER_TDO2_GLBL : std_logic := 'Z';
signal JTAG_USER_TDO3_GLBL : std_logic := 'Z';
signal JTAG_USER_TDO4_GLBL : std_logic := 'Z';
-- synopsys translate_on
component ramb4_s16 port (
do : out std_logic_vector (15 downto 0);
addr : in std_logic_vector (7 downto 0);
clk : in std_ulogic;
di : in std_logic_vector (15 downto 0);
en, rst, we : in std_ulogic);
end component;
component RAMB4_S8
port (do : out std_logic_vector (7 downto 0);
addr : in std_logic_vector (8 downto 0);
clk : in std_ulogic;
di : in std_logic_vector (7 downto 0);
en, rst, we : in std_ulogic);
end component;
component RAMB4_S4
port (do : out std_logic_vector (3 downto 0);
addr : in std_logic_vector (9 downto 0);
clk : in std_ulogic;
di : in std_logic_vector (3 downto 0);
en, rst, we : in std_ulogic);
end component;
component RAMB4_S2
port (do : out std_logic_vector (1 downto 0);
addr : in std_logic_vector (10 downto 0);
clk : in std_ulogic;
di : in std_logic_vector (1 downto 0);
en, rst, we : in std_ulogic);
end component;
component RAMB4_S1
port (do : out std_logic_vector (0 downto 0);
addr : in std_logic_vector (11 downto 0);
clk : in std_ulogic;
di : in std_logic_vector (0 downto 0);
en, rst, we : in std_ulogic);
end component;
component RAMB4_S1_S1
port (
doa : out std_logic_vector (0 downto 0);
dob : out std_logic_vector (0 downto 0);
addra : in std_logic_vector (11 downto 0);
addrb : in std_logic_vector (11 downto 0);
clka : in std_ulogic;
clkb : in std_ulogic;
dia : in std_logic_vector (0 downto 0);
dib : in std_logic_vector (0 downto 0);
ena : in std_ulogic;
enb : in std_ulogic;
rsta : in std_ulogic;
rstb : in std_ulogic;
wea : in std_ulogic;
web : in std_ulogic
);
end component;
component RAMB4_S2_S2
port (
doa : out std_logic_vector (1 downto 0);
dob : out std_logic_vector (1 downto 0);
addra : in std_logic_vector (10 downto 0);
addrb : in std_logic_vector (10 downto 0);
clka : in std_ulogic;
clkb : in std_ulogic;
dia : in std_logic_vector (1 downto 0);
dib : in std_logic_vector (1 downto 0);
ena : in std_ulogic;
enb : in std_ulogic;
rsta : in std_ulogic;
rstb : in std_ulogic;
wea : in std_ulogic;
web : in std_ulogic
);
end component;
component RAMB4_S4_S4
port (
doa : out std_logic_vector (3 downto 0);
dob : out std_logic_vector (3 downto 0);
addra : in std_logic_vector (9 downto 0);
addrb : in std_logic_vector (9 downto 0);
clka : in std_ulogic;
clkb : in std_ulogic;
dia : in std_logic_vector (3 downto 0);
dib : in std_logic_vector (3 downto 0);
ena : in std_ulogic;
enb : in std_ulogic;
rsta : in std_ulogic;
rstb : in std_ulogic;
wea : in std_ulogic;
web : in std_ulogic
);
end component;
component RAMB4_S8_S8
port (
doa : out std_logic_vector (7 downto 0);
dob : out std_logic_vector (7 downto 0);
addra : in std_logic_vector (8 downto 0);
addrb : in std_logic_vector (8 downto 0);
clka : in std_ulogic;
clkb : in std_ulogic;
dia : in std_logic_vector (7 downto 0);
dib : in std_logic_vector (7 downto 0);
ena : in std_ulogic;
enb : in std_ulogic;
rsta : in std_ulogic;
rstb : in std_ulogic;
wea : in std_ulogic;
web : in std_ulogic
);
end component;
component RAMB4_S16_S16
port (
doa : out std_logic_vector (15 downto 0);
dob : out std_logic_vector (15 downto 0);
addra : in std_logic_vector (7 downto 0);
addrb : in std_logic_vector (7 downto 0);
clka : in std_ulogic;
clkb : in std_ulogic;
dia : in std_logic_vector (15 downto 0);
dib : in std_logic_vector (15 downto 0);
ena : in std_ulogic;
enb : in std_ulogic;
rsta : in std_ulogic;
rstb : in std_ulogic;
wea : in std_ulogic;
web : in std_ulogic
);
end component;
component RAMB16_S1
-- pragma translate_off
generic
(
INIT : bit_vector := X"0";
SRVAL : bit_vector := X"0";
WRITE_MODE : string := "WRITE_FIRST";
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"
);
-- pragma translate_on
port (
DO : out std_logic_vector (0 downto 0);
ADDR : in std_logic_vector (13 downto 0);
CLK : in std_ulogic;
DI : in std_logic_vector (0 downto 0);
EN : in std_ulogic;
SSR : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAMB16_S2
-- pragma translate_off
generic
(
INIT : bit_vector := X"0";
SRVAL : bit_vector := X"0";
WRITE_MODE : string := "WRITE_FIRST";
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"
);
-- pragma translate_on
port (
DO : out std_logic_vector (1 downto 0);
ADDR : in std_logic_vector (12 downto 0);
CLK : in std_ulogic;
DI : in std_logic_vector (1 downto 0);
EN : in std_ulogic;
SSR : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAMB16_S4
-- pragma translate_off
generic
(
INIT : bit_vector := X"0";
SRVAL : bit_vector := X"0";
WRITE_MODE : string := "WRITE_FIRST";
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"
);
-- pragma translate_on
port (
DO : out std_logic_vector (3 downto 0);
ADDR : in std_logic_vector (11 downto 0);
CLK : in std_ulogic;
DI : in std_logic_vector (3 downto 0);
EN : in std_ulogic;
SSR : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAMB16_S9
-- pragma translate_off
generic
(
INIT : bit_vector := X"000";
SRVAL : bit_vector := X"000";
WRITE_MODE : string := "WRITE_FIRST";
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"
);
-- pragma translate_on
port (
DO : out std_logic_vector (7 downto 0);
DOP : out std_logic_vector (0 downto 0);
ADDR : in std_logic_vector (10 downto 0);
CLK : in std_ulogic;
DI : in std_logic_vector (7 downto 0);
DIP : in std_logic_vector (0 downto 0);
EN : in std_ulogic;
SSR : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAMB16_S18
-- pragma translate_off
generic
(
INIT : bit_vector := X"00000";
SRVAL : bit_vector := X"00000";
write_mode : string := "WRITE_FIRST";
INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"
);
-- pragma translate_on
port (
DO : out std_logic_vector (15 downto 0);
DOP : out std_logic_vector (1 downto 0);
ADDR : in std_logic_vector (9 downto 0);
CLK : in std_ulogic;
DI : in std_logic_vector (15 downto 0);
DIP : in std_logic_vector (1 downto 0);
EN : in std_ulogic;
SSR : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAMB16_S36
-- pragma translate_off
generic
(
INIT : bit_vector := X"000000000";
SRVAL : bit_vector := X"000000000";
WRITE_MODE : string := "WRITE_FIRST";
INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"
);
-- pragma translate_on
port (
DO : out std_logic_vector (31 downto 0);
DOP : out std_logic_vector (3 downto 0);
ADDR : in std_logic_vector (8 downto 0);
CLK : in std_ulogic;
DI : in std_logic_vector (31 downto 0);
DIP : in std_logic_vector (3 downto 0);
EN : in std_ulogic;
SSR : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAMB16_S4_S4
-- pragma translate_off
generic
(
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_A : bit_vector := X"0";
INIT_B : bit_vector := X"0";
SIM_COLLISION_CHECK : string := "ALL";
SRVAL_A : bit_vector := X"0";
SRVAL_B : bit_vector := X"0";
WRITE_MODE_A : string := "WRITE_FIRST";
WRITE_MODE_B : string := "WRITE_FIRST"
);
-- pragma translate_on
port (
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0);
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic
);
end component;
component RAMB16_S1_S1
-- pragma translate_off
generic
(
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_A : bit_vector := X"0";
INIT_B : bit_vector := X"0";
SIM_COLLISION_CHECK : string := "ALL";
SRVAL_A : bit_vector := X"0";
SRVAL_B : bit_vector := X"0";
WRITE_MODE_A : string := "WRITE_FIRST";
WRITE_MODE_B : string := "WRITE_FIRST"
);
-- pragma translate_on
port (
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0);
ADDRA : in std_logic_vector (13 downto 0);
ADDRB : in std_logic_vector (13 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic
);
end component;
component RAMB16_S2_S2
-- pragma translate_off
generic
(
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_A : bit_vector := X"0";
INIT_B : bit_vector := X"0";
SIM_COLLISION_CHECK : string := "ALL";
SRVAL_A : bit_vector := X"0";
SRVAL_B : bit_vector := X"0";
WRITE_MODE_A : string := "WRITE_FIRST";
WRITE_MODE_B : string := "WRITE_FIRST"
);
-- pragma translate_on
port (
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0);
ADDRA : in std_logic_vector (12 downto 0);
ADDRB : in std_logic_vector (12 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic
);
end component;
component RAMB16_S9_S9
-- pragma translate_off
generic
(
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_A : bit_vector := X"000";
INIT_B : bit_vector := X"000";
SIM_COLLISION_CHECK : string := "ALL";
SRVAL_A : bit_vector := X"000";
SRVAL_B : bit_vector := X"000";
WRITE_MODE_A : string := "WRITE_FIRST";
WRITE_MODE_B : string := "WRITE_FIRST"
);
-- pragma translate_on
port (
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0);
DOPA : out std_logic_vector (0 downto 0);
DOPB : out std_logic_vector (0 downto 0);
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
DIPA : in std_logic_vector (0 downto 0);
DIPB : in std_logic_vector (0 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic
);
end component;
component RAMB16_S18_S18
-- pragma translate_off
generic
(
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_A : bit_vector := X"00000";
INIT_B : bit_vector := X"00000";
SIM_COLLISION_CHECK : string := "ALL";
SRVAL_A : bit_vector := X"00000";
SRVAL_B : bit_vector := X"00000";
WRITE_MODE_A : string := "WRITE_FIRST";
WRITE_MODE_B : string := "WRITE_FIRST"
);
-- pragma translate_on
port (
DOA : out std_logic_vector (15 downto 0);
DOB : out std_logic_vector (15 downto 0);
DOPA : out std_logic_vector (1 downto 0);
DOPB : out std_logic_vector (1 downto 0);
ADDRA : in std_logic_vector (9 downto 0);
ADDRB : in std_logic_vector (9 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (15 downto 0);
DIB : in std_logic_vector (15 downto 0);
DIPA : in std_logic_vector (1 downto 0);
DIPB : in std_logic_vector (1 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic);
end component;
component RAMB16_S36_S36
-- pragma translate_off
generic
(
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_A : bit_vector := X"000000000";
INIT_B : bit_vector := X"000000000";
SIM_COLLISION_CHECK : string := "ALL";
SRVAL_A : bit_vector := X"000000000";
SRVAL_B : bit_vector := X"000000000";
WRITE_MODE_A : string := "WRITE_FIRST";
WRITE_MODE_B : string := "WRITE_FIRST"
);
-- pragma translate_on
port (
DOA : out std_logic_vector (31 downto 0);
DOB : out std_logic_vector (31 downto 0);
DOPA : out std_logic_vector (3 downto 0);
DOPB : out std_logic_vector (3 downto 0);
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (31 downto 0);
DIB : in std_logic_vector (31 downto 0);
DIPA : in std_logic_vector (3 downto 0);
DIPB : in std_logic_vector (3 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic);
end component;
component DCM
generic (
CLKDV_DIVIDE : real := 2.0;
CLKFX_DIVIDE : integer := 1;
CLKFX_MULTIPLY : integer := 4;
CLKIN_DIVIDE_BY_2 : boolean := false;
CLKIN_PERIOD : real := 10.0;
CLKOUT_PHASE_SHIFT : string := "NONE";
CLK_FEEDBACK : string := "1X";
DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS";
DFS_FREQUENCY_MODE : string := "LOW";
DLL_FREQUENCY_MODE : string := "LOW";
DSS_MODE : string := "NONE";
DUTY_CYCLE_CORRECTION : boolean := true;
FACTORY_JF : bit_vector := X"C080";
PHASE_SHIFT : integer := 0;
STARTUP_WAIT : boolean := false
);
port (
CLKFB : in std_logic;
CLKIN : in std_logic;
DSSEN : in std_logic;
PSCLK : in std_logic;
PSEN : in std_logic;
PSINCDEC : in std_logic;
RST : in std_logic;
CLK0 : out std_logic;
CLK90 : out std_logic;
CLK180 : out std_logic;
CLK270 : out std_logic;
CLK2X : out std_logic;
CLK2X180 : out std_logic;
CLKDV : out std_logic;
CLKFX : out std_logic;
CLKFX180 : out std_logic;
LOCKED : out std_logic;
PSDONE : out std_logic;
STATUS : out std_logic_vector (7 downto 0));
end component;
component DCM_SP
generic (
TimingChecksOn : boolean := true;
InstancePath : string := "*";
Xon : boolean := true;
MsgOn : boolean := false;
CLKDV_DIVIDE : real := 2.0;
CLKFX_DIVIDE : integer := 1;
CLKFX_MULTIPLY : integer := 4;
CLKIN_DIVIDE_BY_2 : boolean := false;
CLKIN_PERIOD : real := 10.0; --non-simulatable
CLKOUT_PHASE_SHIFT : string := "NONE";
CLK_FEEDBACK : string := "1X";
DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS"; --non-simulatable
DFS_FREQUENCY_MODE : string := "LOW";
DLL_FREQUENCY_MODE : string := "LOW";
DSS_MODE : string := "NONE"; --non-simulatable
DUTY_CYCLE_CORRECTION : boolean := true;
FACTORY_JF : bit_vector := X"C080"; --non-simulatable
PHASE_SHIFT : integer := 0;
STARTUP_WAIT : boolean := false --non-simulatable
);
port (
CLK0 : out std_ulogic := '0';
CLK180 : out std_ulogic := '0';
CLK270 : out std_ulogic := '0';
CLK2X : out std_ulogic := '0';
CLK2X180 : out std_ulogic := '0';
CLK90 : out std_ulogic := '0';
CLKDV : out std_ulogic := '0';
CLKFX : out std_ulogic := '0';
CLKFX180 : out std_ulogic := '0';
LOCKED : out std_ulogic := '0';
PSDONE : out std_ulogic := '0';
STATUS : out std_logic_vector(7 downto 0) := "00000000";
CLKFB : in std_ulogic := '0';
CLKIN : in std_ulogic := '0';
DSSEN : in std_ulogic := '0';
PSCLK : in std_ulogic := '0';
PSEN : in std_ulogic := '0';
PSINCDEC : in std_ulogic := '0';
RST : in std_ulogic := '0'
);
end component;
----- component PLLE2_ADV -----
component PLLE2_ADV
generic (
BANDWIDTH : string := "OPTIMIZED";
CLKFBOUT_MULT : integer := 5;
CLKFBOUT_PHASE : real := 0.0;
CLKIN1_PERIOD : real := 0.0;
CLKIN2_PERIOD : real := 0.0;
CLKOUT0_DIVIDE : integer := 1;
CLKOUT0_DUTY_CYCLE : real := 0.5;
CLKOUT0_PHASE : real := 0.0;
CLKOUT1_DIVIDE : integer := 1;
CLKOUT1_DUTY_CYCLE : real := 0.5;
CLKOUT1_PHASE : real := 0.0;
CLKOUT2_DIVIDE : integer := 1;
CLKOUT2_DUTY_CYCLE : real := 0.5;
CLKOUT2_PHASE : real := 0.0;
CLKOUT3_DIVIDE : integer := 1;
CLKOUT3_DUTY_CYCLE : real := 0.5;
CLKOUT3_PHASE : real := 0.0;
CLKOUT4_DIVIDE : integer := 1;
CLKOUT4_DUTY_CYCLE : real := 0.5;
CLKOUT4_PHASE : real := 0.0;
CLKOUT5_DIVIDE : integer := 1;
CLKOUT5_DUTY_CYCLE : real := 0.5;
CLKOUT5_PHASE : real := 0.0;
COMPENSATION : string := "ZHOLD";
DIVCLK_DIVIDE : integer := 1;
REF_JITTER1 : real := 0.0;
REF_JITTER2 : real := 0.0;
STARTUP_WAIT : string := "FALSE"
);
port (
CLKFBOUT : out std_ulogic := '0';
CLKOUT0 : out std_ulogic := '0';
CLKOUT1 : out std_ulogic := '0';
CLKOUT2 : out std_ulogic := '0';
CLKOUT3 : out std_ulogic := '0';
CLKOUT4 : out std_ulogic := '0';
CLKOUT5 : out std_ulogic := '0';
DO : out std_logic_vector (15 downto 0);
DRDY : out std_ulogic := '0';
LOCKED : out std_ulogic := '0';
CLKFBIN : in std_ulogic;
CLKIN1 : in std_ulogic;
CLKIN2 : in std_ulogic;
CLKINSEL : in std_ulogic;
DADDR : in std_logic_vector(6 downto 0);
DCLK : in std_ulogic;
DEN : in std_ulogic;
DI : in std_logic_vector(15 downto 0);
DWE : in std_ulogic;
PWRDWN : in std_ulogic;
RST : in std_ulogic
);
end component;
component PLL_ADV
generic (
BANDWIDTH : string := "OPTIMIZED";
CLKFBOUT_DESKEW_ADJUST : string := "NONE";
CLKFBOUT_MULT : integer := 1;
CLKFBOUT_PHASE : real := 0.0;
CLKIN1_PERIOD : real := 0.000;
CLKIN2_PERIOD : real := 0.000;
CLKOUT0_DESKEW_ADJUST : string := "NONE";
CLKOUT0_DIVIDE : integer := 1;
CLKOUT0_DUTY_CYCLE : real := 0.5;
CLKOUT0_PHASE : real := 0.0;
CLKOUT1_DESKEW_ADJUST : string := "NONE";
CLKOUT1_DIVIDE : integer := 1;
CLKOUT1_DUTY_CYCLE : real := 0.5;
CLKOUT1_PHASE : real := 0.0;
CLKOUT2_DESKEW_ADJUST : string := "NONE";
CLKOUT2_DIVIDE : integer := 1;
CLKOUT2_DUTY_CYCLE : real := 0.5;
CLKOUT2_PHASE : real := 0.0;
CLKOUT3_DESKEW_ADJUST : string := "NONE";
CLKOUT3_DIVIDE : integer := 1;
CLKOUT3_DUTY_CYCLE : real := 0.5;
CLKOUT3_PHASE : real := 0.0;
CLKOUT4_DESKEW_ADJUST : string := "NONE";
CLKOUT4_DIVIDE : integer := 1;
CLKOUT4_DUTY_CYCLE : real := 0.5;
CLKOUT4_PHASE : real := 0.0;
CLKOUT5_DESKEW_ADJUST : string := "NONE";
CLKOUT5_DIVIDE : integer := 1;
CLKOUT5_DUTY_CYCLE : real := 0.5;
CLKOUT5_PHASE : real := 0.0;
CLK_FEEDBACK : string := "CLKFBOUT";
COMPENSATION : string := "SYSTEM_SYNCHRONOUS";
DIVCLK_DIVIDE : integer := 1;
EN_REL : boolean := FALSE;
PLL_PMCD_MODE : boolean := FALSE;
REF_JITTER : real := 0.100;
RESET_ON_LOSS_OF_LOCK : boolean := FALSE;
RST_DEASSERT_CLK : string := "CLKIN1";
SIM_DEVICE : string := "VIRTEX5"
);
port (
CLKFBDCM : out std_ulogic := '0';
CLKFBOUT : out std_ulogic := '0';
CLKOUT0 : out std_ulogic := '0';
CLKOUT1 : out std_ulogic := '0';
CLKOUT2 : out std_ulogic := '0';
CLKOUT3 : out std_ulogic := '0';
CLKOUT4 : out std_ulogic := '0';
CLKOUT5 : out std_ulogic := '0';
CLKOUTDCM0 : out std_ulogic := '0';
CLKOUTDCM1 : out std_ulogic := '0';
CLKOUTDCM2 : out std_ulogic := '0';
CLKOUTDCM3 : out std_ulogic := '0';
CLKOUTDCM4 : out std_ulogic := '0';
CLKOUTDCM5 : out std_ulogic := '0';
DO : out std_logic_vector(15 downto 0);
DRDY : out std_ulogic := '0';
LOCKED : out std_ulogic := '0';
CLKFBIN : in std_ulogic;
CLKIN1 : in std_ulogic;
CLKIN2 : in std_ulogic;
CLKINSEL : in std_ulogic;
DADDR : in std_logic_vector(4 downto 0);
DCLK : in std_ulogic;
DEN : in std_ulogic;
DI : in std_logic_vector(15 downto 0);
DWE : in std_ulogic;
REL : in std_ulogic;
RST : in std_ulogic
);
end component;
component PLL_BASE
generic (
BANDWIDTH : string := "OPTIMIZED";
CLKFBOUT_MULT : integer := 1;
CLKFBOUT_PHASE : real := 0.0;
CLKIN_PERIOD : real := 0.000;
CLKOUT0_DIVIDE : integer := 1;
CLKOUT0_DUTY_CYCLE : real := 0.5;
CLKOUT0_PHASE : real := 0.0;
CLKOUT1_DIVIDE : integer := 1;
CLKOUT1_DUTY_CYCLE : real := 0.5;
CLKOUT1_PHASE : real := 0.0;
CLKOUT2_DIVIDE : integer := 1;
CLKOUT2_DUTY_CYCLE : real := 0.5;
CLKOUT2_PHASE : real := 0.0;
CLKOUT3_DIVIDE : integer := 1;
CLKOUT3_DUTY_CYCLE : real := 0.5;
CLKOUT3_PHASE : real := 0.0;
CLKOUT4_DIVIDE : integer := 1;
CLKOUT4_DUTY_CYCLE : real := 0.5;
CLKOUT4_PHASE : real := 0.0;
CLKOUT5_DIVIDE : integer := 1;
CLKOUT5_DUTY_CYCLE : real := 0.5;
CLKOUT5_PHASE : real := 0.0;
CLK_FEEDBACK : string := "CLKFBOUT";
COMPENSATION : string := "SYSTEM_SYNCHRONOUS";
DIVCLK_DIVIDE : integer := 1;
REF_JITTER : real := 0.100;
RESET_ON_LOSS_OF_LOCK : boolean := FALSE
);
port (
CLKFBOUT : out std_ulogic;
CLKOUT0 : out std_ulogic;
CLKOUT1 : out std_ulogic;
CLKOUT2 : out std_ulogic;
CLKOUT3 : out std_ulogic;
CLKOUT4 : out std_ulogic;
CLKOUT5 : out std_ulogic;
LOCKED : out std_ulogic;
CLKFBIN : in std_ulogic;
CLKIN : in std_ulogic;
RST : in std_ulogic
);
end component;
component BUFGMUX port (O : out std_logic; I0, I1, S : in std_logic); end component;
component BUFG port (O : out std_logic; I : in std_logic); end component;
component BUFGP port (O : out std_logic; I : in std_logic); end component;
component BUFGDLL port (O : out std_logic; I : in std_logic); end component;
component IBUFG generic(
CAPACITANCE : string := "DONT_CARE";
IBUF_LOW_PWR : boolean := TRUE;
IOSTANDARD : string := "LVCMOS25");
port (O : out std_logic; I : in std_logic); end component;
component IBUF generic(
CAPACITANCE : string := "DONT_CARE"; IOSTANDARD : string := "LVCMOS25");
port (O : out std_ulogic; I : in std_ulogic); end component;
component IOBUF generic (
CAPACITANCE : string := "DONT_CARE"; DRIVE : integer := 12;
IBUF_DELAY_VALUE : string := "0"; IBUF_LOW_PWR : boolean := TRUE;
IFD_DELAY_VALUE : string := "AUTO";
IOSTANDARD : string := "LVCMOS25"; SLEW : string := "SLOW");
port (O : out std_ulogic; IO : inout std_logic; I, T : in std_ulogic); end component;
component OBUF generic (
CAPACITANCE : string := "DONT_CARE"; DRIVE : integer := 12;
IOSTANDARD : string := "LVCMOS25"; SLEW : string := "SLOW");
port (O : out std_ulogic; I : in std_ulogic); end component;
component OBUFT generic (
CAPACITANCE : string := "DONT_CARE"; DRIVE : integer := 12;
IOSTANDARD : string := "LVCMOS25"; SLEW : string := "SLOW");
port (O : out std_ulogic; I, T : in std_ulogic); end component;
component CLKDLL
port (
CLK0 : out std_ulogic;
CLK180 : out std_ulogic;
CLK270 : out std_ulogic;
CLK2X : out std_ulogic;
CLK90 : out std_ulogic;
CLKDV : out std_ulogic;
LOCKED : out std_ulogic;
CLKFB : in std_ulogic;
CLKIN : in std_ulogic;
RST : in std_ulogic);
end component;
component CLKDLLHF
port (
CLK0 : out std_ulogic := '0';
CLK180 : out std_ulogic := '0';
CLKDV : out std_ulogic := '0';
LOCKED : out std_ulogic := '0';
CLKFB : in std_ulogic := '0';
CLKIN : in std_ulogic := '0';
RST : in std_ulogic := '0');
end component;
component BSCAN_VIRTEX
port (CAPTURE : out STD_ULOGIC;
DRCK1 : out STD_ULOGIC;
DRCK2 : out STD_ULOGIC;
RESET : out STD_ULOGIC;
SEL1 : out STD_ULOGIC;
SEL2 : out STD_ULOGIC;
SHIFT : out STD_ULOGIC;
TDI : out STD_ULOGIC;
UPDATE : out STD_ULOGIC;
TDO1 : in STD_ULOGIC;
TDO2 : in STD_ULOGIC);
end component;
component BSCAN_VIRTEX2
port (CAPTURE : out STD_ULOGIC;
DRCK1 : out STD_ULOGIC;
DRCK2 : out STD_ULOGIC;
RESET : out STD_ULOGIC;
SEL1 : out STD_ULOGIC;
SEL2 : out STD_ULOGIC;
SHIFT : out STD_ULOGIC;
TDI : out STD_ULOGIC;
UPDATE : out STD_ULOGIC;
TDO1 : in STD_ULOGIC;
TDO2 : in STD_ULOGIC);
end component;
component BSCAN_SPARTAN3
port (CAPTURE : out STD_ULOGIC;
DRCK1 : out STD_ULOGIC;
DRCK2 : out STD_ULOGIC;
RESET : out STD_ULOGIC;
SEL1 : out STD_ULOGIC;
SEL2 : out STD_ULOGIC;
SHIFT : out STD_ULOGIC;
TDI : out STD_ULOGIC;
UPDATE : out STD_ULOGIC;
TDO1 : in STD_ULOGIC;
TDO2 : in STD_ULOGIC);
end component;
component BSCAN_VIRTEX4 generic ( JTAG_CHAIN : integer := 1);
port ( CAPTURE : out std_ulogic;
DRCK : out std_ulogic;
RESET : out std_ulogic;
SEL : out std_ulogic;
SHIFT : out std_ulogic;
TDI : out std_ulogic;
UPDATE : out std_ulogic;
TDO : in std_ulogic);
end component;
component BSCAN_VIRTEX5 generic ( JTAG_CHAIN : integer := 1);
port ( CAPTURE : out std_ulogic;
DRCK : out std_ulogic;
RESET : out std_ulogic;
SEL : out std_ulogic;
SHIFT : out std_ulogic;
TDI : out std_ulogic;
UPDATE : out std_ulogic;
TDO : in std_ulogic);
end component;
component IBUFDS
generic (
CAPACITANCE : string := "DONT_CARE";
DIFF_TERM : boolean := FALSE;
IBUF_DELAY_VALUE : string := "0";
IFD_DELAY_VALUE : string := "AUTO";
IOSTANDARD : string := "DEFAULT");
port (
O : out std_ulogic;
I : in std_ulogic;
IB : in std_ulogic
);
end component;
component IBUFDS_LVDS_25
port ( O : out std_ulogic;
I : in std_ulogic;
IB : in std_ulogic);
end component;
component IBUFGDS_LVDS_25
port ( O : out std_ulogic;
I : in std_ulogic;
IB : in std_ulogic);
end component;
component IOBUFDS
generic(
CAPACITANCE : string := "DONT_CARE";
IBUF_DELAY_VALUE : string := "0";
IFD_DELAY_VALUE : string := "AUTO";
IOSTANDARD : string := "DEFAULT");
port (
O : out std_ulogic;
IO : inout std_ulogic;
IOB : inout std_ulogic;
I : in std_ulogic;
T : in std_ulogic
);
end component;
component OBUFDS
generic(
CAPACITANCE : string := "DONT_CARE";
IOSTANDARD : string := "DEFAULT";
SLEW : string := "SLOW"
);
port(
O : out std_ulogic;
OB : out std_ulogic;
I : in std_ulogic
);
end component;
component OBUFDS_LVDS_25
port ( O : out std_ulogic;
OB : out std_ulogic;
I : in std_ulogic);
end component;
component OBUFTDS_LVDS_25
port ( O : out std_ulogic;
OB : out std_ulogic;
I : in std_ulogic;
T : in std_ulogic);
end component;
component IBUFGDS is
generic( CAPACITANCE : string := "DONT_CARE";
DIFF_TERM : boolean := FALSE; IBUF_DELAY_VALUE : string := "0";
IBUF_LOW_PWR : boolean := TRUE;
IOSTANDARD : string := "DEFAULT");
port (O : out std_logic; I, IB : in std_logic);
end component;
component IBUFDS_LVDS_33
port ( O : out std_ulogic;
I : in std_ulogic;
IB : in std_ulogic);
end component;
component IBUFGDS_LVDS_33
port ( O : out std_ulogic;
I : in std_ulogic;
IB : in std_ulogic);
end component;
component OBUFDS_LVDS_33
port ( O : out std_ulogic;
OB : out std_ulogic;
I : in std_ulogic);
end component;
component OBUFTDS_LVDS_33
port ( O : out std_ulogic;
OB : out std_ulogic;
I : in std_ulogic;
T : in std_ulogic);
end component;
component FDCPE
generic ( INIT : bit := '0');
port (
Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
CLR : in std_ulogic;
D : in std_ulogic;
PRE : in std_ulogic);
end component;
component IDDR
generic (
DDR_CLK_EDGE : string := "OPPOSITE_EDGE";
INIT_Q1 : bit := '0';
INIT_Q2 : bit := '0';
SRTYPE : string := "SYNC");
port
(
Q1 : out std_ulogic;
Q2 : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component ODDR
generic (
DDR_CLK_EDGE : string := "OPPOSITE_EDGE";
INIT : bit := '0';
SRTYPE : string := "SYNC");
port (
Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D1 : in std_ulogic;
D2 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component IFDDRRSE
port (
Q0 : out std_ulogic;
Q1 : out std_ulogic;
C0 : in std_ulogic;
C1 : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component OFDDRRSE
port (
Q : out std_ulogic;
C0 : in std_ulogic;
C1 : in std_ulogic;
CE : in std_ulogic;
D0 : in std_ulogic;
D1 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component FDDRRSE
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C0 : in std_ulogic;
C1 : in std_ulogic;
CE : in std_ulogic;
D0 : in std_ulogic;
D1 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component IDELAY
generic ( IOBDELAY_TYPE : string := "DEFAULT";
IOBDELAY_VALUE : integer := 0);
port ( O : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
I : in std_ulogic;
INC : in std_ulogic;
RST : in std_ulogic);
end component;
component IDELAYCTRL
port ( RDY : out std_ulogic;
REFCLK : in std_ulogic;
RST : in std_ulogic);
end component;
component BUFIO
port ( O : out std_ulogic;
I : in std_ulogic);
end component;
component BUFR
generic ( BUFR_DIVIDE : string := "BYPASS";
SIM_DEVICE : string := "VIRTEX4");
port ( O : out std_ulogic;
CE : in std_ulogic;
CLR : in std_ulogic;
I : in std_ulogic);
end component;
component ODDR2
generic
(
DDR_ALIGNMENT : string := "NONE";
INIT : bit := '0';
SRTYPE : string := "SYNC"
);
port
(
Q : out std_ulogic;
C0 : in std_ulogic;
C1 : in std_ulogic;
CE : in std_ulogic;
D0 : in std_ulogic;
D1 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic
);
end component;
component IDDR2
generic
(
DDR_ALIGNMENT : string := "NONE";
INIT_Q0 : bit := '0';
INIT_Q1 : bit := '0';
SRTYPE : string := "SYNC"
);
port
(
Q0 : out std_ulogic;
Q1 : out std_ulogic;
C0 : in std_ulogic;
C1 : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic
);
end component;
component SYSMON
generic
(
INIT_40 : bit_vector := X"0000";
INIT_41 : bit_vector := X"0000";
INIT_42 : bit_vector := X"0800";
INIT_43 : bit_vector := X"0000";
INIT_44 : bit_vector := X"0000";
INIT_45 : bit_vector := X"0000";
INIT_46 : bit_vector := X"0000";
INIT_47 : bit_vector := X"0000";
INIT_48 : bit_vector := X"0000";
INIT_49 : bit_vector := X"0000";
INIT_4A : bit_vector := X"0000";
INIT_4B : bit_vector := X"0000";
INIT_4C : bit_vector := X"0000";
INIT_4D : bit_vector := X"0000";
INIT_4E : bit_vector := X"0000";
INIT_4F : bit_vector := X"0000";
INIT_50 : bit_vector := X"0000";
INIT_51 : bit_vector := X"0000";
INIT_52 : bit_vector := X"0000";
INIT_53 : bit_vector := X"0000";
INIT_54 : bit_vector := X"0000";
INIT_55 : bit_vector := X"0000";
INIT_56 : bit_vector := X"0000";
INIT_57 : bit_vector := X"0000";
SIM_MONITOR_FILE : string := "design.txt"
);
port
(
ALM : out std_logic_vector(2 downto 0);
BUSY : out std_ulogic;
CHANNEL : out std_logic_vector(4 downto 0);
DO : out std_logic_vector(15 downto 0);
DRDY : out std_ulogic;
EOC : out std_ulogic;
EOS : out std_ulogic;
JTAGBUSY : out std_ulogic;
JTAGLOCKED : out std_ulogic;
JTAGMODIFIED : out std_ulogic;
OT : out std_ulogic;
CONVST : in std_ulogic;
CONVSTCLK : in std_ulogic;
DADDR : in std_logic_vector(6 downto 0);
DCLK : in std_ulogic;
DEN : in std_ulogic;
DI : in std_logic_vector(15 downto 0);
DWE : in std_ulogic;
RESET : in std_ulogic;
VAUXN : in std_logic_vector(15 downto 0);
VAUXP : in std_logic_vector(15 downto 0);
VN : in std_ulogic;
VP : in std_ulogic
);
end component;
component FDRSE
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component FDR
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic);
end component;
component FDRE
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic);
end component;
component FD
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic);
end component;
component FDRS
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component FDE
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic);
end component;
component MUXF5
port ( O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
S : in std_ulogic);
end component;
component VCC
port ( P : out std_ulogic := '1');
end component;
component GND
port ( G : out std_ulogic := '0');
end component;
component INV
port
(
O : out std_ulogic;
I : in std_ulogic
);
end component;
component LUT2_L
generic
(
INIT : bit_vector := X"0"
);
port
(
LO : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic
);
end component;
component LUT4
generic
(
INIT : bit_vector := X"0000"
);
port
(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic;
I3 : in std_ulogic
);
end component;
component LUT3
generic
(
INIT : bit_vector := X"00"
);
port
(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic
);
end component;
component LUT2
generic
(
INIT : bit_vector := X"0"
);
port
(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic
);
end component;
component FDC
generic
(
INIT : bit := '0'
);
port
(
Q : out std_ulogic;
C : in std_ulogic;
CLR : in std_ulogic;
D : in std_ulogic
);
end component;
component LUT3_L
generic
(
INIT : bit_vector := X"00"
);
port
(
LO : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic
);
end component;
component LUT1
generic
(
INIT : bit_vector := X"0"
);
port
(
O : out std_ulogic;
I0 : in std_ulogic
);
end component;
component LUT4_L
generic
(
INIT : bit_vector := X"0000"
);
port
(
LO : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic;
I3 : in std_ulogic
);
end component;
component FDCE
generic
(
INIT : bit := '0'
);
port
(
Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
CLR : in std_ulogic;
D : in std_ulogic
);
end component;
component FDC_1
generic
(
INIT : bit := '0'
);
port
(
Q : out std_ulogic;
C : in std_ulogic;
CLR : in std_ulogic;
D : in std_ulogic
);
end component;
component FDP
generic
(
INIT : bit := '1'
);
port
(
Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic;
PRE : in std_ulogic
);
end component;
component FDS
generic
(
INIT : bit := '1'
);
port
(
Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic;
S : in std_ulogic
);
end component;
component MUXCY
port
(
O : out std_ulogic;
CI : in std_ulogic;
DI : in std_ulogic;
S : in std_ulogic
);
end component;
component LUT1_L
generic
(
INIT : bit_vector := X"0"
);
port
(
LO : out std_ulogic;
I0 : in std_ulogic
);
end component;
component MUXF6
port
(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
S : in std_ulogic
);
end component;
component MUXF5_D
port
(
LO : out std_ulogic;
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
S : in std_ulogic
);
end component;
component XORCY
port
(
O : out std_ulogic;
CI : in std_ulogic;
LI : in std_ulogic
);
end component;
component MUXCY_L
port
(
LO : out std_ulogic;
CI : in std_ulogic;
DI : in std_ulogic;
S : in std_ulogic
);
end component;
component FDSE
generic
(
INIT : bit := '1'
);
port
(
Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
S : in std_ulogic
);
end component;
component MULT_AND
port
(
LO : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic
);
end component;
component SRL16E
generic
(
INIT : bit_vector := X"0000"
);
port
(
Q : out STD_ULOGIC;
A0 : in STD_ULOGIC;
A1 : in STD_ULOGIC;
A2 : in STD_ULOGIC;
A3 : in STD_ULOGIC;
CE : in STD_ULOGIC;
CLK : in STD_ULOGIC;
D : in STD_ULOGIC
);
end component;
component ROM256X1
generic
(
INIT : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000"
);
port
(
O : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
A4 : in std_ulogic;
A5 : in std_ulogic;
A6 : in std_ulogic;
A7 : in std_ulogic
);
end component;
component FDPE
generic
(
INIT : bit := '1'
);
port
(
Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
PRE : in std_ulogic
);
end component;
component MULT18X18
port
(
P : out std_logic_vector (35 downto 0);
A : in std_logic_vector (17 downto 0);
B : in std_logic_vector (17 downto 0)
);
end component;
component MULT18X18S
port
(
P : out std_logic_vector (35 downto 0);
A : in std_logic_vector (17 downto 0);
B : in std_logic_vector (17 downto 0);
C : in std_ulogic;
CE : in std_ulogic;
R : in std_ulogic
);
end component;
component MUXF7
port
(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
S : in std_ulogic
);
end component;
component IODELAY
generic
(
DELAY_SRC : string := "I";
HIGH_PERFORMANCE_MODE : boolean := true;
IDELAY_TYPE : string := "DEFAULT";
IDELAY_VALUE : integer := 0;
ODELAY_VALUE : integer := 0;
REFCLK_FREQUENCY : real := 200.0;
SIGNAL_PATTERN : string := "DATA"
);
port
(
DATAOUT : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
DATAIN : in std_ulogic;
IDATAIN : in std_ulogic;
INC : in std_ulogic;
ODATAIN : in std_ulogic;
RST : in std_ulogic;
T : in std_ulogic
);
end component;
component IODELAY2
generic (
COUNTER_WRAPAROUND : string := "WRAPAROUND";
DATA_RATE : string := "SDR";
DELAY_SRC : string := "IO";
IDELAY2_VALUE : integer := 0;
IDELAY_MODE : string := "NORMAL";
IDELAY_TYPE : string := "DEFAULT";
IDELAY_VALUE : integer := 0;
ODELAY_VALUE : integer := 0;
SERDES_MODE : string := "NONE";
SIM_TAPDELAY_VALUE : integer := 75
);
port (
BUSY : out std_ulogic;
DATAOUT : out std_ulogic;
DATAOUT2 : out std_ulogic;
DOUT : out std_ulogic;
TOUT : out std_ulogic;
CAL : in std_ulogic;
CE : in std_ulogic;
CLK : in std_ulogic;
IDATAIN : in std_ulogic;
INC : in std_ulogic;
IOCLK0 : in std_ulogic;
IOCLK1 : in std_ulogic;
ODATAIN : in std_ulogic;
RST : in std_ulogic;
T : in std_ulogic
);
end component;
component ISERDES
generic
(
BITSLIP_ENABLE : boolean := false;
DATA_RATE : string := "DDR";
DATA_WIDTH : integer := 4;
INIT_Q1 : bit := '0';
INIT_Q2 : bit := '0';
INIT_Q3 : bit := '0';
INIT_Q4 : bit := '0';
INTERFACE_TYPE : string := "MEMORY";
IOBDELAY : string := "NONE";
IOBDELAY_TYPE : string := "DEFAULT";
IOBDELAY_VALUE : integer := 0;
NUM_CE : integer := 2;
SERDES_MODE : string := "MASTER";
SRVAL_Q1 : bit := '0';
SRVAL_Q2 : bit := '0';
SRVAL_Q3 : bit := '0';
SRVAL_Q4 : bit := '0'
);
port
(
O : out std_ulogic;
Q1 : out std_ulogic;
Q2 : out std_ulogic;
Q3 : out std_ulogic;
Q4 : out std_ulogic;
Q5 : out std_ulogic;
Q6 : out std_ulogic;
SHIFTOUT1 : out std_ulogic;
SHIFTOUT2 : out std_ulogic;
BITSLIP : in std_ulogic;
CE1 : in std_ulogic;
CE2 : in std_ulogic;
CLK : in std_ulogic;
CLKDIV : in std_ulogic;
D : in std_ulogic;
DLYCE : in std_ulogic;
DLYINC : in std_ulogic;
DLYRST : in std_ulogic;
OCLK : in std_ulogic;
REV : in std_ulogic;
SHIFTIN1 : in std_ulogic;
SHIFTIN2 : in std_ulogic;
SR : in std_ulogic
);
end component;
component RAM16X1S
generic
(
INIT : bit_vector(15 downto 0) := X"0000"
);
port
(
O : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
D : in std_ulogic;
WCLK : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAM16X1D
generic
(
INIT : bit_vector(15 downto 0) := X"0000"
);
port
(
DPO : out std_ulogic;
SPO : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
D : in std_ulogic;
DPRA0 : in std_ulogic;
DPRA1 : in std_ulogic;
DPRA2 : in std_ulogic;
DPRA3 : in std_ulogic;
WCLK : in std_ulogic;
WE : in std_ulogic
);
end component;
component ROM32X1
generic
(
INIT : bit_vector := X"00000000"
);
port
(
O : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
A4 : in std_ulogic
);
end component;
component DSP48
generic
(
AREG : integer := 1;
B_INPUT : string := "DIRECT";
BREG : integer := 1;
CARRYINREG : integer := 1;
CARRYINSELREG : integer := 1;
CREG : integer := 1;
LEGACY_MODE : string := "MULT18X18S";
MREG : integer := 1;
OPMODEREG : integer := 1;
PREG : integer := 1;
SUBTRACTREG : integer := 1
);
port
(
BCOUT : out std_logic_vector(17 downto 0);
P : out std_logic_vector(47 downto 0);
PCOUT : out std_logic_vector(47 downto 0);
A : in std_logic_vector(17 downto 0);
B : in std_logic_vector(17 downto 0);
BCIN : in std_logic_vector(17 downto 0);
C : in std_logic_vector(47 downto 0);
CARRYIN : in std_ulogic;
CARRYINSEL : in std_logic_vector(1 downto 0);
CEA : in std_ulogic;
CEB : in std_ulogic;
CEC : in std_ulogic;
CECARRYIN : in std_ulogic;
CECINSUB : in std_ulogic;
CECTRL : in std_ulogic;
CEM : in std_ulogic;
CEP : in std_ulogic;
CLK : in std_ulogic;
OPMODE : in std_logic_vector(6 downto 0);
PCIN : in std_logic_vector(47 downto 0);
RSTA : in std_ulogic;
RSTB : in std_ulogic;
RSTC : in std_ulogic;
RSTCARRYIN : in std_ulogic;
RSTCTRL : in std_ulogic;
RSTM : in std_ulogic;
RSTP : in std_ulogic;
SUBTRACT : in std_ulogic
);
end component;
component RAMB16
generic
(
DOA_REG : integer := 0;
DOB_REG : integer := 0;
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_A : bit_vector := X"000000000";
INIT_B : bit_vector := X"000000000";
INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INVERT_CLK_DOA_REG : boolean := false;
INVERT_CLK_DOB_REG : boolean := false;
RAM_EXTENSION_A : string := "NONE";
RAM_EXTENSION_B : string := "NONE";
READ_WIDTH_A : integer := 0;
READ_WIDTH_B : integer := 0;
SIM_COLLISION_CHECK : string := "ALL";
SRVAL_A : bit_vector := X"000000000";
SRVAL_B : bit_vector := X"000000000";
WRITE_MODE_A : string := "WRITE_FIRST";
WRITE_MODE_B : string := "WRITE_FIRST";
WRITE_WIDTH_A : integer := 0;
WRITE_WIDTH_B : integer := 0
);
port
(
CASCADEOUTA : out std_ulogic;
CASCADEOUTB : out std_ulogic;
DOA : out std_logic_vector (31 downto 0);
DOB : out std_logic_vector (31 downto 0);
DOPA : out std_logic_vector (3 downto 0);
DOPB : out std_logic_vector (3 downto 0);
ADDRA : in std_logic_vector (14 downto 0);
ADDRB : in std_logic_vector (14 downto 0);
CASCADEINA : in std_ulogic;
CASCADEINB : in std_ulogic;
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (31 downto 0);
DIB : in std_logic_vector (31 downto 0);
DIPA : in std_logic_vector (3 downto 0);
DIPB : in std_logic_vector (3 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
REGCEA : in std_ulogic;
REGCEB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_logic_vector (3 downto 0);
WEB : in std_logic_vector (3 downto 0)
);
end component;
component MUXF8
port
(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
S : in std_ulogic
);
end component;
component RAM64X1D
generic ( INIT : bit_vector(63 downto 0) := X"0000000000000000");
port
(
DPO : out std_ulogic;
SPO : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
A4 : in std_ulogic;
A5 : in std_ulogic;
D : in std_ulogic;
DPRA0 : in std_ulogic;
DPRA1 : in std_ulogic;
DPRA2 : in std_ulogic;
DPRA3 : in std_ulogic;
DPRA4 : in std_ulogic;
DPRA5 : in std_ulogic;
WCLK : in std_ulogic;
WE : in std_ulogic
);
end component;
component BUF
port
(
O : out std_ulogic;
I : in std_ulogic
);
end component;
component LUT5
generic
(
INIT : bit_vector := X"00000000"
);
port
(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic;
I3 : in std_ulogic;
I4 : in std_ulogic
);
end component;
component LUT5_L
generic
(
INIT : bit_vector := X"00000000"
);
port
(
LO : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic;
I3 : in std_ulogic;
I4 : in std_ulogic
);
end component;
component LUT6
generic
(
INIT : bit_vector := X"0000000000000000"
);
port
(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic;
I3 : in std_ulogic;
I4 : in std_ulogic;
I5 : in std_ulogic
);
end component;
component LUT6_L
generic
(
INIT : bit_vector := X"0000000000000000"
);
port
(
LO : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic;
I3 : in std_ulogic;
I4 : in std_ulogic;
I5 : in std_ulogic
);
end component;
component RAM128X1S
generic (
INIT : bit_vector(127 downto 0) := X"00000000000000000000000000000000"
);
port (
O : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
A4 : in std_ulogic;
A5 : in std_ulogic;
A6 : in std_ulogic;
D : in std_ulogic;
WCLK : in std_ulogic;
WE : in std_ulogic
);
end component;
component SRLC16E
generic (
INIT : bit_vector := X"0000"
);
port (
Q : out STD_ULOGIC;
Q15 : out STD_ULOGIC;
A0 : in STD_ULOGIC;
A1 : in STD_ULOGIC;
A2 : in STD_ULOGIC;
A3 : in STD_ULOGIC;
CE : in STD_ULOGIC;
CLK : in STD_ULOGIC;
D : in STD_ULOGIC
);
end component;
component LD_1
generic(
INIT : bit := '0'
);
port(
Q : out std_ulogic := '0';
D : in std_ulogic;
G : in std_ulogic
);
end component;
component RAM32X1D
generic (
INIT : bit_vector(31 downto 0) := X"00000000"
);
port (
DPO : out std_ulogic;
SPO : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
A4 : in std_ulogic;
D : in std_ulogic;
DPRA0 : in std_ulogic;
DPRA1 : in std_ulogic;
DPRA2 : in std_ulogic;
DPRA3 : in std_ulogic;
DPRA4 : in std_ulogic;
WCLK : in std_ulogic;
WE : in std_ulogic
);
end component;
component FD_1
generic(
INIT : bit := '0'
);
port(
Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic
);
end component;
component XORCY_L
port(
LO : out std_ulogic;
CI : in std_ulogic;
LI : in std_ulogic
);
end component;
component RAM32M
generic (
INIT_A : bit_vector(63 downto 0) := X"0000000000000000";
INIT_B : bit_vector(63 downto 0) := X"0000000000000000";
INIT_C : bit_vector(63 downto 0) := X"0000000000000000";
INIT_D : bit_vector(63 downto 0) := X"0000000000000000"
);
port (
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0);
DOC : out std_logic_vector (1 downto 0);
DOD : out std_logic_vector (1 downto 0);
ADDRA : in std_logic_vector(4 downto 0);
ADDRB : in std_logic_vector(4 downto 0);
ADDRC : in std_logic_vector(4 downto 0);
ADDRD : in std_logic_vector(4 downto 0);
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
DIC : in std_logic_vector (1 downto 0);
DID : in std_logic_vector (1 downto 0);
WCLK : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAM128X1D
generic
(
INIT : bit_vector(127 downto 0) := X"00000000000000000000000000000000"
);
port
(
DPO : out std_ulogic;
SPO : out std_ulogic;
A : in std_logic_vector(6 downto 0);
D : in std_ulogic;
DPRA : in std_logic_vector(6 downto 0);
WCLK : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAM64M
generic (
INIT_A : bit_vector(63 downto 0) := X"0000000000000000";
INIT_B : bit_vector(63 downto 0) := X"0000000000000000";
INIT_C : bit_vector(63 downto 0) := X"0000000000000000";
INIT_D : bit_vector(63 downto 0) := X"0000000000000000"
);
port (
DOA : out std_ulogic;
DOB : out std_ulogic;
DOC : out std_ulogic;
DOD : out std_ulogic;
ADDRA : in std_logic_vector(5 downto 0);
ADDRB : in std_logic_vector(5 downto 0);
ADDRC : in std_logic_vector(5 downto 0);
ADDRD : in std_logic_vector(5 downto 0);
DIA : in std_ulogic;
DIB : in std_ulogic;
DIC : in std_ulogic;
DID : in std_ulogic;
WCLK : in std_ulogic;
WE : in std_ulogic
);
end component;
component XOR2
port(
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic
);
end component;
component BSCANE2
generic (
DISABLE_JTAG : string := "FALSE";
JTAG_CHAIN : integer := 1
);
port (
CAPTURE : out std_ulogic := 'H';
DRCK : out std_ulogic := 'H';
RESET : out std_ulogic := 'H';
RUNTEST : out std_ulogic := 'L';
SEL : out std_ulogic := 'L';
SHIFT : out std_ulogic := 'L';
TCK : out std_ulogic := 'L';
TDI : out std_ulogic := 'L';
TMS : out std_ulogic := 'L';
UPDATE : out std_ulogic := 'L';
TDO : in std_ulogic := 'X'
);
end component;
component BSCAN_SPARTAN6
generic (
JTAG_CHAIN : integer := 1
);
port (
CAPTURE : out std_ulogic := 'H';
DRCK : out std_ulogic := 'H';
RESET : out std_ulogic := 'H';
RUNTEST : out std_ulogic := 'L';
SEL : out std_ulogic := 'L';
SHIFT : out std_ulogic := 'L';
TCK : out std_ulogic := 'L';
TDI : out std_ulogic := 'L';
TMS : out std_ulogic := 'L';
UPDATE : out std_ulogic := 'L';
TDO : in std_ulogic := 'X'
);
end component;
component BSCAN_VIRTEX6
generic (
DISABLE_JTAG : boolean := FALSE;
JTAG_CHAIN : integer := 1
);
port (
CAPTURE : out std_ulogic := 'H';
DRCK : out std_ulogic := 'H';
RESET : out std_ulogic := 'H';
RUNTEST : out std_ulogic := 'L';
SEL : out std_ulogic := 'L';
SHIFT : out std_ulogic := 'L';
TCK : out std_ulogic := 'L';
TDI : out std_ulogic := 'L';
TMS : out std_ulogic := 'L';
UPDATE : out std_ulogic := 'L';
TDO : in std_ulogic := 'X'
);
end component;
component SRL16
generic ( INIT : bit_vector := X"0000");
port (
Q : out STD_ULOGIC;
A0 : in STD_ULOGIC;
A1 : in STD_ULOGIC;
A2 : in STD_ULOGIC;
A3 : in STD_ULOGIC;
CLK : in STD_ULOGIC;
D : in STD_ULOGIC);
end component;
component LUT6_2
generic(
INIT : bit_vector := X"0000000000000000"
);
port(
O5 : out std_ulogic;
O6 : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
I2 : in std_ulogic;
I3 : in std_ulogic;
I4 : in std_ulogic;
I5 : in std_ulogic
);
end component;
component DSP48E
generic(
SIM_MODE : string := "SAFE";
ACASCREG : integer := 1;
ALUMODEREG : integer := 1;
AREG : integer := 1;
AUTORESET_PATTERN_DETECT : boolean := FALSE;
AUTORESET_PATTERN_DETECT_OPTINV : string := "MATCH";
A_INPUT : string := "DIRECT";
BCASCREG : integer := 1;
BREG : integer := 1;
B_INPUT : string := "DIRECT";
CARRYINREG : integer := 1;
CARRYINSELREG : integer := 1;
CREG : integer := 1;
MASK : bit_vector := X"3FFFFFFFFFFF";
MREG : integer := 1;
MULTCARRYINREG : integer := 1;
OPMODEREG : integer := 1;
PATTERN : bit_vector := X"000000000000";
PREG : integer := 1;
SEL_MASK : string := "MASK";
SEL_PATTERN : string := "PATTERN";
SEL_ROUNDING_MASK : string := "SEL_MASK";
USE_MULT : string := "MULT_S";
USE_PATTERN_DETECT : string := "NO_PATDET";
USE_SIMD : string := "ONE48"
);
port(
ACOUT : out std_logic_vector(29 downto 0);
BCOUT : out std_logic_vector(17 downto 0);
CARRYCASCOUT : out std_ulogic;
CARRYOUT : out std_logic_vector(3 downto 0);
MULTSIGNOUT : out std_ulogic;
OVERFLOW : out std_ulogic;
P : out std_logic_vector(47 downto 0);
PATTERNBDETECT : out std_ulogic;
PATTERNDETECT : out std_ulogic;
PCOUT : out std_logic_vector(47 downto 0);
UNDERFLOW : out std_ulogic;
A : in std_logic_vector(29 downto 0);
ACIN : in std_logic_vector(29 downto 0);
ALUMODE : in std_logic_vector(3 downto 0);
B : in std_logic_vector(17 downto 0);
BCIN : in std_logic_vector(17 downto 0);
C : in std_logic_vector(47 downto 0);
CARRYCASCIN : in std_ulogic;
CARRYIN : in std_ulogic;
CARRYINSEL : in std_logic_vector(2 downto 0);
CEA1 : in std_ulogic;
CEA2 : in std_ulogic;
CEALUMODE : in std_ulogic;
CEB1 : in std_ulogic;
CEB2 : in std_ulogic;
CEC : in std_ulogic;
CECARRYIN : in std_ulogic;
CECTRL : in std_ulogic;
CEM : in std_ulogic;
CEMULTCARRYIN : in std_ulogic;
CEP : in std_ulogic;
CLK : in std_ulogic;
MULTSIGNIN : in std_ulogic;
OPMODE : in std_logic_vector(6 downto 0);
PCIN : in std_logic_vector(47 downto 0);
RSTA : in std_ulogic;
RSTALLCARRYIN : in std_ulogic;
RSTALUMODE : in std_ulogic;
RSTB : in std_ulogic;
RSTC : in std_ulogic;
RSTCTRL : in std_ulogic;
RSTM : in std_ulogic;
RSTP : in std_ulogic
);
end component;
component RAMB18
generic (
DOA_REG : integer := 0;
DOB_REG : integer := 0;
INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_A : bit_vector := X"00000";
INIT_B : bit_vector := X"00000";
INIT_FILE : string := "NONE";
READ_WIDTH_A : integer := 0;
READ_WIDTH_B : integer := 0;
SIM_COLLISION_CHECK : string := "ALL";
SIM_MODE : string := "SAFE";
SRVAL_A : bit_vector := X"00000";
SRVAL_B : bit_vector := X"00000";
WRITE_MODE_A : string := "WRITE_FIRST";
WRITE_MODE_B : string := "WRITE_FIRST";
WRITE_WIDTH_A : integer := 0;
WRITE_WIDTH_B : integer := 0
);
port (
DOA : out std_logic_vector(15 downto 0);
DOB : out std_logic_vector(15 downto 0);
DOPA : out std_logic_vector(1 downto 0);
DOPB : out std_logic_vector(1 downto 0);
ADDRA : in std_logic_vector(13 downto 0);
ADDRB : in std_logic_vector(13 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector(15 downto 0);
DIB : in std_logic_vector(15 downto 0);
DIPA : in std_logic_vector(1 downto 0);
DIPB : in std_logic_vector(1 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
REGCEA : in std_ulogic;
REGCEB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_logic_vector(1 downto 0);
WEB : in std_logic_vector(1 downto 0)
);
end component;
component RAMB36
generic (
DOA_REG : integer := 0;
DOB_REG : integer := 0;
INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_40 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_41 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_42 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_43 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_44 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_45 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_46 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_47 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_48 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_49 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_4A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_4B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_4C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_4D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_4E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_4F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_50 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_51 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_52 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_53 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_54 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_55 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_56 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_57 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_58 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_59 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_5A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_5B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_5C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_5D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_5E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_5F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_60 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_61 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_62 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_63 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_64 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_65 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_66 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_67 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_68 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_69 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_6A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_6B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_6C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_6D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_6E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_6F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_70 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_71 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_72 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_73 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_74 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_75 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_76 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_77 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_78 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_79 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_7A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_7B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_7C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_7D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_7E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_7F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_A : bit_vector := X"000000000";
INIT_B : bit_vector := X"000000000";
INIT_FILE : string := "NONE";
RAM_EXTENSION_A : string := "NONE";
RAM_EXTENSION_B : string := "NONE";
READ_WIDTH_A : integer := 0;
READ_WIDTH_B : integer := 0;
SIM_COLLISION_CHECK : string := "ALL";
SIM_MODE : string := "SAFE";
SRVAL_A : bit_vector := X"000000000";
SRVAL_B : bit_vector := X"000000000";
WRITE_MODE_A : string := "WRITE_FIRST";
WRITE_MODE_B : string := "WRITE_FIRST";
WRITE_WIDTH_A : integer := 0;
WRITE_WIDTH_B : integer := 0
);
port (
CASCADEOUTLATA : out std_ulogic;
CASCADEOUTLATB : out std_ulogic;
CASCADEOUTREGA : out std_ulogic;
CASCADEOUTREGB : out std_ulogic;
DOA : out std_logic_vector(31 downto 0);
DOB : out std_logic_vector(31 downto 0);
DOPA : out std_logic_vector(3 downto 0);
DOPB : out std_logic_vector(3 downto 0);
ADDRA : in std_logic_vector(15 downto 0);
ADDRB : in std_logic_vector(15 downto 0);
CASCADEINLATA : in std_ulogic;
CASCADEINLATB : in std_ulogic;
CASCADEINREGA : in std_ulogic;
CASCADEINREGB : in std_ulogic;
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector(31 downto 0);
DIB : in std_logic_vector(31 downto 0);
DIPA : in std_logic_vector(3 downto 0);
DIPB : in std_logic_vector(3 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
REGCEA : in std_ulogic;
REGCEB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_logic_vector(3 downto 0);
WEB : in std_logic_vector(3 downto 0)
);
end component;
component BUFGCE
port(
O : out STD_ULOGIC;
CE: in STD_ULOGIC;
I : in STD_ULOGIC
);
end component;
component RAM64X1S
generic (
INIT : bit_vector(63 downto 0) := X"0000000000000000"
);
port (
O : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
A4 : in std_ulogic;
A5 : in std_ulogic;
D : in std_ulogic;
WCLK : in std_ulogic;
WE : in std_ulogic
);
end component;
component IBUFDS_GTXE1
generic (
CLKCM_CFG : boolean := TRUE;
CLKRCV_TRST : boolean := TRUE;
REFCLKOUT_DLY : bit_vector := b"0000000000"
);
port (
O : out std_ulogic;
ODIV2 : out std_ulogic;
CEB : in std_ulogic;
I : in std_ulogic;
IB : in std_ulogic
);
end component;
----- component MMCM_ADV -----
component MMCM_ADV
generic (
BANDWIDTH : string := "OPTIMIZED";
CLKFBOUT_MULT_F : real := 5.000;
CLKFBOUT_PHASE : real := 0.000;
CLKFBOUT_USE_FINE_PS : boolean := FALSE;
CLKIN1_PERIOD : real := 0.000;
CLKIN2_PERIOD : real := 0.000;
CLKOUT0_DIVIDE_F : real := 1.000;
CLKOUT0_DUTY_CYCLE : real := 0.500;
CLKOUT0_PHASE : real := 0.000;
CLKOUT0_USE_FINE_PS : boolean := FALSE;
CLKOUT1_DIVIDE : integer := 1;
CLKOUT1_DUTY_CYCLE : real := 0.500;
CLKOUT1_PHASE : real := 0.000;
CLKOUT1_USE_FINE_PS : boolean := FALSE;
CLKOUT2_DIVIDE : integer := 1;
CLKOUT2_DUTY_CYCLE : real := 0.500;
CLKOUT2_PHASE : real := 0.000;
CLKOUT2_USE_FINE_PS : boolean := FALSE;
CLKOUT3_DIVIDE : integer := 1;
CLKOUT3_DUTY_CYCLE : real := 0.500;
CLKOUT3_PHASE : real := 0.000;
CLKOUT3_USE_FINE_PS : boolean := FALSE;
CLKOUT4_CASCADE : boolean := FALSE;
CLKOUT4_DIVIDE : integer := 1;
CLKOUT4_DUTY_CYCLE : real := 0.500;
CLKOUT4_PHASE : real := 0.000;
CLKOUT4_USE_FINE_PS : boolean := FALSE;
CLKOUT5_DIVIDE : integer := 1;
CLKOUT5_DUTY_CYCLE : real := 0.500;
CLKOUT5_PHASE : real := 0.000;
CLKOUT5_USE_FINE_PS : boolean := FALSE;
CLKOUT6_DIVIDE : integer := 1;
CLKOUT6_DUTY_CYCLE : real := 0.500;
CLKOUT6_PHASE : real := 0.000;
CLKOUT6_USE_FINE_PS : boolean := FALSE;
CLOCK_HOLD : boolean := FALSE;
COMPENSATION : string := "ZHOLD";
DIVCLK_DIVIDE : integer := 1;
REF_JITTER1 : real := 0.0;
REF_JITTER2 : real := 0.0;
STARTUP_WAIT : boolean := FALSE
);
port (
CLKFBOUT : out std_ulogic := '0';
CLKFBOUTB : out std_ulogic := '0';
CLKFBSTOPPED : out std_ulogic := '0';
CLKINSTOPPED : out std_ulogic := '0';
CLKOUT0 : out std_ulogic := '0';
CLKOUT0B : out std_ulogic := '0';
CLKOUT1 : out std_ulogic := '0';
CLKOUT1B : out std_ulogic := '0';
CLKOUT2 : out std_ulogic := '0';
CLKOUT2B : out std_ulogic := '0';
CLKOUT3 : out std_ulogic := '0';
CLKOUT3B : out std_ulogic := '0';
CLKOUT4 : out std_ulogic := '0';
CLKOUT5 : out std_ulogic := '0';
CLKOUT6 : out std_ulogic := '0';
DO : out std_logic_vector (15 downto 0);
DRDY : out std_ulogic := '0';
LOCKED : out std_ulogic := '0';
PSDONE : out std_ulogic := '0';
CLKFBIN : in std_ulogic;
CLKIN1 : in std_ulogic;
CLKIN2 : in std_ulogic;
CLKINSEL : in std_ulogic;
DADDR : in std_logic_vector(6 downto 0);
DCLK : in std_ulogic;
DEN : in std_ulogic;
DI : in std_logic_vector(15 downto 0);
DWE : in std_ulogic;
PSCLK : in std_ulogic;
PSEN : in std_ulogic;
PSINCDEC : in std_ulogic;
PWRDWN : in std_ulogic;
RST : in std_ulogic
);
end component;
component OSERDESE1
generic (
DATA_RATE_OQ : string := "DDR";
DATA_RATE_TQ : string := "DDR";
DATA_WIDTH : integer := 4;
DDR3_DATA : integer := 1;
INIT_OQ : bit := '0';
INIT_TQ : bit := '0';
INTERFACE_TYPE : string := "DEFAULT";
ODELAY_USED : integer := 0;
SERDES_MODE : string := "MASTER";
SRVAL_OQ : bit := '0';
SRVAL_TQ : bit := '0';
TRISTATE_WIDTH : integer := 4
);
port (
OCBEXTEND : out std_ulogic;
OFB : out std_ulogic;
OQ : out std_ulogic;
SHIFTOUT1 : out std_ulogic;
SHIFTOUT2 : out std_ulogic;
TFB : out std_ulogic;
TQ : out std_ulogic;
CLK : in std_ulogic;
CLKDIV : in std_ulogic;
CLKPERF : in std_ulogic;
CLKPERFDELAY : in std_ulogic;
D1 : in std_ulogic;
D2 : in std_ulogic;
D3 : in std_ulogic;
D4 : in std_ulogic;
D5 : in std_ulogic;
D6 : in std_ulogic;
OCE : in std_ulogic;
ODV : in std_ulogic;
RST : in std_ulogic;
SHIFTIN1 : in std_ulogic;
SHIFTIN2 : in std_ulogic;
T1 : in std_ulogic;
T2 : in std_ulogic;
T3 : in std_ulogic;
T4 : in std_ulogic;
TCE : in std_ulogic;
WC : in std_ulogic
);
end component;
component IODELAYE1
generic (
CINVCTRL_SEL : boolean := FALSE;
DELAY_SRC : string := "I";
HIGH_PERFORMANCE_MODE : boolean := FALSE;
IDELAY_TYPE : string := "DEFAULT";
IDELAY_VALUE : integer := 0;
ODELAY_TYPE : string := "FIXED";
ODELAY_VALUE : integer := 0;
REFCLK_FREQUENCY : real := 200.0;
SIGNAL_PATTERN : string := "DATA"
);
port (
CNTVALUEOUT : out std_logic_vector(4 downto 0);
DATAOUT : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
CINVCTRL : in std_ulogic;
CLKIN : in std_ulogic;
CNTVALUEIN : in std_logic_vector(4 downto 0);
DATAIN : in std_ulogic;
IDATAIN : in std_ulogic;
INC : in std_ulogic;
ODATAIN : in std_ulogic;
RST : in std_ulogic;
T : in std_ulogic
);
end component;
component ISERDESE1
generic (
DATA_RATE : string := "DDR";
DATA_WIDTH : integer := 4;
DYN_CLKDIV_INV_EN : boolean := FALSE;
DYN_CLK_INV_EN : boolean := FALSE;
INIT_Q1 : bit := '0';
INIT_Q2 : bit := '0';
INIT_Q3 : bit := '0';
INIT_Q4 : bit := '0';
INTERFACE_TYPE : string := "MEMORY";
IOBDELAY : string := "NONE";
NUM_CE : integer := 2;
OFB_USED : boolean := FALSE;
SERDES_MODE : string := "MASTER";
SRVAL_Q1 : bit := '0';
SRVAL_Q2 : bit := '0';
SRVAL_Q3 : bit := '0';
SRVAL_Q4 : bit := '0'
);
port (
O : out std_ulogic;
Q1 : out std_ulogic;
Q2 : out std_ulogic;
Q3 : out std_ulogic;
Q4 : out std_ulogic;
Q5 : out std_ulogic;
Q6 : out std_ulogic;
SHIFTOUT1 : out std_ulogic;
SHIFTOUT2 : out std_ulogic;
BITSLIP : in std_ulogic;
CE1 : in std_ulogic;
CE2 : in std_ulogic;
CLK : in std_ulogic;
CLKB : in std_ulogic;
CLKDIV : in std_ulogic;
D : in std_ulogic;
DDLY : in std_ulogic;
DYNCLKDIVSEL : in std_ulogic;
DYNCLKSEL : in std_ulogic;
OCLK : in std_ulogic;
OFB : in std_ulogic;
RST : in std_ulogic;
SHIFTIN1 : in std_ulogic;
SHIFTIN2 : in std_ulogic
);
end component;
component IOBUFDS_DIFF_OUT
generic (
DIFF_TERM : boolean := FALSE;
IBUF_LOW_PWR : boolean := TRUE;
IOSTANDARD : string := "DEFAULT"
);
port (
O : out std_ulogic;
OB : out std_ulogic;
IO : inout std_ulogic;
IOB : inout std_ulogic;
I : in std_ulogic;
TM : in std_ulogic;
TS : in std_ulogic
);
end component;
component SRLC32E
generic (
INIT : bit_vector := X"00000000"
);
port (
Q : out STD_ULOGIC;
Q31 : out STD_ULOGIC;
A : in STD_LOGIC_VECTOR (4 downto 0);
CE : in STD_ULOGIC;
CLK : in STD_ULOGIC;
D : in STD_ULOGIC
);
end component;
end;
|
-- NEED RESULT: ARCH00295: Bit short circuiting results passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
-- TEST NAME:
--
-- CT00295
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 7.2.1 (6)
-- 7.2.1 (7)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00295)
-- ENT00295_Test_Bench(ARCH00295_Test_Bench)
--
-- REVISION HISTORY:
--
-- 24-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00295 of E00000 is
begin
P00295 :
process
variable vbit : bit;
variable bit0 : bit := '0';
variable bit1 : bit := '1';
variable bool : boolean := true ;
function do_not_evaluate return bit is
begin
test_report ( "ARCH00295" ,
"Bit short circuiting correct" ,
false ) ;
return '0' ;
end do_not_evaluate ;
begin
vbit := bit0 and (do_not_evaluate and do_not_evaluate) ;
bool := bool and vbit = '0' ;
vbit := (bit0 and do_not_evaluate) and do_not_evaluate ;
bool := bool and vbit = '0' ;
vbit := bit1 and (bit0 and do_not_evaluate) ;
bool := bool and vbit = '0' ;
vbit := (bit1 and bit0) and do_not_evaluate ;
bool := bool and vbit = '0' ;
vbit := bit1 and (bit1 and bit0) ;
bool := bool and vbit = '0' ;
vbit := (bit1 and bit1) and bit0 ;
bool := bool and vbit = '0' ;
vbit := bit1 and (bit1 and bit1) ;
bool := bool and vbit = '1' ;
vbit := (bit1 and bit1) and bit1 ;
bool := bool and vbit = '1' ;
vbit := bit0 or (bit0 or bit0) ;
bool := bool and vbit = '0' ;
vbit := (bit0 or bit0) or bit0 ;
bool := bool and vbit = '0' ;
vbit := bit0 or (bit0 or bit1) ;
bool := bool and vbit = '1' ;
vbit := (bit0 or bit0) or bit1 ;
bool := bool and vbit = '1' ;
vbit := bit0 or (bit1 or do_not_evaluate) ;
bool := bool and vbit = '1' ;
vbit := (bit0 or bit1) or do_not_evaluate ;
bool := bool and vbit = '1' ;
vbit := bit1 or (do_not_evaluate or do_not_evaluate) ;
bool := bool and vbit = '1' ;
vbit := (bit1 or do_not_evaluate) or do_not_evaluate ;
bool := bool and vbit = '1' ;
vbit := bit0 or (bit0 and do_not_evaluate) ;
bool := bool and vbit = '0' ;
vbit := (bit0 or bit0) and do_not_evaluate ;
bool := bool and vbit = '0' ;
vbit := bit0 or (bit1 and bit0) ;
bool := bool and vbit = '0' ;
vbit := (bit0 or bit1) and bit0 ;
bool := bool and vbit = '0' ;
vbit := bit0 or (bit1 and bit1) ;
bool := bool and vbit = '1' ;
vbit := (bit0 or bit1) and bit1 ;
bool := bool and vbit = '1' ;
vbit := bit1 or (do_not_evaluate and do_not_evaluate) ;
bool := bool and vbit = '1' ;
vbit := (bit1 or do_not_evaluate) and bit0 ;
bool := bool and vbit = '0' ;
vbit := (bit1 or do_not_evaluate) and bit1 ;
bool := bool and vbit = '1' ;
vbit := bit0 and (do_not_evaluate or do_not_evaluate) ;
bool := bool and vbit = '0' ;
vbit := (bit0 and do_not_evaluate) or bit0 ;
bool := bool and vbit = '0' ;
vbit := (bit0 and do_not_evaluate) or bit1 ;
bool := bool and vbit = '1' ;
vbit := bit1 and (bit0 or bit0) ;
bool := bool and vbit = '0' ;
vbit := (bit1 and bit0) or bit0 ;
bool := bool and vbit = '0' ;
vbit := bit1 and (bit0 or bit1) ;
bool := bool and vbit = '1' ;
vbit := (bit1 and bit0) or bit1 ;
bool := bool and vbit = '1' ;
vbit := bit1 and (bit1 or do_not_evaluate) ;
bool := bool and vbit = '1' ;
vbit := (bit1 and bit1) or do_not_evaluate ;
bool := bool and vbit = '1' ;
vbit := bit0 nand (do_not_evaluate nand do_not_evaluate) ;
bool := bool and vbit = '1' ;
vbit := bit1 nand (bit0 nand do_not_evaluate) ;
bool := bool and vbit = '0' ;
vbit := bit1 nand (bit1 nand bit0) ;
bool := bool and vbit = '0' ;
vbit := (bit1 nand bit1) nand bit0 ;
bool := bool and vbit = '1' ;
vbit := bit1 nand (bit1 nand bit1) ;
bool := bool and vbit = '1' ;
vbit := (bit1 nand bit1) nand bit1 ;
bool := bool and vbit = '1' ;
vbit := bit0 nor (bit0 nor bit0) ;
bool := bool and vbit = '0' ;
vbit := (bit0 nor bit0) nor bit0 ;
bool := bool and vbit = '0' ;
vbit := bit0 nor (bit0 nor bit1) ;
bool := bool and vbit = '1' ;
vbit := (bit0 nor bit0) nor bit1 ;
bool := bool and vbit = '0' ;
vbit := bit0 nor (bit1 nor do_not_evaluate) ;
bool := bool and vbit = '1' ;
vbit := bit0 nor (bit0 nand do_not_evaluate) ;
bool := bool and vbit = '0' ;
vbit := bit0 nor (bit1 nand bit0) ;
bool := bool and vbit = '0' ;
vbit := (bit0 nor bit1) nand bit0 ;
bool := bool and vbit = '1' ;
vbit := bit0 nor (bit1 nand bit1) ;
bool := bool and vbit = '1' ;
vbit := (bit0 nor bit1) nand bit1 ;
bool := bool and vbit = '1' ;
vbit := bit1 nor (do_not_evaluate nand do_not_evaluate) ;
bool := bool and vbit = '0' ;
vbit := (bit1 nor do_not_evaluate) nand bit0 ;
bool := bool and vbit = '1' ;
vbit := (bit1 nor do_not_evaluate) nand bit1 ;
bool := bool and vbit = '1' ;
vbit := bit0 nand (do_not_evaluate nor do_not_evaluate) ;
bool := bool and vbit = '1' ;
vbit := (bit0 nand do_not_evaluate) nor bit0 ;
bool := bool and vbit = '0' ;
vbit := (bit0 nand do_not_evaluate) nor bit1 ;
bool := bool and vbit = '0' ;
vbit := bit1 nand (bit0 nor bit0) ;
bool := bool and vbit = '0' ;
vbit := (bit1 nand bit0) nor bit0 ;
bool := bool and vbit = '0' ;
vbit := bit1 nand (bit0 nor bit1) ;
bool := bool and vbit = '1' ;
vbit := (bit1 nand bit0) nor bit1 ;
bool := bool and vbit = '0' ;
vbit := bit1 nand (bit1 nor do_not_evaluate) ;
bool := bool and vbit = '1' ;
test_report ( "ARCH00295" ,
"Bit short circuiting results" ,
bool ) ;
wait ;
end process P00295 ;
end ARCH00295 ;
entity ENT00295_Test_Bench is
end ENT00295_Test_Bench ;
architecture ARCH00295_Test_Bench of ENT00295_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00295 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00295_Test_Bench ;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 17:10:00 02/25/2015
-- Design Name:
-- Module Name: esram - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity esram is
PORT (
addra : IN std_logic_VECTOR (7 DOWNTO 0);
clka : IN std_logic;
dina : IN std_logic_VECTOR (7 DOWNTO 0);
wea : IN std_logic_VECTOR (0 DOWNTO 0);
douta : OUT std_logic_VECTOR (7 DOWNTO 0)
);
end esram;
architecture Behavioral of esram is
begin
end Behavioral;
|
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
------------------------------------------------------------
-------------------------------------------------------------------------------
-- Filename: axi_dma_smple_sm.vhd
-- Description: This entity contains the DMA Controller State Machine for
-- Simple DMA mode.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_dma_v7_1;
use axi_dma_v7_1.axi_dma_pkg.all;
library lib_pkg_v1_0;
use lib_pkg_v1_0.lib_pkg.clog2;
-------------------------------------------------------------------------------
entity axi_dma_smple_sm is
generic (
C_M_AXI_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for MM2S Read Port
C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14;
-- Width of Buffer Length, Transferred Bytes, and BTT fields
C_MICRO_DMA : integer range 0 to 1 := 0
);
port (
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
-- Channel 1 Control and Status --
run_stop : in std_logic ; --
keyhole : in std_logic ;
stop : in std_logic ; --
cmnd_idle : out std_logic ; --
sts_idle : out std_logic ; --
--
-- DataMover Status --
sts_received : in std_logic ; --
sts_received_clr : out std_logic ; --
--
-- DataMover Command --
cmnd_wr : out std_logic ; --
cmnd_data : out std_logic_vector --
((2*C_M_AXI_ADDR_WIDTH+CMD_BASE_WIDTH+46)-1 downto 0); --
cmnd_pending : in std_logic ; --
--
-- Trasnfer Qualifiers --
xfer_length_wren : in std_logic ; --
xfer_address : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH-1 downto 0) ; --
xfer_length : in std_logic_vector --
(C_SG_LENGTH_WIDTH - 1 downto 0) --
);
end axi_dma_smple_sm;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_dma_smple_sm is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- DataMover Command Destination Stream Offset
constant CMD_DSA : std_logic_vector(5 downto 0) := (others => '0');
-- DataMover Cmnd Reserved Bits
constant CMD_RSVD : std_logic_vector(
DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_ADDR_WIDTH downto
DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_ADDR_WIDTH)
:= (others => '0');
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
type SMPL_STATE_TYPE is (
IDLE,
EXECUTE_XFER,
WAIT_STATUS
);
signal smpl_cs : SMPL_STATE_TYPE;
signal smpl_ns : SMPL_STATE_TYPE;
-- State Machine Signals
signal write_cmnd_cmb : std_logic := '0';
signal cmnd_wr_i : std_logic := '0';
signal sts_received_clr_cmb : std_logic := '0';
signal cmnds_queued : std_logic := '0';
signal cmd_dumb : std_logic_vector (C_M_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
signal zeros : std_logic_vector (45 downto 0) := (others => '0');
signal burst_type : std_logic;
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-- Pass command write control out
cmnd_wr <= cmnd_wr_i;
burst_type <= '1' and (not keyhole);
-- 0 means fixed burst
-- 1 means increment burst
-------------------------------------------------------------------------------
-- MM2S Transfer State Machine
-------------------------------------------------------------------------------
MM2S_MACHINE : process(smpl_cs,
run_stop,
xfer_length_wren,
sts_received,
cmnd_pending,
cmnds_queued,
stop
)
begin
-- Default signal assignment
write_cmnd_cmb <= '0';
sts_received_clr_cmb <= '0';
cmnd_idle <= '0';
smpl_ns <= smpl_cs;
case smpl_cs is
-------------------------------------------------------------------
when IDLE =>
-- Running, no errors, and new length written,then execute
-- transfer
if( run_stop = '1' and xfer_length_wren = '1' and stop = '0'
and cmnds_queued = '0') then
smpl_ns <= EXECUTE_XFER;
else
cmnd_idle <= '1';
end if;
-------------------------------------------------------------------
when EXECUTE_XFER =>
-- error detected
if(stop = '1')then
smpl_ns <= IDLE;
-- Write another command if there is not one already pending
elsif(cmnd_pending = '0')then
write_cmnd_cmb <= '1';
smpl_ns <= WAIT_STATUS;
else
smpl_ns <= EXECUTE_XFER;
end if;
-------------------------------------------------------------------
when WAIT_STATUS =>
-- wait until desc update complete or error occurs
if(sts_received = '1' or stop = '1')then
sts_received_clr_cmb <= '1';
smpl_ns <= IDLE;
else
smpl_ns <= WAIT_STATUS;
end if;
-------------------------------------------------------------------
-- coverage off
when others =>
smpl_ns <= IDLE;
-- coverage on
end case;
end process MM2S_MACHINE;
-------------------------------------------------------------------------------
-- register state machine states
-------------------------------------------------------------------------------
REGISTER_STATE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
smpl_cs <= IDLE;
else
smpl_cs <= smpl_ns;
end if;
end if;
end process REGISTER_STATE;
-- Register state machine signals
REGISTER_STATE_SIGS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn ='0')then
sts_received_clr <= '0';
else
sts_received_clr <= sts_received_clr_cmb;
end if;
end if;
end process REGISTER_STATE_SIGS;
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- If Bytes To Transfer (BTT) width less than 23, need to add pad
GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate
constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0)
:= (others => '0');
begin
-- When command by sm, drive command to mm2s_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
cmnd_wr_i <= '0';
cmnd_data <= (others => '0');
-- SM issued a command write
elsif(write_cmnd_cmb = '1')then
cmnd_wr_i <= '1';
cmnd_data <= zeros
& cmd_dumb
& CMD_RSVD
-- Command Tag
& '0' -- Tag Not Used in Simple Mode
& '0' -- Tag Not Used in Simple Mode
& '0' -- Tag Not Used in Simple Mode
& '0' -- Tag Not Used in Simple Mode
-- Command
& xfer_address -- Command Address
& '1' -- Command SOF
& '1' -- Command EOF
& CMD_DSA -- Stream Offset
& burst_type -- Key Hole Operation'1' -- Not Used
& PAD_VALUE
& xfer_length;
else
cmnd_wr_i <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
end generate GEN_CMD_BTT_LESS_23;
-- If Bytes To Transfer (BTT) width equal 23, no required pad
GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate
begin
-- When command by sm, drive command to mm2s_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
cmnd_wr_i <= '0';
cmnd_data <= (others => '0');
-- SM issued a command write
elsif(write_cmnd_cmb = '1')then
cmnd_wr_i <= '1';
cmnd_data <= zeros
& cmd_dumb
& CMD_RSVD
-- Command Tag
& '0' -- Tag Not Used in Simple Mode
& '0' -- Tag Not Used in Simple Mode
& '0' -- Tag Not Used in Simple Mode
& '0' -- Tag Not Used in Simple Mode
-- Command
& xfer_address -- Command Address
& '1' -- Command SOF
& '1' -- Command EOF
& CMD_DSA -- Stream Offset
& burst_type -- key Hole Operation '1' -- Not Used
& xfer_length;
else
cmnd_wr_i <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
end generate GEN_CMD_BTT_EQL_23;
-------------------------------------------------------------------------------
-- Flag indicating command being processed by Datamover
-------------------------------------------------------------------------------
-- count number of queued commands to keep track of what datamover is still
-- working on
CMD2STS_COUNTER : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or stop = '1')then
cmnds_queued <= '0';
elsif(cmnd_wr_i = '1')then
cmnds_queued <= '1';
elsif(sts_received = '1')then
cmnds_queued <= '0';
end if;
end if;
end process CMD2STS_COUNTER;
-- Indicate status is idle when no cmnd/sts queued
sts_idle <= '1' when cmnds_queued = '0'
else '0';
end implementation;
|
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
------------------------------------------------------------
-------------------------------------------------------------------------------
-- Filename: axi_dma_smple_sm.vhd
-- Description: This entity contains the DMA Controller State Machine for
-- Simple DMA mode.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_dma_v7_1;
use axi_dma_v7_1.axi_dma_pkg.all;
library lib_pkg_v1_0;
use lib_pkg_v1_0.lib_pkg.clog2;
-------------------------------------------------------------------------------
entity axi_dma_smple_sm is
generic (
C_M_AXI_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for MM2S Read Port
C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14;
-- Width of Buffer Length, Transferred Bytes, and BTT fields
C_MICRO_DMA : integer range 0 to 1 := 0
);
port (
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
-- Channel 1 Control and Status --
run_stop : in std_logic ; --
keyhole : in std_logic ;
stop : in std_logic ; --
cmnd_idle : out std_logic ; --
sts_idle : out std_logic ; --
--
-- DataMover Status --
sts_received : in std_logic ; --
sts_received_clr : out std_logic ; --
--
-- DataMover Command --
cmnd_wr : out std_logic ; --
cmnd_data : out std_logic_vector --
((2*C_M_AXI_ADDR_WIDTH+CMD_BASE_WIDTH+46)-1 downto 0); --
cmnd_pending : in std_logic ; --
--
-- Trasnfer Qualifiers --
xfer_length_wren : in std_logic ; --
xfer_address : in std_logic_vector --
(C_M_AXI_ADDR_WIDTH-1 downto 0) ; --
xfer_length : in std_logic_vector --
(C_SG_LENGTH_WIDTH - 1 downto 0) --
);
end axi_dma_smple_sm;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_dma_smple_sm is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- DataMover Command Destination Stream Offset
constant CMD_DSA : std_logic_vector(5 downto 0) := (others => '0');
-- DataMover Cmnd Reserved Bits
constant CMD_RSVD : std_logic_vector(
DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_ADDR_WIDTH downto
DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_ADDR_WIDTH)
:= (others => '0');
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
type SMPL_STATE_TYPE is (
IDLE,
EXECUTE_XFER,
WAIT_STATUS
);
signal smpl_cs : SMPL_STATE_TYPE;
signal smpl_ns : SMPL_STATE_TYPE;
-- State Machine Signals
signal write_cmnd_cmb : std_logic := '0';
signal cmnd_wr_i : std_logic := '0';
signal sts_received_clr_cmb : std_logic := '0';
signal cmnds_queued : std_logic := '0';
signal cmd_dumb : std_logic_vector (C_M_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
signal zeros : std_logic_vector (45 downto 0) := (others => '0');
signal burst_type : std_logic;
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-- Pass command write control out
cmnd_wr <= cmnd_wr_i;
burst_type <= '1' and (not keyhole);
-- 0 means fixed burst
-- 1 means increment burst
-------------------------------------------------------------------------------
-- MM2S Transfer State Machine
-------------------------------------------------------------------------------
MM2S_MACHINE : process(smpl_cs,
run_stop,
xfer_length_wren,
sts_received,
cmnd_pending,
cmnds_queued,
stop
)
begin
-- Default signal assignment
write_cmnd_cmb <= '0';
sts_received_clr_cmb <= '0';
cmnd_idle <= '0';
smpl_ns <= smpl_cs;
case smpl_cs is
-------------------------------------------------------------------
when IDLE =>
-- Running, no errors, and new length written,then execute
-- transfer
if( run_stop = '1' and xfer_length_wren = '1' and stop = '0'
and cmnds_queued = '0') then
smpl_ns <= EXECUTE_XFER;
else
cmnd_idle <= '1';
end if;
-------------------------------------------------------------------
when EXECUTE_XFER =>
-- error detected
if(stop = '1')then
smpl_ns <= IDLE;
-- Write another command if there is not one already pending
elsif(cmnd_pending = '0')then
write_cmnd_cmb <= '1';
smpl_ns <= WAIT_STATUS;
else
smpl_ns <= EXECUTE_XFER;
end if;
-------------------------------------------------------------------
when WAIT_STATUS =>
-- wait until desc update complete or error occurs
if(sts_received = '1' or stop = '1')then
sts_received_clr_cmb <= '1';
smpl_ns <= IDLE;
else
smpl_ns <= WAIT_STATUS;
end if;
-------------------------------------------------------------------
-- coverage off
when others =>
smpl_ns <= IDLE;
-- coverage on
end case;
end process MM2S_MACHINE;
-------------------------------------------------------------------------------
-- register state machine states
-------------------------------------------------------------------------------
REGISTER_STATE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
smpl_cs <= IDLE;
else
smpl_cs <= smpl_ns;
end if;
end if;
end process REGISTER_STATE;
-- Register state machine signals
REGISTER_STATE_SIGS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn ='0')then
sts_received_clr <= '0';
else
sts_received_clr <= sts_received_clr_cmb;
end if;
end if;
end process REGISTER_STATE_SIGS;
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- If Bytes To Transfer (BTT) width less than 23, need to add pad
GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate
constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0)
:= (others => '0');
begin
-- When command by sm, drive command to mm2s_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
cmnd_wr_i <= '0';
cmnd_data <= (others => '0');
-- SM issued a command write
elsif(write_cmnd_cmb = '1')then
cmnd_wr_i <= '1';
cmnd_data <= zeros
& cmd_dumb
& CMD_RSVD
-- Command Tag
& '0' -- Tag Not Used in Simple Mode
& '0' -- Tag Not Used in Simple Mode
& '0' -- Tag Not Used in Simple Mode
& '0' -- Tag Not Used in Simple Mode
-- Command
& xfer_address -- Command Address
& '1' -- Command SOF
& '1' -- Command EOF
& CMD_DSA -- Stream Offset
& burst_type -- Key Hole Operation'1' -- Not Used
& PAD_VALUE
& xfer_length;
else
cmnd_wr_i <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
end generate GEN_CMD_BTT_LESS_23;
-- If Bytes To Transfer (BTT) width equal 23, no required pad
GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate
begin
-- When command by sm, drive command to mm2s_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
cmnd_wr_i <= '0';
cmnd_data <= (others => '0');
-- SM issued a command write
elsif(write_cmnd_cmb = '1')then
cmnd_wr_i <= '1';
cmnd_data <= zeros
& cmd_dumb
& CMD_RSVD
-- Command Tag
& '0' -- Tag Not Used in Simple Mode
& '0' -- Tag Not Used in Simple Mode
& '0' -- Tag Not Used in Simple Mode
& '0' -- Tag Not Used in Simple Mode
-- Command
& xfer_address -- Command Address
& '1' -- Command SOF
& '1' -- Command EOF
& CMD_DSA -- Stream Offset
& burst_type -- key Hole Operation '1' -- Not Used
& xfer_length;
else
cmnd_wr_i <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
end generate GEN_CMD_BTT_EQL_23;
-------------------------------------------------------------------------------
-- Flag indicating command being processed by Datamover
-------------------------------------------------------------------------------
-- count number of queued commands to keep track of what datamover is still
-- working on
CMD2STS_COUNTER : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or stop = '1')then
cmnds_queued <= '0';
elsif(cmnd_wr_i = '1')then
cmnds_queued <= '1';
elsif(sts_received = '1')then
cmnds_queued <= '0';
end if;
end if;
end process CMD2STS_COUNTER;
-- Indicate status is idle when no cmnd/sts queued
sts_idle <= '1' when cmnds_queued = '0'
else '0';
end implementation;
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY sumadorTest IS
END sumadorTest;
ARCHITECTURE behavior OF sumadorTest IS
COMPONENT Prueba
PORT(
a : IN std_logic_vector(3 downto 0);
b : IN std_logic_vector(3 downto 0);
op : IN std_logic;
s : OUT std_logic_vector(3 downto 0);
Cout : OUT std_logic
);
END COMPONENT;
--Inputs
signal a : std_logic_vector(3 downto 0) := (others => '0');
signal b : std_logic_vector(3 downto 0) := (others => '0');
signal op : std_logic := '0';
--Outputs
signal s : std_logic_vector(3 downto 0);
signal Cout : std_logic;
BEGIN
uut: Prueba PORT MAP (
a => a,
b => b,
op => op,
s => s,
Cout => Cout
);
process
begin
a <= "1001";
b <= "0101";
wait for 10 ns;
a <= "0110";
b <= "0011";
op <= '1';
wait for 20 ns;
op <= '0';
wait;
end process;
END;
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY sumadorTest IS
END sumadorTest;
ARCHITECTURE behavior OF sumadorTest IS
COMPONENT Prueba
PORT(
a : IN std_logic_vector(3 downto 0);
b : IN std_logic_vector(3 downto 0);
op : IN std_logic;
s : OUT std_logic_vector(3 downto 0);
Cout : OUT std_logic
);
END COMPONENT;
--Inputs
signal a : std_logic_vector(3 downto 0) := (others => '0');
signal b : std_logic_vector(3 downto 0) := (others => '0');
signal op : std_logic := '0';
--Outputs
signal s : std_logic_vector(3 downto 0);
signal Cout : std_logic;
BEGIN
uut: Prueba PORT MAP (
a => a,
b => b,
op => op,
s => s,
Cout => Cout
);
process
begin
a <= "1001";
b <= "0101";
wait for 10 ns;
a <= "0110";
b <= "0011";
op <= '1';
wait for 20 ns;
op <= '0';
wait;
end process;
END;
|
-- libraries --------------------------------------------------------------------------------- {{{
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.ALL;
use ieee.std_logic_textio.all;
use std.textio.all;
------------------------------------------------------------------------------------------------- }}}
package FGPU_definitions is
constant N_CU_W : natural := 3; --0 to 3
-- Bitwidth of # of CUs
constant CACHE_N_BANKS_W : natural := 3;
-- Bitwidth of # words within a cache line. Minimum is 2
constant N_TAG_MANAGERS_W : natural := N_CU_W+0; -- 0 to 1
-- Bitwidth of # tag controllers per CU
constant SUB_INTEGER_IMPLEMENT : natural := 1;
-- implement sub-integer store operations
constant N_RECEIVERS_CU_W : natural := 6-N_CU_W;
-- Bitwidth of # of receivers inside the global memory controller per CU. (6-N_CU_W) will lead to 64 receivers whatever the # of CU is.
constant N_STATIONS_ALU : natural := 4;
-- # stations to store memory requests sourced by a single ALU
constant BURST_WORDS_W : natural := 5;
-- Bitwidth # of words within a single AXI burst
constant ENABLE_READ_PRIORIRY_PIPE : boolean := false;
constant FIFO_ADDR_W : natural := 4;
-- Bitwidth of the fifo size to store outgoing memory requests from a CU
constant N_RD_FIFOS_TAG_MANAGER_W : natural := 0;
constant FINISH_FIFO_ADDR_W : natural := 3;
-- Bitwidth of the fifo depth to mark dirty cache lines to be cleared at the end
constant N_AXI_W : natural := 1;
-- Bitwidth of # of AXI data ports
constant CV_W : natural := 3;
-- bitwidth of # of PEs within a CV
constant LMEM_ADDR_W : natural := 10;
-- bitwidth of local memory address for a single PE
constant CV_TO_CACHE_SLICE : natural := 3;
constant INSTR_READ_SLICE : boolean := true;
constant RTM_WRITE_SLICE : boolean := true;
constant WRITE_PHASE_W : natural := 1;
-- # of MSBs of the receiver index in the global memory controller which will be selected to write. These bits increments always.
-- This incrmenetation should help to balance serving the receivers
constant RCV_PRIORITY_W : natural := 3;
constant N_WF_CU_W : natural := 3;
-- bitwidth of # of WFs that can be simultaneously managed within a CU
constant ATOMIC_IMPLEMENT : natural := 1;
constant AADD_ATOMIC : natural := 1;
constant AMAX_ATOMIC : natural := 1;
constant FLOAT_IMPLEMENT : natural := 0;
constant FADD_IMPLEMENT : integer := 1;
constant FMUL_IMPLEMENT : integer := 1;
constant FDIV_IMPLEMENT : integer := 1;
constant FSQRT_IMPLEMENT : integer := 1;
constant FADD_DELAY : integer := 11;
constant FMUL_DELAY : integer := 8;
constant FDIV_DELAY : integer := 28;
constant FSQRT_DELAY : integer := 28;
constant MAX_FPU_DELAY : integer := FDIV_DELAY;
constant GMEM_N_BANK_W : natural := 1;
constant ID_WIDTH : natural := 6;
constant PHASE_W : natural := 3;
constant CV_SIZE : natural := 2**CV_W;
constant WF_SIZE_W : natural := PHASE_W + CV_W;
-- A WF will be executed on the PEs of a single CV withen PAHSE_LEN cycels
constant WG_SIZE_W : natural := WF_SIZE_W + N_WF_CU_W;
-- A WG must be executed on a single CV. It contains a number of WFs which is at maximum the amount that can be managed within a CV
constant RTM_ADDR_W : natural := 1+2+N_WF_CU_W+PHASE_W; -- 1+2+3+3 = 9bit
-- The MSB if select between local indcs or other information
-- The lower 2 MSBs for d0, d1 or d2. The middle N_WF_CU_W are for the WF index with the CV. The lower LSBs are for the phase index
constant RTM_DATA_W : natural := CV_SIZE*WG_SIZE_W; -- Bitwidth of RTM data ports
constant BURST_W : natural := BURST_WORDS_W - GMEM_N_BANK_W; -- burst width in number of transfers on the axi bus
constant RD_FIFO_N_BURSTS_W : natural := 1;
constant RD_FIFO_W : natural := BURST_W + RD_FIFO_N_BURSTS_W;
constant N_TAG_MANAGERS : natural := 2**N_TAG_MANAGERS_W;
constant N_AXI : natural := 2**N_AXI_W;
constant N_WR_FIFOS_AXI_W : natural := N_TAG_MANAGERS_W-N_AXI_W;
constant INTERFCE_W_ADDR_W : natural := 14;
constant CRAM_ADDR_W : natural := 12; -- TODO
constant DATA_W : natural := 32;
constant BRAM18kb32b_ADDR_W : natural := 9;
constant BRAM36kb64b_ADDR_W : natural := 9;
constant BRAM36kb_ADDR_W : natural := 10;
constant INST_FIFO_PRE_LEN : natural := 8;
constant CV_INST_FIFO_W : natural := 3;
constant LOC_MEM_W : natural := BRAM18kb32b_ADDR_W;
constant N_PARAMS_W : natural := 4;
constant GMEM_ADDR_W : natural := 32;
constant WI_REG_ADDR_W : natural := 5;
constant N_REG_BLOCKS_W : natural := 2;
constant REG_FILE_BLOCK_W : natural := PHASE_W+WI_REG_ADDR_W+N_WF_CU_W-N_REG_BLOCKS_W; -- default=3+5+3-2=9
constant N_WR_FIFOS_W : natural := N_WR_FIFOS_AXI_W + N_AXI_W;
constant N_WR_FIFOS_AXI : natural := 2**N_WR_FIFOS_AXI_W;
constant N_WR_FIFOS : natural := 2**N_WR_FIFOS_W;
constant STAT : natural := 1;
constant STAT_LOAD : natural := 0;
-- cache & gmem controller constants
constant BRMEM_ADDR_W : natural := BRAM36kb_ADDR_W; -- default=10
constant N_RD_PORTS : natural := 4;
constant N : natural := CACHE_N_BANKS_W; -- max. 3
constant L : natural := BURST_WORDS_W-N; -- min. 2
constant M : natural := BRMEM_ADDR_W - L; -- max. 8
-- L+M = BMEM_ADDR_W = 10 = #address bits of a BRAM
-- cache size = 2^(N+L+M) words; max.=8*4KB=32KB
constant N_RECEIVERS_CU : natural := 2**N_RECEIVERS_CU_W;
constant N_RECEIVERS_W : natural := N_CU_W + N_RECEIVERS_CU_W;
constant N_RECEIVERS : natural := 2**N_RECEIVERS_W;
constant N_CU_STATIONS_W : natural := 6;
constant GMEM_WORD_ADDR_W : natural := GMEM_ADDR_W - 2;
constant TAG_W : natural := GMEM_WORD_ADDR_W -M -L -N;
constant GMEM_N_BANK : natural := 2**GMEM_N_BANK_W;
constant CACHE_N_BANKS : natural := 2**CACHE_N_BANKS_W;
constant REG_FILE_W : natural := N_REG_BLOCKS_W+REG_FILE_BLOCK_W;
constant N_REG_BLOCKS : natural := 2**N_REG_BLOCKS_W;
constant REG_ADDR_W : natural := BRAM18kb32b_ADDR_W+BRAM18kb32b_ADDR_W;
constant REG_FILE_SIZE : natural := 2**REG_ADDR_W;
constant REG_FILE_BLOCK_SIZE : natural := 2**REG_FILE_BLOCK_W;
constant GMEM_DATA_W : natural := GMEM_N_BANK * DATA_W;
constant N_PARAMS : natural := 2**N_PARAMS_W;
constant LOC_MEM_SIZE : natural := 2**LOC_MEM_W;
constant PHASE_LEN : natural := 2**PHASE_W;
constant CV_INST_FIFO_SIZE : natural := 2**CV_INST_FIFO_W;
constant N_CU : natural := 2**N_CU_W;
constant N_WF_CU : natural := 2**N_WF_CU_W;
constant WF_SIZE : natural := 2**WF_SIZE_W;
constant CRAM_SIZE : natural := 2**CRAM_ADDR_W;
constant RTM_SIZE : natural := 2**RTM_ADDR_W;
constant BRAM18kb_SIZE : natural := 2**BRAM18kb32b_ADDR_W;
constant regFile_addr : natural := 2**(INTERFCE_W_ADDR_W-1); -- "10" of the address msbs to choose the register file
constant Rstat_addr : natural := regFile_addr + 0; --address of status register in the register file
constant Rstart_addr : natural := regFile_addr + 1; --address of stat register in the register file
constant RcleanCache_addr : natural := regFile_addr + 2; --address of cleanCache register in the register file
constant RInitiate_addr : natural := regFile_addr + 3; --address of cleanCache register in the register file
constant Rstat_regFile_addr : natural := 0; --address of status register in the register file
constant Rstart_regFile_addr : natural := 1; --address of stat register in the register file
constant RcleanCache_regFile_addr : natural := 2; --address of cleanCache register in the register file
constant RInitiate_regFile_addr : natural := 3; --address of initiate register in the register file
constant N_REG_W : natural := 2;
constant PARAMS_ADDR_LOC_MEM_OFFSET : natural := LOC_MEM_SIZE - N_PARAMS;
-- constant GMEM_RQST_BUS_W : natural := GMEM_DATA_W;
-- new kernel descriptor ----------------------------------------------------------------
constant NEW_KRNL_DESC_W : natural := 5; -- length of the kernel's descripto
constant NEW_KRNL_INDX_W : natural := 4; -- bitwidth of number of kernels that can be started
constant NEW_KRNL_DESC_LEN : natural := 12;
constant WG_MAX_SIZE : natural := 2**WG_SIZE_W;
constant NEW_KRNL_DESC_MAX_LEN : natural := 2**NEW_KRNL_DESC_W;
constant NEW_KRNL_MAX_INDX : natural := 2**NEW_KRNL_INDX_W;
constant KRNL_SCH_ADDR_W : natural := NEW_KRNL_DESC_W + NEW_KRNL_INDX_W;
constant NEW_KRNL_DESC_N_WF : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 0;
constant NEW_KRNL_DESC_ID0_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 1;
constant NEW_KRNL_DESC_ID1_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 2;
constant NEW_KRNL_DESC_ID2_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 3;
constant NEW_KRNL_DESC_ID0_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 4;
constant NEW_KRNL_DESC_ID1_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 5;
constant NEW_KRNL_DESC_ID2_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 6;
constant NEW_KRNL_DESC_WG_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 7;
constant NEW_KRNL_DESC_N_WG_0 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 8;
constant NEW_KRNL_DESC_N_WG_1 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 9;
constant NEW_KRNL_DESC_N_WG_2 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 10;
constant NEW_KRNL_DESC_N_PARAMS : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 11;
constant PARAMS_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 16;
constant WG_SIZE_0_OFFSET : natural := 0;
constant WG_SIZE_1_OFFSET : natural := 10;
constant WG_SIZE_2_OFFSET : natural := 20;
constant N_DIM_OFFSET : natural := 30;
constant ADDR_FIRST_INST_OFFSET : natural := 0;
constant ADDR_LAST_INST_OFFSET : natural := 14;
constant N_WF_OFFSET : natural := 28;
constant N_WG_0_OFFSET : natural := 16;
constant N_WG_1_OFFSET : natural := 0;
constant N_WG_2_OFFSET : natural := 16;
constant WG_SIZE_OFFSET : natural := 0;
constant N_PARAMS_OFFSET : natural := 28;
type cram_type is array (2**CRAM_ADDR_W-1 downto 0) of std_logic_vector (DATA_W-1 downto 0);
type slv32_array is array (natural range<>) of std_logic_vector(DATA_W-1 downto 0);
type krnl_scheduler_ram_TYPE is array (2**KRNL_SCH_ADDR_W-1 downto 0) of std_logic_vector (DATA_W-1 downto 0);
type cram_addr_array is array (natural range <>) of unsigned(CRAM_ADDR_W-1 downto 0); -- range 0 to CRAM_SIZE-1;
type rtm_ram_type is array (natural range <>) of unsigned(RTM_DATA_W-1 downto 0);
type gmem_addr_array is array (natural range<>) of unsigned(GMEM_ADDR_W-1 downto 0);
type op_arith_shift_type is (op_add, op_lw, op_mult, op_bra, op_shift, op_slt, op_mov, op_ato, op_lmem);
type op_logical_type is (op_andi, op_and, op_ori, op_or, op_xor, op_xori, op_nor);
type be_array is array(natural range <>) of std_logic_vector(DATA_W/8-1 downto 0);
type gmem_be_array is array(natural range <>) of std_logic_vector(GMEM_N_BANK*DATA_W/8-1 downto 0);
type sl_array is array(natural range <>) of std_logic;
type nat_array is array(natural range <>) of natural;
type nat_2d_array is array(natural range <>, natural range <>) of natural;
type reg_addr_array is array (natural range <>) of unsigned(REG_FILE_W-1 downto 0);
type gmem_word_addr_array is array(natural range <>) of unsigned(GMEM_WORD_ADDR_W-1 downto 0);
type gmem_addr_array_no_bank is array (natural range <>) of unsigned(GMEM_WORD_ADDR_W-CACHE_N_BANKS_W-1 downto 0);
type alu_en_vec_type is array(natural range <>) of std_logic_vector(CV_SIZE-1 downto 0);
type alu_en_rdAddr_type is array(natural range <>) of unsigned(PHASE_W+N_WF_CU_W-1 downto 0);
type tag_array is array (natural range <>) of unsigned(TAG_W-1 downto 0);
type gmem_word_array is array (natural range <>) of std_logic_vector(DATA_W*GMEM_N_BANK-1 downto 0);
type wf_active_array is array (natural range <>) of std_logic_vector(N_WF_CU-1 downto 0);
type cache_addr_array is array(natural range <>) of unsigned(M+L-1 downto 0);
type cache_word_array is array(natural range <>) of std_logic_vector(CACHE_N_BANKS*DATA_W-1 downto 0);
type tag_addr_array is array(natural range <>) of unsigned(M-1 downto 0);
type reg_file_block_array is array(natural range<>) of unsigned(REG_FILE_BLOCK_W-1 downto 0);
type id_array is array(natural range<>) of std_logic_vector(ID_WIDTH-1 downto 0);
type real_array is array (natural range <>) of real;
type atomic_sgntr_array is array (natural range <>) of std_logic_vector(N_CU_STATIONS_W-1 downto 0);
attribute max_fanout: integer;
attribute keep: string;
attribute mark_debug : string;
impure function init_krnl_ram(file_name : in string) return KRNL_SCHEDULER_RAM_type;
impure function init_SLV32_ARRAY_from_file(file_name : in string; len: in natural; file_len: in natural) return SLV32_ARRAY;
impure function init_CRAM(file_name : in string; file_len: in natural) return cram_type;
function pri_enc(datain: in std_logic_vector) return integer;
function max (LEFT, RIGHT: integer) return integer;
function min_int (LEFT, RIGHT: integer) return integer;
function clogb2 (bit_depth : integer) return integer;
--- ISA --------------------------------------------------------------------------------------
constant FAMILY_W : natural := 4;
constant CODE_W : natural := 4;
constant IMM_ARITH_W : natural := 14;
constant IMM_W : natural := 16;
constant BRANCH_ADDR_W : natural := 14;
constant FAMILY_POS : natural := 28;
constant CODE_POS : natural := 24;
constant RD_POS : natural := 0;
constant RS_POS : natural := 5;
constant RT_POS : natural := 10;
constant IMM_POS : natural := 10;
constant DIM_POS : natural := 5;
constant PARAM_POS : natural := 5;
constant BRANCH_ADDR_POS : natural := 10;
--------------- families
constant ADD_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"1";
constant SHF_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"2";
constant LGK_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"3";
constant MOV_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"4";
constant MUL_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"5";
constant BRA_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"6";
constant GLS_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"7";
constant ATO_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"8";
constant CTL_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"9";
constant RTM_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"A";
constant CND_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"B";
constant FLT_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"C";
constant LSI_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"D";
--------------- codes
--RTM
constant LID : std_logic_vector(CODE_W-1 downto 0) := X"0"; --upper two MSBs indicate if the operation is localdx or offsetdx
constant WGOFF : std_logic_vector(CODE_W-1 downto 0) := X"1";
constant SIZE : std_logic_vector(CODE_W-1 downto 0) := X"2";
constant WGID : std_logic_vector(CODE_W-1 downto 0) := X"3";
constant WGSIZE : std_logic_vector(CODE_W-1 downto 0) := X"4";
constant LP : std_logic_vector(CODE_W-1 downto 0) := X"8";
--ADD
constant ADD : std_logic_vector(CODE_W-1 downto 0) := "0000";
constant SUB : std_logic_vector(CODE_W-1 downto 0) := "0010";
constant ADDI : std_logic_vector(CODE_W-1 downto 0) := "0001";
constant LI : std_logic_vector(CODE_W-1 downto 0) := "1001";
constant LUI : std_logic_vector(CODE_W-1 downto 0) := "1101";
--MUL
constant MACC : std_logic_vector(CODE_W-1 downto 0) := "1000";
--BRA
constant BEQ : std_logic_vector(CODE_W-1 downto 0) := "0010";
constant BNE : std_logic_vector(CODE_W-1 downto 0) := "0011";
constant JSUB : std_logic_vector(CODE_W-1 downto 0) := "0100";
--GLS
constant LW : std_logic_vector(CODE_W-1 downto 0) := "0100";
constant SW : std_logic_vector(CODE_W-1 downto 0) := "1100";
--CTL
constant RET : std_logic_vector(CODE_W-1 downto 0) := "0010";
--SHF
constant SLLI : std_logic_vector(CODE_W-1 downto 0) := "0001";
--LGK
constant CODE_AND : std_logic_vector(CODE_W-1 downto 0) := "0000";
constant CODE_ANDI : std_logic_vector(CODE_W-1 downto 0) := "0001";
constant CODE_OR : std_logic_vector(CODE_W-1 downto 0) := "0010";
constant CODE_ORI : std_logic_vector(CODE_W-1 downto 0) := "0011";
constant CODE_XOR : std_logic_vector(CODE_W-1 downto 0) := "0100";
constant CODE_XORI : std_logic_vector(CODE_W-1 downto 0) := "0101";
constant CODE_NOR : std_logic_vector(CODE_W-1 downto 0) := "1000";
--ATO
constant CODE_AMAX : std_logic_vector(CODE_W-1 downto 0) := "0010";
constant CODE_AADD : std_logic_vector(CODE_W-1 downto 0) := "0001";
type branch_distance_vec is array(natural range <>) of unsigned(BRANCH_ADDR_W-1 downto 0);
type code_vec_type is array(natural range <>) of std_logic_vector(CODE_W-1 downto 0);
type atomic_type_vec_type is array(natural range <>) of std_logic_vector(2 downto 0);
end FGPU_definitions;
package body FGPU_definitions is
-- function called clogb2 that returns an integer which has the
--value of the ceiling of the log base 2
function clogb2 (bit_depth : integer) return integer is
variable depth : integer := bit_depth;
variable count : integer := 1;
begin
for clogb2 in 1 to bit_depth loop -- Works for up to 32 bit integers
if (bit_depth <= 2) then
count := 1;
else
if(depth <= 1) then
count := count;
else
depth := depth / 2;
count := count + 1;
end if;
end if;
end loop;
return(count);
end;
impure function init_krnl_ram(file_name : in string) return KRNL_SCHEDULER_RAM_type is
file init_file : text open read_mode is file_name;
variable init_line : line;
variable temp_bv : bit_vector(DATA_W-1 downto 0);
variable temp_mem : KRNL_SCHEDULER_RAM_type;
begin
for i in 0 to 16*32-1 loop
readline(init_file, init_line);
hread(init_line, temp_mem(i));
-- read(init_line, temp_bv);
-- temp_mem(i) := to_stdlogicvector(temp_bv);
end loop;
return temp_mem;
end function;
function max (LEFT, RIGHT: integer) return integer is
begin
if LEFT > RIGHT then return LEFT;
else return RIGHT;
end if;
end max;
function min_int (LEFT, RIGHT: integer) return integer is
begin
if LEFT > RIGHT then return RIGHT;
else return LEFT;
end if;
end min_int;
impure function init_CRAM(file_name : in string; file_len : in natural) return cram_type is
file init_file : text open read_mode is file_name;
variable init_line : line;
variable cram : cram_type;
-- variable tmp: std_logic_vector(DATA_W-1 downto 0);
begin
for i in 0 to file_len-1 loop
readline(init_file, init_line);
hread(init_line, cram(i)); -- vivado breaks when synthesizing hread(init_line, cram(0)(i)) without giving any indication about the error
-- cram(i) := tmp;
-- if CRAM_BLOCKS > 1 then
-- for j in 1 to max(1,CRAM_BLOCKS-1) loop
-- cram(j)(i) := cram(0)(i);
-- end loop;
-- end if;
end loop;
return cram;
end function;
impure function init_SLV32_ARRAY_from_file(file_name : in string; len : in natural; file_len : in natural) return SLV32_ARRAY is
file init_file : text open read_mode is file_name;
variable init_line : line;
variable temp_mem : SLV32_ARRAY(len-1 downto 0);
begin
for i in 0 to file_len-1 loop
readline(init_file, init_line);
hread(init_line, temp_mem(i));
end loop;
return temp_mem;
end function;
function pri_enc(datain: in std_logic_vector) return integer is
variable res : integer range 0 to datain'high;
begin
res := 0;
for i in datain'high downto 1 loop
if datain(i) = '1' then
res := i;
end if;
end loop;
return res;
end function;
end FGPU_definitions;
|
-----------------------------------------------------------------------------
-- LEON3 Demonstration design test bench
-- Copyright (C) 2013 Gaisler Research
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library gaisler;
use gaisler.libdcom.all;
use gaisler.sim.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library techmap;
use techmap.gencomp.all;
use work.debug.all;
use work.config.all;
entity testbench is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW;
testahb : boolean := true;
USE_MIG_INTERFACE_MODEL : boolean := false
);
end;
architecture behav of testbench is
-- DDR3 Simulation parameters
constant SIM_BYPASS_INIT_CAL : string := "FAST";
-- # = "OFF" - Complete memory init &
-- calibration sequence
-- # = "SKIP" - Not supported
-- # = "FAST" - Complete memory init & use
-- abbreviated calib sequence
constant SIMULATION : string := "TRUE";
-- Should be TRUE during design simulations and
-- FALSE during implementations
constant promfile : string := "prom.srec"; -- rom contents
constant ramfile : string := "ram.srec"; -- ram contents
signal clk : std_logic := '0';
signal Rst : std_logic := '0';
signal GND : std_ulogic := '0';
signal VCC : std_ulogic := '1';
signal NC : std_ulogic := 'Z';
signal txd1 , rxd1 , dsurx : std_logic;
signal txd2 , rxd2 , dsutx : std_logic;
signal ctsn1 , rtsn1 , dsuctsn : std_ulogic;
signal ctsn2 , rtsn2 , dsurtsn : std_ulogic;
signal phy_gtxclk : std_logic := '0';
signal phy_txer : std_ulogic;
signal phy_txd : std_logic_vector(7 downto 0);
signal phy_txctl_txen : std_ulogic;
signal phy_txclk : std_ulogic;
signal phy_rxer : std_ulogic;
signal phy_rxd : std_logic_vector(7 downto 0);
signal phy_rxctl_rxdv : std_ulogic;
signal phy_rxclk : std_ulogic;
signal phy_reset : std_ulogic;
signal phy_mdio : std_logic;
signal phy_mdc : std_ulogic;
signal phy_crs : std_ulogic;
signal phy_col : std_ulogic;
signal phy_int : std_ulogic;
signal phy_rxdl : std_logic_vector(7 downto 0);
signal phy_txdl : std_logic_vector(7 downto 0);
signal clk27 : std_ulogic := '0';
signal clk200p : std_ulogic := '0';
signal clk200n : std_ulogic := '1';
signal clk33 : std_ulogic := '0';
signal clkethp : std_ulogic := '0';
signal clkethn : std_ulogic := '1';
signal txp1 : std_logic;
signal txn : std_logic;
signal rxp : std_logic := '1';
signal rxn : std_logic := '0';
signal iic_scl : std_ulogic;
signal iic_sda : std_ulogic;
signal ddc_scl : std_ulogic;
signal ddc_sda : std_ulogic;
signal dvi_iic_scl : std_logic;
signal dvi_iic_sda : std_logic;
signal tft_lcd_data : std_logic_vector(11 downto 0);
signal tft_lcd_clk_p : std_ulogic;
signal tft_lcd_clk_n : std_ulogic;
signal tft_lcd_hsync : std_ulogic;
signal tft_lcd_vsync : std_ulogic;
signal tft_lcd_de : std_ulogic;
signal tft_lcd_reset_b : std_ulogic;
-- DDR3 memory
signal ddr3_dq : std_logic_vector(63 downto 0);
signal ddr3_dqs_p : std_logic_vector(7 downto 0);
signal ddr3_dqs_n : std_logic_vector(7 downto 0);
signal ddr3_addr : std_logic_vector(13 downto 0);
signal ddr3_ba : std_logic_vector(2 downto 0);
signal ddr3_ras_n : std_logic;
signal ddr3_cas_n : std_logic;
signal ddr3_we_n : std_logic;
signal ddr3_reset_n : std_logic;
signal ddr3_ck_p : std_logic_vector(0 downto 0);
signal ddr3_ck_n : std_logic_vector(0 downto 0);
signal ddr3_cke : std_logic_vector(0 downto 0);
signal ddr3_cs_n : std_logic_vector(0 downto 0);
signal ddr3_dm : std_logic_vector(7 downto 0);
signal ddr3_odt : std_logic_vector(0 downto 0);
-- SPI flash
signal spi_sel_n : std_logic;
signal spi_clk : std_logic;
signal spi_miso : std_logic := '0';
signal spi_mosi : std_logic;
signal dsurst : std_ulogic;
signal errorn : std_logic;
signal switch : std_logic_vector(3 downto 0); -- I/O port
signal button : std_logic_vector(3 downto 0); -- I/O port
signal led : std_logic_vector(3 downto 0); -- I/O port
constant lresp : boolean := false;
signal tdqs_n : std_logic;
signal gmii_tx_clk : std_logic;
signal gmii_rx_clk : std_logic;
signal gmii_txd : std_logic_vector(7 downto 0);
signal gmii_tx_en : std_logic;
signal gmii_tx_er : std_logic;
signal gmii_rxd : std_logic_vector(7 downto 0);
signal gmii_rx_dv : std_logic;
signal gmii_rx_er : std_logic;
component leon3mp is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW;
testahb : boolean := false;
SIM_BYPASS_INIT_CAL : string := "OFF";
SIMULATION : string := "FALSE";
USE_MIG_INTERFACE_MODEL : boolean := false
);
port (
reset : in std_ulogic;
clk200p : in std_ulogic; -- 200 MHz clock
clk200n : in std_ulogic; -- 200 MHz clock
spi_sel_n : inout std_ulogic;
spi_clk : out std_ulogic;
spi_miso : in std_ulogic;
spi_mosi : out std_ulogic;
ddr3_dq : inout std_logic_vector(63 downto 0);
ddr3_dqs_p : inout std_logic_vector(7 downto 0);
ddr3_dqs_n : inout std_logic_vector(7 downto 0);
ddr3_addr : out std_logic_vector(13 downto 0);
ddr3_ba : out std_logic_vector(2 downto 0);
ddr3_ras_n : out std_logic;
ddr3_cas_n : out std_logic;
ddr3_we_n : out std_logic;
ddr3_reset_n : out std_logic;
ddr3_ck_p : out std_logic_vector(0 downto 0);
ddr3_ck_n : out std_logic_vector(0 downto 0);
ddr3_cke : out std_logic_vector(0 downto 0);
ddr3_cs_n : out std_logic_vector(0 downto 0);
ddr3_dm : out std_logic_vector(7 downto 0);
ddr3_odt : out std_logic_vector(0 downto 0);
dsurx : in std_ulogic;
dsutx : out std_ulogic;
dsuctsn : in std_ulogic;
dsurtsn : out std_ulogic;
button : in std_logic_vector(3 downto 0);
switch : inout std_logic_vector(3 downto 0);
led : out std_logic_vector(3 downto 0);
iic_scl : inout std_ulogic;
iic_sda : inout std_ulogic;
gtrefclk_p : in std_logic;
gtrefclk_n : in std_logic;
phy_txclk : out std_logic;
phy_txd : out std_logic_vector(3 downto 0);
phy_txctl_txen : out std_ulogic;
phy_rxd : in std_logic_vector(3 downto 0);
phy_rxctl_rxdv : in std_ulogic;
phy_rxclk : in std_ulogic;
phy_reset : out std_ulogic;
phy_mdio : inout std_logic;
phy_mdc : out std_ulogic;
sfp_clock_mux : out std_logic_vector(1 downto 0);
sdcard_spi_miso : in std_logic;
sdcard_spi_mosi : out std_logic;
sdcard_spi_cs_b : out std_logic;
sdcard_spi_clk : out std_logic
);
end component;
begin
-- clock and reset
clk200p <= not clk200p after 2.5 ns;
clk200n <= not clk200n after 2.5 ns;
clkethp <= not clkethp after 4 ns;
clkethn <= not clkethp after 4 ns;
rst <= not dsurst;
rxd1 <= 'H'; ctsn1 <= '0';
rxd2 <= 'H'; ctsn2 <= '0';
button <= "0000";
switch(2 downto 0) <= "000";
cpu : leon3mp
generic map (
fabtech => fabtech,
memtech => memtech,
padtech => padtech,
clktech => clktech,
disas => disas,
dbguart => dbguart,
pclow => pclow,
testahb => testahb,
SIM_BYPASS_INIT_CAL => SIM_BYPASS_INIT_CAL,
SIMULATION => SIMULATION,
USE_MIG_INTERFACE_MODEL => USE_MIG_INTERFACE_MODEL
)
port map (
reset => rst,
clk200p => clk200p,
clk200n => clk200n,
spi_sel_n => spi_sel_n,
spi_clk => spi_clk,
spi_miso => spi_miso,
spi_mosi => spi_mosi,
ddr3_dq => ddr3_dq,
ddr3_dqs_p => ddr3_dqs_p,
ddr3_dqs_n => ddr3_dqs_n,
ddr3_addr => ddr3_addr,
ddr3_ba => ddr3_ba,
ddr3_ras_n => ddr3_ras_n,
ddr3_cas_n => ddr3_cas_n,
ddr3_we_n => ddr3_we_n,
ddr3_reset_n => ddr3_reset_n,
ddr3_ck_p => ddr3_ck_p,
ddr3_ck_n => ddr3_ck_n,
ddr3_cke => ddr3_cke,
ddr3_cs_n => ddr3_cs_n,
ddr3_dm => ddr3_dm,
ddr3_odt => ddr3_odt,
dsurx => dsurx,
dsutx => dsutx,
dsuctsn => dsuctsn,
dsurtsn => dsurtsn,
button => button,
switch => switch,
led => led,
iic_scl => iic_scl,
iic_sda => iic_sda,
gtrefclk_p => clkethp,
gtrefclk_n => clkethn,
phy_txclk => phy_gtxclk,
phy_txd => phy_txd(3 downto 0),
phy_txctl_txen => phy_txctl_txen,
phy_rxd => phy_rxd(3 downto 0)'delayed(0 ns),
phy_rxctl_rxdv => phy_rxctl_rxdv'delayed(0 ns),
phy_rxclk => phy_rxclk'delayed(0 ns),
phy_reset => phy_reset,
phy_mdio => phy_mdio,
phy_mdc => phy_mdc,
sfp_clock_mux => OPEN ,
sdcard_spi_miso => '1',
sdcard_spi_mosi => OPEN ,
sdcard_spi_cs_b => OPEN ,
sdcard_spi_clk => OPEN
);
-- SPI memory model
spi_gen_model : if (CFG_SPIMCTRL = 1) generate
spi0 : spi_flash
generic map (
ftype => 3,
debug => 0,
readcmd => 16#0B#,
dummybyte => 0,
dualoutput => 0)
port map (
sck => spi_clk,
di => spi_mosi,
do => spi_miso,
csn => spi_sel_n,
sd_cmd_timeout => '0',
sd_data_timeout => '0');
end generate;
-- Memory Models instantiations
gen_mem_model : if (USE_MIG_INTERFACE_MODEL /= true) generate
ddr3mem : if (CFG_MIG_7SERIES = 1) generate
u1 : ddr3ram
generic map (
width => 64,
abits => 14,
colbits => 10,
rowbits => 10,
implbanks => 1,
fname => ramfile,
lddelay => (0 ns),
ldguard => 1,
speedbin => 9, --DDR3-1600K
density => 3,
pagesize => 1,
changeendian => 8)
port map (
ck => ddr3_ck_p(0),
ckn => ddr3_ck_n(0),
cke => ddr3_cke(0),
csn => ddr3_cs_n(0),
odt => ddr3_odt(0),
rasn => ddr3_ras_n,
casn => ddr3_cas_n,
wen => ddr3_we_n,
dm => ddr3_dm,
ba => ddr3_ba,
a => ddr3_addr,
resetn => ddr3_reset_n,
dq => ddr3_dq,
dqs => ddr3_dqs_p,
dqsn => ddr3_dqs_n,
doload => led(3)
);
end generate ddr3mem;
end generate gen_mem_model;
mig_mem_model : if (USE_MIG_INTERFACE_MODEL = true) generate
ddr3_dq <= (others => 'Z');
ddr3_dqs_p <= (others => 'Z');
ddr3_dqs_n <= (others => 'Z');
end generate mig_mem_model;
errorn <= led(1);
errorn <= 'H'; -- ERROR pull-up
phy0 : if (CFG_GRETH = 1) generate
phy_mdio <= 'H';
phy_int <= '0';
p0: phy
generic map (
address => 7,
extended_regs => 1,
aneg => 1,
base100_t4 => 1,
base100_x_fd => 1,
base100_x_hd => 1,
fd_10 => 1,
hd_10 => 1,
base100_t2_fd => 1,
base100_t2_hd => 1,
base1000_x_fd => 1,
base1000_x_hd => 1,
base1000_t_fd => 1,
base1000_t_hd => 1,
rmii => 0,
rgmii => 1
)
port map(phy_reset, phy_mdio, phy_txclk, phy_rxclk, phy_rxd,
phy_rxctl_rxdv, phy_rxer, phy_col, phy_crs, phy_txd,
phy_txctl_txen, phy_txer, phy_mdc, phy_gtxclk);
end generate;
iuerr : process
begin
wait for 210 us; -- This is for proper DDR3 behaviour durign init phase not needed durin simulation
if (USE_MIG_INTERFACE_MODEL /= true) then
wait on led(3); -- DDR3 Memory Init ready
end if;
wait for 5000 ns;
wait for 100 us;
if to_x01(errorn) = '1' then wait on errorn; end if;
assert (to_x01(errorn) = '1')
report "*** IU in error mode, simulation halted ***"
severity failure ; -- this should be a failure
end process;
--data <= buskeep(data) after 5 ns;
dsucom : process
procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is
variable w32 : std_logic_vector(31 downto 0);
variable c8 : std_logic_vector(7 downto 0);
constant txp : time := 320 * 1 ns;
begin
dsutx <= '1';
dsurst <= '0';
switch(3) <= '0';
wait for 2500 ns;
wait for 210 us; -- This is for proper DDR3 behaviour durign init phase not needed durin simulation
dsurst <= '1';
switch(3) <= '1';
if (USE_MIG_INTERFACE_MODEL /= true) then
wait on led(3); -- Wait for DDR3 Memory Init ready
end if;
report "Start DSU transfer";
wait for 5000 ns;
txc(dsutx, 16#55#, txp); -- sync uart
-- Reads from memory and DSU register to mimic GRMON during simulation
l1 : loop
txc(dsutx, 16#80#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#04#, txp);
rxi(dsurx, w32, txp, lresp);
--report "DSU read memory " & tost(w32);
txc(dsutx, 16#80#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
rxi(dsurx, w32, txp, lresp);
--report "DSU Break and Single Step register" & tost(w32);
end loop l1;
wait;
-- ** This is only kept for reference --
-- do test read and writes to DDR3 to check status
-- Write
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#01#, 16#23#, 16#45#, 16#67#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#04#, txp);
txa(dsutx, 16#89#, 16#AB#, 16#CD#, 16#EF#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#08#, txp);
txa(dsutx, 16#08#, 16#19#, 16#2A#, 16#3B#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#0C#, txp);
txa(dsutx, 16#4C#, 16#5D#, 16#6E#, 16#7F#, txp);
txc(dsutx, 16#80#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp);
rxi(dsurx, w32, txp, lresp);
txc(dsutx, 16#80#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#04#, txp);
rxi(dsurx, w32, txp, lresp);
report "* Read " & tost(w32);
txc(dsutx, 16#a0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#08#, txp);
rxi(dsurx, w32, txp, lresp);
txc(dsutx, 16#a0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#0C#, txp);
rxi(dsurx, w32, txp, lresp);
wait;
-- Register 0x90000000 (DSU Control Register)
-- Data 0x0000202e (b0010 0000 0010 1110)
-- [0] - Trace Enable
-- [1] - Break On Error
-- [2] - Break on IU watchpoint
-- [3] - Break on s/w break points
--
-- [4] - (Break on trap)
-- [5] - Break on error traps
-- [6] - Debug mode (Read mode only)
-- [7] - DSUEN (read mode)
--
-- [8] - DSUBRE (read mode)
-- [9] - Processor mode error (clears error)
-- [10] - processor halt (returns 1 if processor halted)
-- [11] - power down mode (return 1 if processor in power down mode)
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#80#, 16#02#, txp);
wait;
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#20#, 16#2e#, txp);
wait for 25000 ns;
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#01#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#24#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0D#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#70#, 16#11#, 16#78#, txp);
txa(dsutx, 16#91#, 16#00#, 16#00#, 16#0D#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp);
txa(dsutx, 16#00#, 16#00#, 16#20#, 16#00#, txp);
txc(dsutx, 16#80#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp);
wait;
end;
begin
dsuctsn <= '0';
dsucfg(dsutx, dsurx);
wait;
end process;
end ;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 06.03.2014 15:08:57
-- Design Name:
-- Module Name: top - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.VHDL_lib.all;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
library UNISIM;
use UNISIM.VComponents.all;
entity top is
Port (
clk_raw : in STD_LOGIC;
-- adc_data_or_p: in std_logic;
-- adc_data_or_n: in std_logic;
adc_clk_in_p: in std_logic;
adc_clk_in_n: in std_logic;
adc_data_in_p: in std_logic_vector(7 downto 0);
adc_data_in_n: in std_logic_vector(7 downto 0);
ja : out std_logic_vector(10 downto 1)
);
end top;
architecture Behavioral of top is
component clk_adc
port
(
clk_in1_p : in std_logic;
clk_in1_n : in std_logic;
clk_out1 : out std_logic;
locked : out std_logic
);
end component;
COMPONENT shitscope
PORT (
clk : IN STD_LOGIC;
probe0 : IN STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END COMPONENT;
signal clk_250MHz: std_logic;
signal clk_1MHz: std_logic;
signal adc_data_ddr,od,ev: std_logic_vector(7 downto 0);
signal gcnt: std_logic_vector(log2(50000000) downto 0);
signal gdel: std_logic_vector(3 downto 0);
signal adc_clk_lock: std_logic;
signal grst250: std_logic;
signal adc_data,adc_data_buf0, adc_data_buf1, adc_data_buf2, adc_data_buf3: std_logic_vector(15 downto 0);
begin
shitscope1: shitscope port map( clk => clk_250MHz, probe0 => adc_data);
clk_adc_0: clk_adc port map(adc_clk_in_p, adc_clk_in_n, clk_250MHz, open);
clk_div_0: clk_div generic map( div=>250 ) port map( input=> clk_250MHz, output=> clk_1MHz,state=>open);
ja(1) <= clk_1MHz;
process(clk_250MHz)
begin
if(clk_250MHz'event and clk_250MHz='1')then
if(adc_clk_lock='0')then
gcnt <= (others=>'0');
gdel(0) <= '0';
else
if(gcnt<50000000)then
gcnt <= gcnt + 1;
gdel(0) <= '0';
else
gdel(0) <= '1';
end if;
end if;
gdel(3 downto 1) <= gdel(2 downto 0);
grst250 <= gdel(3);
end if;
end process;
Bufgen:
for i in 0 to 7 generate
begin
ibuf_data_in : IBUFDS
generic map (
DIFF_TERM => TRUE,
IBUF_LOW_PWR => FALSE,
IOSTANDARD => "LVDS_25"
)
port map (
O => adc_data_ddr(i),
I => adc_data_in_p(i),
IB => adc_data_in_n(i)
);
IDDR_inst : IDDR
generic map (
DDR_CLK_EDGE => "OPPOSITE_EDGE", -- "OPPOSITE_EDGE", "SAME_EDGE"
-- or "SAME_EDGE_PIPELINED"
INIT_Q1 => '0', -- Initial value of Q1: '0' or '1'
INIT_Q2 => '0', -- Initial value of Q2: '0' or '1'
SRTYPE => "ASYNC") -- Set/Reset type: "SYNC" or "ASYNC"
port map (
Q1 => od(i), -- 1-bit output for positive edge of clock
Q2 => ev(i), -- 1-bit output for negative edge of clock
C => clk_250MHz, -- 1-bit clock input
CE => '1', -- 1-bit clock enable input
D => adc_data_ddr(i), -- 1-bit DDR data input
R => '0', -- 1-bit reset
S => '0' -- 1-bit set
);
end generate;
process(clk_250MHz)
begin
if(clk_250MHz'event and clk_250MHz='1')then
adc_data_buf0(15 downto 8) <= od(7) & ev(7) & od(6) & ev(6) & od(5) & ev(5) & od(4) & ev(4);
adc_data_buf0(7 downto 0) <= od(3) & ev(3) & od(2) & ev(2) & od(1) & ev(1) & od(0) & ev(0);
adc_data_buf1 <= adc_data_buf0;
adc_data_buf2 <= std_logic_vector(signed(adc_data_buf1));
-- adc_data_buf2 <= adc_data_buf1 - (32768 -64);
-- adc_data_buf3 <= adc_data_buf2;
end if;
end process;
-- sub half adc value
--suboffset0: avg_sub
--port map (
-- clk => clk_250MHz,
-- data_in => adc_data_buf1,
-- data_out => adc_data_buf2
--);
-- buffered adc value
process(clk_250MHz)
begin
if(clk_250MHz'event and clk_250MHz='1')then
adc_data_buf3 <= adc_data_buf2;
adc_data <= adc_data_buf3;
end if;
end process;
end Behavioral;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017
-- Date : Thu Oct 26 22:45:01 2017
-- Host : Juice-Laptop running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- c:/RATCPU/Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_xlconstant_0_0/RAT_xlconstant_0_0_sim_netlist.vhdl
-- Design : RAT_xlconstant_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity RAT_xlconstant_0_0 is
port (
dout : out STD_LOGIC_VECTOR ( 9 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of RAT_xlconstant_0_0 : entity is true;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of RAT_xlconstant_0_0 : entity is "yes";
end RAT_xlconstant_0_0;
architecture STRUCTURE of RAT_xlconstant_0_0 is
signal \<const1>\ : STD_LOGIC;
begin
dout(9) <= \<const1>\;
dout(8) <= \<const1>\;
dout(7) <= \<const1>\;
dout(6) <= \<const1>\;
dout(5) <= \<const1>\;
dout(4) <= \<const1>\;
dout(3) <= \<const1>\;
dout(2) <= \<const1>\;
dout(1) <= \<const1>\;
dout(0) <= \<const1>\;
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
end STRUCTURE;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017
-- Date : Thu Oct 26 22:45:01 2017
-- Host : Juice-Laptop running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- c:/RATCPU/Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_xlconstant_0_0/RAT_xlconstant_0_0_sim_netlist.vhdl
-- Design : RAT_xlconstant_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity RAT_xlconstant_0_0 is
port (
dout : out STD_LOGIC_VECTOR ( 9 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of RAT_xlconstant_0_0 : entity is true;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of RAT_xlconstant_0_0 : entity is "yes";
end RAT_xlconstant_0_0;
architecture STRUCTURE of RAT_xlconstant_0_0 is
signal \<const1>\ : STD_LOGIC;
begin
dout(9) <= \<const1>\;
dout(8) <= \<const1>\;
dout(7) <= \<const1>\;
dout(6) <= \<const1>\;
dout(5) <= \<const1>\;
dout(4) <= \<const1>\;
dout(3) <= \<const1>\;
dout(2) <= \<const1>\;
dout(1) <= \<const1>\;
dout(0) <= \<const1>\;
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
end STRUCTURE;
|
-------------------------------------------------------------------------------
--
-- File: TWI_SlaveCtl.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 22 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This module is a two-wire (I2C compatible) slave controller responding
-- to the address defined in SLAVE_ADDRESS. It samples the bus and
-- deserializes data. The module needs to be controlled in turn by a
-- high-level controller.
-- Status signals:
-- DONE_O active-high pulsed when the slave is addressed by a master,
-- or when a data byte is either sent or received
-- END_O active-high pulsed when the master ended the transfer
-- RD_WRN_O high when transfer is read, low when write
-- Control signals:
-- STB_I needs to be held high when the current byte needs to be
-- acknowledged; this is the case for the device address, as
-- well as every byte written to-slave
-- D_I data needs to be provided on this bus when read transaction
-- occurs; needs to be held until DONE_O
-- D_O data will appear on D_O when a write transaction occurs;
-- valid on DONE_O
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
use IEEE.NUMERIC_STD.ALL;
entity TWI_SlaveCtl is
generic (
SLAVE_ADDRESS : std_logic_vector(7 downto 0) := x"A0"; -- TWI Slave address
kSampleClkFreqInMHz : natural := 100
);
Port ( D_I : in STD_LOGIC_VECTOR (7 downto 0);
D_O : out STD_LOGIC_VECTOR (7 downto 0);
RD_WRN_O : out STD_LOGIC;
END_O : out STD_LOGIC;
DONE_O : out STD_LOGIC;
STB_I : in STD_LOGIC;
SampleClk : in STD_LOGIC;
SRST : in STD_LOGIC;
--two-wire bus
SDA_I : in STD_LOGIC;
SDA_O : out STD_LOGIC;
SDA_T : out STD_LOGIC;
SCL_I : in STD_LOGIC;
SCL_O : out STD_LOGIC;
SCL_T : out STD_LOGIC
);
end TWI_SlaveCtl;
architecture Behavioral of TWI_SlaveCtl is
constant kGlitchDurationInNs : natural := 50; --tSP in I2C specs
constant kNoOfPeriodsToFilter : natural := natural(ceil(real(kGlitchDurationInNs * kSampleClkFreqInMHz) / 1000.0));
attribute fsm_encoding: string;
type state_type is (stIdle, stAddress, stRead, stWrite, stSAck, stMAck, stTurnAround);
signal state, nstate : state_type;
attribute fsm_encoding of state: signal is "gray";
signal dSda, ddSda, dScl, ddScl : std_logic;
signal fStart, fStop, fSCLFalling, fSCLRising : std_logic;
signal dataByte : std_logic_vector(7 downto 0); --shift register and parallel load
signal iEnd, iDone, latchData, dataBitOut, shiftBitIn, shiftBitOut : std_logic;
signal rd_wrn, drive : std_logic;
signal bitCount : natural range 0 to 7 := 7;
signal sSda, sScl, sSdaFtr, sSclFtr : std_logic;
begin
-- Synchronize SDA and SCL inputs
SyncSDA: entity work.SyncAsync
generic map (
kResetTo => '1',
kStages => 2)
port map (
aoReset => '0',
aIn => SDA_I,
OutClk => SampleClk,
oOut => sSda);
SyncSCL: entity work.SyncAsync
generic map (
kResetTo => '1',
kStages => 2)
port map (
aoReset => '0',
aIn => SCL_I,
OutClk => SampleClk,
oOut => sScl);
-- Glitch filter as required by I2C Fast-mode specs
GlitchF_SDA: entity work.GlitchFilter
Generic map (kNoOfPeriodsToFilter)
Port map (
SampleClk => SampleClk,
sIn => sSda,
sOut => sSdaFtr,
sRst => SRST);
GlitchF_SCL: entity work.GlitchFilter
Generic map (kNoOfPeriodsToFilter)
Port map (
SampleClk => SampleClk,
sIn => sScl,
sOut => sSclFtr,
sRst => SRST);
----------------------------------------------------------------------------------
--Bus State detection
----------------------------------------------------------------------------------
EdgeDetect: process(SampleClk)
begin
if Rising_Edge(SampleClk) then
dSda <= to_X01(sSdaFtr);
ddSda <= to_X01(dSda);
dScl <= to_X01(sSclFtr);
ddScl <= to_X01(dScl);
end if;
end process;
fStart <= dSCL and not dSda and ddSda; --if SCL high while SDA falling, start condition
fStop <= dSCL and dSda and not ddSda; --if SCL high while SDA rising, stop condition
fSCLFalling <= ddSCL and not dScl; -- SCL falling
fSCLRising <= not ddSCL and dScl; -- SCL rising
----------------------------------------------------------------------------------
-- Open-drain outputs for bi-directional SDA and SCL
----------------------------------------------------------------------------------
SDA_T <= '1' when dataBitOut = '1' or drive = '0' else -- high-Z
'0'; --drive
SDA_O <= '0';
SCL_T <= '1'; -- input 4eva
SCL_O <= '0';
----------------------------------------------------------------------------------
-- Title: Data byte shift register
-- Description: Stores the byte to be written or the byte read depending on the
-- transfer direction.
----------------------------------------------------------------------------------
DATABYTE_SHREG: process (SampleClk)
begin
if Rising_Edge(SampleClk) then
if ((latchData = '1' and fSCLFalling = '1') or state = stIdle or fStart = '1') then
dataByte <= D_I; --latch data
bitCount <= 7;
elsif (shiftBitOut = '1' and fSCLFalling = '1') then
dataByte <= dataByte(dataByte'high-1 downto 0) & dSDA;
bitCount <= bitCount - 1;
elsif (shiftBitIn = '1' and fSCLRising = '1') then
dataByte <= dataByte(dataByte'high-1 downto 0) & dSDA;
bitCount <= bitCount - 1;
end if;
end if;
end process;
dataBitOut <= '0' when state = stSAck else
dataByte(dataByte'high);
D_O <= dataByte;
RD_WRN_O <= to_X01(rd_wrn);
RDWRN_BIT_REG: process (SampleClk)
begin
if Rising_Edge(SampleClk) then
if (state = stAddress and bitCount = 0 and fSCLRising = '1') then
rd_wrn <= to_X01(dSDA);
end if;
end if;
end process;
SYNC_PROC: process (SampleClk)
begin
if Rising_Edge(SampleClk) then
state <= nstate;
END_O <= iEnd;
DONE_O <= iDone;
end if;
end process;
OUTPUT_DECODE: process (nstate, state, fSCLRising, fSCLFalling, ddSDA, bitCount, rd_wrn, dataByte, fStop, fStart)
begin
iDone <= '0';
iEnd <= '0';
shiftBitIn <= '0';
shiftBitOut <= '0';
latchData <= '0';
drive <= '0';
if (state = stRead or state = stSAck) then
drive <= '1';
end if;
if (state = stAddress or state = stWrite) then
shiftBitIn <= '1';
end if;
if (state = stRead) then
shiftBitOut <= '1';
end if;
if ((state = stSAck) or
(state = stMAck and ddSda = '0')) then --get the data byte for the next read
latchData <= '1';
end if;
if ((state = stAddress and bitCount = 0 and fSCLRising = '1' and dataByte(6 downto 0) = SLAVE_ADDRESS(7 downto 1)) or
(state = stWrite and bitCount = 0 and fSCLRising = '1') or
(state = stRead and bitCount = 0 and fSCLFalling = '1')) then
iDone <= '1';
end if;
if (fStop = '1' or fStart = '1' or
(state = stMAck and fSCLRising = '1' and ddSDA = '1')) then
iEnd <= '1';
end if;
end process;
NEXT_STATE_DECODE: process (state, fStart, STB_I, fSCLRising, fSCLFalling, bitCount, ddSDA, rd_wrn, dataByte, fStop)
begin
nstate <= state; --default is to stay in current state
case (state) is
when stIdle =>
if (fStart = '1') then -- start condition received
nstate <= stAddress;
end if;
when stAddress =>
if (fStop = '1') then
nstate <= stIdle;
elsif (bitCount = 0 and fSCLRising = '1') then
if (dataByte(6 downto 0) = SLAVE_ADDRESS(7 downto 1)) then
nstate <= stTurnAround;
else
nstate <= stIdle;
end if;
end if;
when stTurnAround =>
if (fStop = '1') then
nstate <= stIdle;
elsif (fStart = '1') then
nstate <= stAddress;
elsif (fSCLFalling = '1') then
if (STB_I = '1') then
nstate <= stSAck; --we acknowledge and continue
else
nstate <= stIdle; --don't ack and stop
end if;
end if;
when stSAck =>
if (fStop = '1') then
nstate <= stIdle;
elsif (fStart = '1') then
nstate <= stAddress;
elsif fSCLFalling = '1' then
if (rd_wrn = '1') then
nstate <= stRead;
else
nstate <= stWrite;
end if;
end if;
when stWrite =>
if (fStop = '1') then
nstate <= stIdle;
elsif (fStart = '1') then
nstate <= stAddress;
elsif (bitCount = 0 and fSCLRising = '1') then
nstate <= stTurnAround;
end if;
when stMAck =>
if (fStop = '1') then
nstate <= stIdle;
elsif (fStart = '1') then
nstate <= stAddress;
elsif (fSCLFalling = '1') then
if (ddSDA = '1') then
nstate <= stIdle;
else
nstate <= stRead;
end if;
end if;
when stRead =>
if (fStop = '1') then
nstate <= stIdle;
elsif (fStart = '1') then
nstate <= stAddress;
elsif (bitCount = 0 and fSCLFalling = '1') then
nstate <= stMAck;
end if;
when others =>
nstate <= stIdle;
end case;
end process;
end Behavioral;
|
package SortListGenericPkg is
generic (
type ElementType;
type ArrayofElementType;
function array_length(A : ArrayofElementType) return natural;
function element_get(A : ArrayofElementType; index : natural) return ElementType
);
function inside (constant E : ElementType; constant A : in ArrayofElementType) return boolean ;
end package;
package body SortListGenericPkg is
function inside (constant E : ElementType; constant A : in ArrayofElementType) return boolean is
begin
for i in 0 to array_length(A) - 1 loop --A'range loop
if E = element_get(A, i) then
return TRUE ;
end if ;
end loop ;
return FALSE ;
end function inside ;
end package body;
|
package SortListGenericPkg is
generic (
type ElementType;
type ArrayofElementType;
function array_length(A : ArrayofElementType) return natural;
function element_get(A : ArrayofElementType; index : natural) return ElementType
);
function inside (constant E : ElementType; constant A : in ArrayofElementType) return boolean ;
end package;
package body SortListGenericPkg is
function inside (constant E : ElementType; constant A : in ArrayofElementType) return boolean is
begin
for i in 0 to array_length(A) - 1 loop --A'range loop
if E = element_get(A, i) then
return TRUE ;
end if ;
end loop ;
return FALSE ;
end function inside ;
end package body;
|
library ieee;
use ieee.std_logic_1164.all;
entity cmp_846 is
port (
eq : out std_logic;
in1 : in std_logic_vector(31 downto 0);
in0 : in std_logic_vector(31 downto 0)
);
end cmp_846;
architecture augh of cmp_846 is
signal tmp : std_logic;
begin
-- Compute the result
tmp <=
'0' when in1 /= in0 else
'1';
-- Set the outputs
eq <= tmp;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
entity cmp_846 is
port (
eq : out std_logic;
in1 : in std_logic_vector(31 downto 0);
in0 : in std_logic_vector(31 downto 0)
);
end cmp_846;
architecture augh of cmp_846 is
signal tmp : std_logic;
begin
-- Compute the result
tmp <=
'0' when in1 /= in0 else
'1';
-- Set the outputs
eq <= tmp;
end architecture;
|
--
-- @file PS2_Driver.vhd
-- @date December, 2013
-- @author G. Roggemans <[email protected]>
-- @copyright Copyright (c) GROG [https://grog.be] 2013, All Rights Reserved
--
-- This application is free software: you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or (at your
-- option) any later version.
--
-- This application is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public License
-- for more details.
--
-- You should have received a copy of the GNU Lesser General Public License
-- along with this application. If not, see <http://www.gnu.org/licenses/>.
--
--
-- entity PS2_driver
--
-- PS2_driver zorgt voor de uitlezing van het toetsenbord (PS2 interface).
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity PS2_driver is
Port ( clk : in STD_LOGIC; -- klok
clk_slow: in STD_LOGIC; -- vertraagde klok
data_out : out STD_LOGIC_VECTOR (7 downto 0); -- PS2 data output
PS2C : in STD_LOGIC; -- PS2_clk pin
PS2D : in STD_LOGIC); -- PS2_data pin
end PS2_driver;
architecture Behavioral of PS2_driver is
signal count : integer range 0 to 10 :=0; -- counter voor inkomende data
signal data_driver: std_logic_vector (10 downto 0); -- vector om inkomende bits in te bewaren
signal data_in : STD_LOGIC_VECTOR (7 downto 0); -- vector om nutige data
signal pulse : STD_LOGIC:='0'; -- hulp var
signal pulsemem : STD_LOGIC:='0'; -- hulp var
signal ipulse : STD_LOGIC:='0'; -- hulp var
signal ipulsemem : STD_LOGIC:='0'; -- hulp var
begin
-- Input van PS2 interface binnen halen
process (PS2C) begin
if (falling_edge (PS2C)) then
count <= count + 1;
data_driver (count)<=PS2D;
if count = 10 then
count <= 0;
data_in <= data_driver (8 downto 1);
ipulse <= not ipulse;
end if;
end if;
end process;
-- Input vertraging
process (clk_slow) begin
if rising_edge (clk_slow) then
-- verandering (input) controleren
if not (ipulsemem = ipulse) then
pulse <= not pulse;
ipulsemem <= ipulse; -- detectie doorgeven aan volgende stap
end if;
end if;
end process;
-- Output timem met interne werking van de andere componenten
process (clk) begin
if rising_edge (clk) then
-- als input binnen gekomen is input naar buiten sturen, anders uitgang resetten
if pulse = pulsemem then
data_out <= "00000000";
else
data_out <= data_in;
pulsemem <= pulse;
end if;
end if;
end process;
end Behavioral;
|
-------------------------------------------------------------------------------
-- axi_datamover_indet_btt.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_indet_btt.vhd
--
-- Description:
-- This file implements the DataMover S2MM Indeterminate BTT support module.
-- This Module keeps track of the incoming data stream and generates a transfer
-- descriptor for each AXI MMap Burst worth of data loaded in the Data FIFO.
-- This information is stored in a separate FIFO that the Predictive Transfer
-- Calculator fetches sequentially as it is generating commands for the AXI MMap
-- bus.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_datamover_indet_btt.vhd
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
--
-- History:
-- DET 04/19/2011 Initial Version for EDK 13.3
--
-- DET 5/25/2011 Initial Version for EDK 13.3
-- ~~~~~~
-- - Added upsizer function
-- ^^^^^^
--
-- DET 6/15/2011 Initial Version for EDK 13.3
-- ~~~~~~
-- -- Per CR613943
-- - Fixed an issue with the management of the Data beat counter when
-- upsizer is included.
-- ^^^^^^
--
-- DET 6/20/2011 Initial Version for EDK 13.3
-- ~~~~~~
-- - Added 512 and 1024 data width support
-- ^^^^^^
--
-- DET 9/1/2011 Initial Version for EDK 13.3
-- ~~~~~~
-- - Fixed a Lint reported unused bit for lsig_partial_tlast_or[8] on line 906
-- - Fixed a Lint reported unused bit for lsig_partial_eop_or[8] on line 907
-- ^^^^^^
--
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library proc_common_v4_0;
Use proc_common_v4_0.proc_common_pkg.clog2;
library axi_datamover_v5_1;
use axi_datamover_v5_1.axi_datamover_sfifo_autord;
use axi_datamover_v5_1.axi_datamover_skid_buf;
Use axi_datamover_v5_1.axi_datamover_stbs_set;
Use axi_datamover_v5_1.axi_datamover_stbs_set_nodre;
-------------------------------------------------------------------------------
entity axi_datamover_indet_btt is
generic (
C_SF_FIFO_DEPTH : integer range 128 to 8192 := 128;
-- Sets the depth of the Data FIFO
C_IBTT_XFER_BYTES_WIDTH : Integer range 1 to 14 := 8;
-- Sets the width of the sf2pcc_xfer_bytes port
C_STRT_OFFSET_WIDTH : Integer range 1 to 7 := 2;
-- Sets the bit width of the starting address offset port
-- This should be set to log2(C_MMAP_DWIDTH/C_STREAM_DWIDTH)
C_MAX_BURST_LEN : Integer range 2 to 256 := 16;
-- Indicates what is set as the allowed max burst length for AXI4
-- transfers
C_MMAP_DWIDTH : Integer range 32 to 1024 := 32;
-- Indicates the width of the AXI4 MMap data path
C_STREAM_DWIDTH : Integer range 8 to 1024 := 32;
-- Indicates the width of the stream data path
C_ENABLE_SKID_BUF : string := "11111";
C_ENABLE_S2MM_TKEEP : integer range 0 to 1 := 1;
C_ENABLE_DRE : Integer range 0 to 1 := 0;
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA Family
);
port (
-- Clock input --------------------------------------------
primary_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
mmap_reset : in std_logic; --
-- Reset used for the internal master logic --
-----------------------------------------------------------
-- Write Data Controller I/O ----------------------------------------------------------
--
ibtt2wdc_stbs_asserted : Out std_logic_vector(7 downto 0); --
-- Indicates the number of asserted WSTRB bits for the --
-- associated output stream data beat --
--
ibtt2wdc_eop : Out std_logic; --
-- Write End of Packet flag output to Write Data Controller --
--
ibtt2wdc_tdata : Out std_logic_vector(C_MMAP_DWIDTH-1 downto 0); --
-- Write DATA output to Write Data Controller --
--
ibtt2wdc_tstrb : Out std_logic_vector((C_MMAP_DWIDTH/8)-1 downto 0); --
-- Write DATA output to Write Data Controller --
--
ibtt2wdc_tlast : Out std_logic; --
-- Write LAST output to Write Data Controller --
--
ibtt2wdc_tvalid : Out std_logic; --
-- Write VALID output to Write Data Controller --
--
wdc2ibtt_tready : In std_logic; --
-- Write READY input from Write Data Controller --
---------------------------------------------------------------------------------------
-- DRE Stream In ----------------------------------------------------------------------
--
dre2ibtt_tvalid : In std_logic; --
-- DRE Stream VALID Output --
--
ibtt2dre_tready : Out Std_logic; --
-- DRE Stream READY input --
--
dre2ibtt_tdata : In std_logic_vector(C_STREAM_DWIDTH-1 downto 0); --
-- DRE Stream DATA input --
--
dre2ibtt_tstrb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- DRE Stream STRB input --
--
dre2ibtt_tlast : In std_logic; --
-- DRE Xfer LAST input --
--
dre2ibtt_eop : In std_logic; --
-- DRE Stream end of Stream packet flag --
--------------------------------------------------------------------------------------
-- Starting Address Offset Input -------------------------------------------------
--
dre2ibtt_strt_addr_offset : In std_logic_vector(C_STRT_OFFSET_WIDTH-1 downto 0); --
-- Used by Packing logic to set the initial data slice position for the --
-- packing operation. Packing is only needed if the MMap and Stream Data --
-- widths do not match. This input is sampled on the first valid DRE Stream In --
-- input databeat of a packet. --
-- --
-----------------------------------------------------------------------------------
-- Store and Forward Command Calculator Interface ---------------------------------------
--
sf2pcc_xfer_valid : Out std_logic; --
-- Indicates that at least 1 xfer descriptor entry is in in the XFER_DESCR_FIFO --
--
pcc2sf_xfer_ready : in std_logic; --
-- Indicates that a full burst of data has been loaded into the data FIFO --
--
--
sf2pcc_cmd_cmplt : Out std_logic; --
-- Indicates that this is the final xfer for an associated command loaded --
-- into the Realigner by the IBTTCC interface --
--
--
sf2pcc_packet_eop : Out std_logic; --
-- Indicates the end of a Stream Packet corresponds to the pending --
-- xfer data described by this xfer descriptor --
--
sf2pcc_xfer_bytes : Out std_logic_vector(C_IBTT_XFER_BYTES_WIDTH-1 downto 0) --
-- This byte count is used by the IBTTCC for setting up the spawned child --
-- commands. The IBTTCC must use this count to generate the appropriate --
-- LEN value to put out on the AXI4 Write Addr Channel and the WSTRB on the AXI4 --
-- Write Data Channel. --
-----------------------------------------------------------------------------------------
);
end entity axi_datamover_indet_btt;
architecture implementation of axi_datamover_indet_btt is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Functions
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_get_cntr_width
--
-- Function Description:
-- This function calculates the needed counter bit width from the
-- number of count sates needed (input).
--
-------------------------------------------------------------------
function funct_get_cntr_width (num_cnt_values : integer) return integer is
Variable temp_cnt_width : Integer := 0;
begin
if (num_cnt_values <= 2) then
temp_cnt_width := 1;
elsif (num_cnt_values <= 4) then
temp_cnt_width := 2;
elsif (num_cnt_values <= 8) then
temp_cnt_width := 3;
elsif (num_cnt_values <= 16) then
temp_cnt_width := 4;
elsif (num_cnt_values <= 32) then
temp_cnt_width := 5;
elsif (num_cnt_values <= 64) then
temp_cnt_width := 6;
elsif (num_cnt_values <= 128) then
temp_cnt_width := 7;
else
temp_cnt_width := 8;
end if;
Return (temp_cnt_width);
end function funct_get_cntr_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_rnd2pwr_of_2
--
-- Function Description:
-- Rounds the input value up to the nearest power of 2 between
-- 4 and 32. THis is used for sizing the SRL based XD FIFO.
--
-------------------------------------------------------------------
function funct_rnd2pwr_of_2 (input_value : integer) return integer is
Variable temp_pwr2 : Integer := 128;
begin
if (input_value <= 4) then
temp_pwr2 := 4;
elsif (input_value <= 8) then
temp_pwr2 := 8;
elsif (input_value <= 16) then
temp_pwr2 := 16;
else
temp_pwr2 := 32;
end if;
Return (temp_pwr2);
end function funct_rnd2pwr_of_2;
-------------------------------------------------------------------
-- Constants
Constant LOGIC_LOW : std_logic := '0';
Constant LOGIC_HIGH : std_logic := '1';
Constant BITS_PER_BYTE : integer := 8;
Constant MMAP2STRM_WIDTH_RATO : integer := C_MMAP_DWIDTH/C_STREAM_DWIDTH;
Constant STRM_WSTB_WIDTH : integer := C_STREAM_DWIDTH/BITS_PER_BYTE;
Constant MMAP_WSTB_WIDTH : integer := C_MMAP_DWIDTH/BITS_PER_BYTE;
Constant STRM_STRBS_ASSERTED_WIDTH : integer := clog2(STRM_WSTB_WIDTH)+1;
-- Constant DATA_FIFO_DFACTOR : integer := 4; -- set buffer to 4 times the Max allowed Burst Length
-- Constant DATA_FIFO_DEPTH : integer := C_MAX_BURST_LEN*DATA_FIFO_DFACTOR;
Constant DATA_FIFO_DEPTH : integer := C_SF_FIFO_DEPTH;
Constant DATA_FIFO_WIDTH : integer := C_MMAP_DWIDTH+MMAP_WSTB_WIDTH*C_ENABLE_S2MM_TKEEP+2;
-- Constant DATA_FIFO_WIDTH : integer := C_MMAP_DWIDTH+STRB_CNTR_WIDTH+2;
Constant DATA_FIFO_CNT_WIDTH : integer := clog2(DATA_FIFO_DEPTH)+1;
Constant BURST_CNTR_WIDTH : integer := clog2(C_MAX_BURST_LEN);
Constant MAX_BURST_DBEATS : Unsigned(BURST_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(C_MAX_BURST_LEN-1, BURST_CNTR_WIDTH);
Constant DBC_ONE : Unsigned(BURST_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, BURST_CNTR_WIDTH);
Constant BYTE_CNTR_WIDTH : integer := C_IBTT_XFER_BYTES_WIDTH;
Constant BYTES_PER_MMAP_DBEAT : integer := C_MMAP_DWIDTH/BITS_PER_BYTE;
Constant BYTES_PER_STRM_DBEAT : integer := C_STREAM_DWIDTH/BITS_PER_BYTE;
--Constant MAX_BYTE_CNT : integer := C_MAX_BURST_LEN*BYTES_PER_DBEAT;
--Constant NUM_STRB_BITS : integer := BYTES_PER_DBEAT;
Constant BCNTR_ONE : Unsigned(BYTE_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, BYTE_CNTR_WIDTH);
--Constant XD_FIFO_DEPTH : integer := 16;
Constant XD_FIFO_DEPTH : integer := funct_rnd2pwr_of_2(DATA_FIFO_DEPTH/C_MAX_BURST_LEN);
Constant XD_FIFO_CNT_WIDTH : integer := clog2(XD_FIFO_DEPTH)+1;
Constant XD_FIFO_WIDTH : integer := BYTE_CNTR_WIDTH+2;
Constant MMAP_STBS_ASSERTED_WIDTH : integer := 8;
Constant SKIDBUF2WDC_DWIDTH : integer := C_MMAP_DWIDTH + MMAP_STBS_ASSERTED_WIDTH;
Constant SKIDBUF2WDC_STRB_WIDTH : integer := SKIDBUF2WDC_DWIDTH/BITS_PER_BYTE;
--Constant NUM_ZEROS_WIDTH : integer := MMAP_STBS_ASSERTED_WIDTH;
Constant STRB_CNTR_WIDTH : integer := MMAP_STBS_ASSERTED_WIDTH;
-- Signals
signal sig_wdc2ibtt_tready : std_logic := '0';
signal sig_ibtt2wdc_tvalid : std_logic := '0';
signal sig_ibtt2wdc_tdata : std_logic_vector(C_MMAP_DWIDTH-1 downto 0) := (others => '0');
signal sig_ibtt2wdc_tstrb : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0');
signal sig_ibtt2wdc_tlast : std_logic := '0';
signal sig_ibtt2wdc_eop : std_logic := '0';
signal sig_push_data_fifo : std_logic := '0';
signal sig_pop_data_fifo : std_logic := '0';
signal sig_data_fifo_data_in : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_data_fifo_data_out : std_logic_vector(DATA_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_data_fifo_dvalid : std_logic := '0';
signal sig_data_fifo_full : std_logic := '0';
signal sig_data_fifo_rd_cnt : std_logic_vector(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0');
signal sig_data_fifo_wr_cnt : std_logic_vector(DATA_FIFO_CNT_WIDTH-1 downto 0) := (others => '0');
signal sig_push_xd_fifo : std_logic := '0';
signal sig_pop_xd_fifo : std_logic := '0';
signal sig_xd_fifo_data_in : std_logic_vector(XD_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_xd_fifo_data_out : std_logic_vector(XD_FIFO_WIDTH-1 downto 0) := (others => '0');
signal sig_xd_fifo_dvalid : std_logic := '0';
signal sig_xd_fifo_full : std_logic := '0';
signal sig_tmp : std_logic := '0';
signal sig_strm_in_ready : std_logic := '0';
signal sig_good_strm_dbeat : std_logic := '0';
signal sig_good_tlast_dbeat : std_logic := '0';
signal sig_dre2ibtt_tlast_reg : std_logic := '0';
signal sig_dre2ibtt_eop_reg : std_logic := '0';
signal sig_burst_dbeat_cntr : Unsigned(BURST_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_incr_dbeat_cntr : std_logic := '0';
signal sig_clr_dbeat_cntr : std_logic := '0';
signal sig_clr_dbc_reg : std_logic := '0';
signal sig_dbc_max : std_logic := '0';
signal sig_pcc2ibtt_xfer_ready : std_logic := '0';
signal sig_byte_cntr : unsigned(BYTE_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_byte_cntr_incr_value : unsigned(BYTE_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_ld_byte_cntr : std_logic := '0';
signal sig_incr_byte_cntr : std_logic := '0';
signal sig_clr_byte_cntr : std_logic := '0';
signal sig_fifo_tstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0');
signal sig_num_ls_zeros : integer range 0 to STRM_WSTB_WIDTH := 0;
signal sig_ls_assert_found : std_logic := '0';
signal sig_num_ms_zeros : integer range 0 to STRM_WSTB_WIDTH := 0;
signal sig_ms_assert_found : std_logic := '0';
-- signal sig_num_zeros : unsigned(NUM_ZEROS_WIDTH-1 downto 0) := (others => '0');
-- signal sig_num_ones : unsigned(NUM_ZEROS_WIDTH-1 downto 0) := (others => '0');
signal sig_stbs2sfcc_asserted : std_logic_vector(MMAP_STBS_ASSERTED_WIDTH-1 downto 0) := (others => '0');
signal sig_stbs2wdc_asserted : std_logic_vector(MMAP_STBS_ASSERTED_WIDTH-1 downto 0) := (others => '0');
signal sig_ibtt2wdc_stbs_asserted : std_logic_vector(MMAP_STBS_ASSERTED_WIDTH-1 downto 0) := (others => '0');
signal sig_skidbuf_in_tready : std_logic := '0';
signal sig_skidbuf_in_tvalid : std_logic := '0';
signal sig_skidbuf_in_tdata : std_logic_vector(SKIDBUF2WDC_DWIDTH-1 downto 0) := (others => '0');
signal sig_skidbuf_in_tstrb : std_logic_vector(SKIDBUF2WDC_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_skidbuf_in_tlast : std_logic := '0';
signal sig_skidbuf_in_eop : std_logic := '0';
signal sig_skidbuf_out_tready : std_logic := '0';
signal sig_skidbuf_out_tvalid : std_logic := '0';
signal sig_skidbuf_out_tdata : std_logic_vector(SKIDBUF2WDC_DWIDTH-1 downto 0) := (others => '0');
signal sig_skidbuf_out_tstrb : std_logic_vector(SKIDBUF2WDC_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_skidbuf_out_tlast : std_logic := '0';
signal sig_skidbuf_out_eop : std_logic := '0';
signal sig_enable_dbcntr : std_logic := '0';
signal sig_good_fifo_write : std_logic := '0';
begin --(architecture implementation)
-- Write Data Controller I/O
sig_wdc2ibtt_tready <= wdc2ibtt_tready ;
ibtt2wdc_tvalid <= sig_ibtt2wdc_tvalid ;
ibtt2wdc_tdata <= sig_ibtt2wdc_tdata ;
ibtt2wdc_tstrb <= sig_ibtt2wdc_tstrb ;
ibtt2wdc_tlast <= sig_ibtt2wdc_tlast ;
ibtt2wdc_eop <= sig_ibtt2wdc_eop ;
ibtt2wdc_stbs_asserted <= sig_ibtt2wdc_stbs_asserted;
-- PCC I/O
sf2pcc_xfer_valid <= sig_xd_fifo_dvalid;
sig_pcc2ibtt_xfer_ready <= pcc2sf_xfer_ready;
sf2pcc_packet_eop <= sig_xd_fifo_data_out(BYTE_CNTR_WIDTH+1);
sf2pcc_cmd_cmplt <= sig_xd_fifo_data_out(BYTE_CNTR_WIDTH);
sf2pcc_xfer_bytes <= sig_xd_fifo_data_out(BYTE_CNTR_WIDTH-1 downto 0);
-- DRE Stream In
ibtt2dre_tready <= sig_strm_in_ready;
-- sig_strm_in_ready <= not(sig_xd_fifo_full) and
-- not(sig_data_fifo_full);
sig_good_strm_dbeat <= dre2ibtt_tvalid and
sig_strm_in_ready;
sig_good_tlast_dbeat <= sig_good_strm_dbeat and
dre2ibtt_tlast;
-- Burst Packet Counter Logic -------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_DBC_STUFF
--
-- Process Description:
-- Just a register for data beat counter signals.
--
-------------------------------------------------------------
REG_DBC_STUFF : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_dre2ibtt_tlast_reg <= '0';
sig_dre2ibtt_eop_reg <= '0';
sig_clr_dbc_reg <= '0';
else
sig_dre2ibtt_tlast_reg <= dre2ibtt_tlast;
sig_dre2ibtt_eop_reg <= dre2ibtt_eop;
sig_clr_dbc_reg <= sig_clr_dbeat_cntr;
end if;
end if;
end process REG_DBC_STUFF;
-- sig_clr_dbc_reg <= sig_clr_dbeat_cntr;
-- Increment the dataBeat counter on a data fifo wide
-- load condition. If packer logic is enabled, this will
-- only occur when a full fifo data width has been collected
-- from the Stream input.
sig_incr_dbeat_cntr <= sig_good_strm_dbeat and
sig_enable_dbcntr;
-- Check to see if a max burst len of databeats have been
-- loaded into the FIFO
sig_dbc_max <= '1'
when (sig_burst_dbeat_cntr = MAX_BURST_DBEATS)
Else '0';
-- Start the counter over at a max burst len boundary or at
-- the end of the packet.
sig_clr_dbeat_cntr <= '1'
when (sig_dbc_max = '1' and
sig_good_strm_dbeat = '1' and
sig_enable_dbcntr = '1') or
(sig_good_tlast_dbeat = '1' and
sig_enable_dbcntr = '1')
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_DBC_CMTR
--
-- Process Description:
-- The Databeat Counter keeps track of how many databeats have
-- been loaded into the Data FIFO. When a max burst worth of
-- databeats have been loaded (or a TLAST encountered), the
-- XD FIFO can be loaded with a transfer data set to be sent
-- to the IBTTCC.
--
-------------------------------------------------------------
IMP_DBC_CMTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_clr_dbeat_cntr = '1') then
sig_burst_dbeat_cntr <= (others => '0');
elsif (sig_incr_dbeat_cntr = '1') then
sig_burst_dbeat_cntr <= sig_burst_dbeat_cntr + DBC_ONE;
else
null; -- hold current value
end if;
end if;
end process IMP_DBC_CMTR;
----- Byte Counter Logic -----------------------------------------------
sig_clr_byte_cntr <= sig_clr_dbc_reg and
not(sig_good_strm_dbeat);
sig_ld_byte_cntr <= sig_clr_dbc_reg and
sig_good_strm_dbeat;
sig_incr_byte_cntr <= sig_good_strm_dbeat;
sig_byte_cntr_incr_value <= RESIZE(UNSIGNED(sig_stbs2sfcc_asserted), BYTE_CNTR_WIDTH);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_BYTE_CMTR
--
-- Process Description:
-- Keeps a running byte count per burst packet loaded into the
-- xfer FIFO. It is based on the strobes set on the incoming
-- Stream dbeat.
--
-------------------------------------------------------------
IMP_BYTE_CMTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_clr_byte_cntr = '1') then
sig_byte_cntr <= (others => '0');
elsif (sig_ld_byte_cntr = '1') then
sig_byte_cntr <= sig_byte_cntr_incr_value;
elsif (sig_incr_byte_cntr = '1') then
sig_byte_cntr <= sig_byte_cntr + sig_byte_cntr_incr_value;
else
null; -- hold current value
end if;
end if;
end process IMP_BYTE_CMTR;
------------------------------------------------------------
-- Instance: I_IBTTCC_STBS_SET
--
-- Description:
-- Instance of the asserted strobe counter for the IBTTCC
-- interface.
--
------------------------------------------------------------
SAME_WIDTH_NO_DRE : if (C_ENABLE_DRE = 0 and (C_STREAM_DWIDTH = C_MMAP_DWIDTH)) generate
begin
I_IBTTCC_STBS_SET : entity axi_datamover_v5_1.axi_datamover_stbs_set_nodre
generic map (
C_STROBE_WIDTH => STRM_WSTB_WIDTH
)
port map (
tstrb_in => dre2ibtt_tstrb,
num_stbs_asserted => sig_stbs2sfcc_asserted -- 8 bit wide slv
);
end generate SAME_WIDTH_NO_DRE;
DIFF_WIDTH_OR_DRE : if (C_ENABLE_DRE /= 0 or (C_STREAM_DWIDTH /= C_MMAP_DWIDTH)) generate
begin
I_IBTTCC_STBS_SET : entity axi_datamover_v5_1.axi_datamover_stbs_set
generic map (
C_STROBE_WIDTH => STRM_WSTB_WIDTH
)
port map (
tstrb_in => dre2ibtt_tstrb,
num_stbs_asserted => sig_stbs2sfcc_asserted -- 8 bit wide slv
);
end generate DIFF_WIDTH_OR_DRE;
----- Xfer Descriptor FIFO Logic -----------------------------------------------
sig_push_xd_fifo <= sig_clr_dbc_reg ;
sig_pop_xd_fifo <= sig_pcc2ibtt_xfer_ready and
sig_xd_fifo_dvalid ;
sig_xd_fifo_data_in <= sig_dre2ibtt_eop_reg & -- (TLAST for the input Stream)
sig_dre2ibtt_tlast_reg & -- (TLAST for the IBTTCC command)
std_logic_vector(sig_byte_cntr); -- Number of bytes in this xfer
------------------------------------------------------------
-- Instance: I_XD_FIFO
--
-- Description:
-- Implement the Transfer Desciptor (XD) FIFO. This FIFO holds
-- the individual child command xfer descriptors used by the
-- IBTTCC to generate the commands sent to the Address Cntlr and
-- the Data Cntlr.
--
------------------------------------------------------------
I_XD_FIFO : entity axi_datamover_v5_1.axi_datamover_sfifo_autord
generic map (
C_DWIDTH => XD_FIFO_WIDTH ,
C_DEPTH => XD_FIFO_DEPTH ,
C_DATA_CNT_WIDTH => XD_FIFO_CNT_WIDTH ,
C_NEED_ALMOST_EMPTY => 0 ,
C_NEED_ALMOST_FULL => 1 ,
C_USE_BLKMEM => 0 ,
C_FAMILY => C_FAMILY
)
port map (
-- Inputs
SFIFO_Sinit => mmap_reset ,
SFIFO_Clk => primary_aclk ,
SFIFO_Wr_en => sig_push_xd_fifo ,
SFIFO_Din => sig_xd_fifo_data_in ,
SFIFO_Rd_en => sig_pop_xd_fifo ,
SFIFO_Clr_Rd_Data_Valid => LOGIC_LOW ,
-- Outputs
SFIFO_DValid => sig_xd_fifo_dvalid ,
SFIFO_Dout => sig_xd_fifo_data_out ,
SFIFO_Full => sig_xd_fifo_full ,
SFIFO_Empty => open ,
SFIFO_Almost_full => sig_tmp ,
SFIFO_Almost_empty => open ,
SFIFO_Rd_count => open ,
SFIFO_Rd_count_minus1 => open ,
SFIFO_Wr_count => open ,
SFIFO_Rd_ack => open
);
----------------------------------------------------------------
-- Packing Logic ------------------------------------------
----------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: OMIT_PACKING
--
-- If Generate Description:
-- Omits any packing logic in the Store and Forward module.
-- The Stream and MMap data widths are the same.
--
------------------------------------------------------------
OMIT_PACKING : if (C_MMAP_DWIDTH = C_STREAM_DWIDTH) generate
begin
-- The data beat counter is always enabled when the packer
-- is omitted.
sig_enable_dbcntr <= '1';
sig_good_fifo_write <= sig_good_strm_dbeat;
sig_strm_in_ready <= not(sig_xd_fifo_full) and
not(sig_data_fifo_full) and
not (sig_tmp);
GEN_S2MM_TKEEP_ENABLE5 : if C_ENABLE_S2MM_TKEEP = 1 generate
begin
-- Concatonate the Stream inputs into the single FIFO data
-- word input value
sig_data_fifo_data_in <= dre2ibtt_eop & -- end of packet marker
dre2ibtt_tlast & -- Tlast marker
dre2ibtt_tstrb & -- TSTRB Value
dre2ibtt_tdata; -- data value
end generate GEN_S2MM_TKEEP_ENABLE5;
GEN_S2MM_TKEEP_DISABLE5 : if C_ENABLE_S2MM_TKEEP = 0 generate
begin
-- Concatonate the Stream inputs into the single FIFO data
-- word input value
sig_data_fifo_data_in <= dre2ibtt_eop & -- end of packet marker
dre2ibtt_tlast & -- Tlast marker
--dre2ibtt_tstrb & -- TSTRB Value
dre2ibtt_tdata; -- data value
end generate GEN_S2MM_TKEEP_DISABLE5;
end generate OMIT_PACKING;
------------------------------------------------------------
-- If Generate
--
-- Label: INCLUDE_PACKING
--
-- If Generate Description:
-- Includes packing logic in the IBTT Store and Forward
-- module. The MMap Data bus is wider than the Stream width.
--
------------------------------------------------------------
INCLUDE_PACKING : if (C_MMAP_DWIDTH > C_STREAM_DWIDTH) generate
Constant TLAST_WIDTH : integer := 1; -- bit
Constant EOP_WIDTH : integer := 1; -- bit
Constant DATA_SLICE_WIDTH : integer := C_STREAM_DWIDTH;
Constant STRB_SLICE_WIDTH : integer := STRM_WSTB_WIDTH;
Constant FLAG_SLICE_WIDTH : integer := TLAST_WIDTH +
EOP_WIDTH;
Constant OFFSET_CNTR_WIDTH : integer := funct_get_cntr_width(MMAP2STRM_WIDTH_RATO);
Constant OFFSET_CNT_ONE : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(1, OFFSET_CNTR_WIDTH);
Constant OFFSET_CNT_MAX : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) :=
TO_UNSIGNED(MMAP2STRM_WIDTH_RATO-1, OFFSET_CNTR_WIDTH);
-- Types -----------------------------------------------------------------------------
type lsig_data_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of
std_logic_vector(DATA_SLICE_WIDTH-1 downto 0);
type lsig_strb_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of
std_logic_vector(STRB_SLICE_WIDTH-1 downto 0);
type lsig_flag_slice_type is array(MMAP2STRM_WIDTH_RATO-1 downto 0) of
std_logic_vector(FLAG_SLICE_WIDTH-1 downto 0);
-- local signals
signal lsig_data_slice_reg : lsig_data_slice_type;
signal lsig_strb_slice_reg : lsig_strb_slice_type;
signal lsig_flag_slice_reg : lsig_flag_slice_type;
signal lsig_reg_segment : std_logic_vector(DATA_SLICE_WIDTH-1 downto 0) := (others => '0');
signal lsig_segment_ld : std_logic_vector(MMAP2STRM_WIDTH_RATO-1 downto 0) := (others => '0');
signal lsig_segment_clr : std_logic_vector(MMAP2STRM_WIDTH_RATO-1 downto 0) := (others => '0');
signal lsig_0ffset_to_to_use : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := (others => '0');
signal lsig_0ffset_cntr : unsigned(OFFSET_CNTR_WIDTH-1 downto 0) := (others => '0');
signal lsig_ld_offset : std_logic := '0';
signal lsig_incr_offset : std_logic := '0';
signal lsig_offset_cntr_eq_max : std_logic := '0';
signal lsig_combined_data : std_logic_vector(C_MMAP_DWIDTH-1 downto 0) := (others => '0');
signal lsig_combined_strb : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0');
signal lsig_tlast_or : std_logic := '0';
signal lsig_eop_or : std_logic := '0';
signal lsig_partial_tlast_or : std_logic_vector(MMAP2STRM_WIDTH_RATO-1 downto 0) := (others => '0');
signal lsig_partial_eop_or : std_logic_vector(MMAP2STRM_WIDTH_RATO-1 downto 0) := (others => '0');
signal lsig_packer_full : std_logic := '0';
signal lsig_packer_empty : std_logic := '0';
signal lsig_set_packer_full : std_logic := '0';
signal lsig_good_push2fifo : std_logic := '0';
signal lsig_first_dbeat : std_logic := '0';
begin
-- Generate the stream ready
sig_strm_in_ready <= not(sig_xd_fifo_full) and
not(sig_tmp) and
(not(lsig_packer_full) or
lsig_good_push2fifo) ;
-- Enable the Data Beat counter when the packer is
-- going full
sig_enable_dbcntr <= lsig_set_packer_full;
-- Assign the flag indicating that a fifo write is going
-- to occur at the next rising clock edge.
sig_good_fifo_write <= lsig_good_push2fifo;
GEN_S2MM_TKEEP_ENABLE6 : if C_ENABLE_S2MM_TKEEP = 1 generate
begin
-- Format the composite FIFO input data word
sig_data_fifo_data_in <= lsig_eop_or & -- MS Bit
lsig_tlast_or &
lsig_combined_strb &
lsig_combined_data ; -- LS Bits
end generate GEN_S2MM_TKEEP_ENABLE6;
GEN_S2MM_TKEEP_DISABLE6 : if C_ENABLE_S2MM_TKEEP = 0 generate
begin
-- Format the composite FIFO input data word
sig_data_fifo_data_in <= lsig_eop_or & -- MS Bit
lsig_tlast_or &
--lsig_combined_strb &
lsig_combined_data ; -- LS Bits
end generate GEN_S2MM_TKEEP_DISABLE6;
-- Generate a flag indicating a write to the DataFIFO
-- is going to complete
lsig_good_push2fifo <= lsig_packer_full and
not(sig_data_fifo_full);
-- Generate the control that loads the starting address
-- offset for the next input packet
lsig_ld_offset <= lsig_first_dbeat and
sig_good_strm_dbeat;
-- Generate the control for incrementing the offset counter
lsig_incr_offset <= sig_good_strm_dbeat;
-- Generate a flag indicating the packer input register
-- array is full or has loaded the last data beat of
-- the input paket
lsig_set_packer_full <= sig_good_strm_dbeat and
(dre2ibtt_tlast or
lsig_offset_cntr_eq_max);
-- Check to see if the offset counter has reached its max
-- value
lsig_offset_cntr_eq_max <= '1'
--when (lsig_0ffset_cntr = OFFSET_CNT_MAX)
when (lsig_0ffset_to_to_use = OFFSET_CNT_MAX)
Else '0';
-- Mux between the input start offset and the offset counter
-- output to use for the packer slice load control.
lsig_0ffset_to_to_use <= UNSIGNED(dre2ibtt_strt_addr_offset)
when (lsig_first_dbeat = '1')
Else lsig_0ffset_cntr;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_OFFSET_LD_MARKER
--
-- Process Description:
-- Implements the flop indicating the first databeat of
-- an input data packet.
--
-------------------------------------------------------------
IMP_OFFSET_LD_MARKER : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
lsig_first_dbeat <= '1';
elsif (sig_good_strm_dbeat = '1' and
dre2ibtt_tlast = '0') then
lsig_first_dbeat <= '0';
Elsif (sig_good_strm_dbeat = '1' and
dre2ibtt_tlast = '1') Then
lsig_first_dbeat <= '1';
else
null; -- Hold Current State
end if;
end if;
end process IMP_OFFSET_LD_MARKER;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_OFFSET_CNTR
--
-- Process Description:
-- Implements the address offset counter that is used to
-- steer the data loads into the packer register slices.
-- Note that the counter has to be loaded with the starting
-- offset plus one to sync up with the data input.
-------------------------------------------------------------
IMP_OFFSET_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
lsig_0ffset_cntr <= (others => '0');
Elsif (lsig_ld_offset = '1') Then
lsig_0ffset_cntr <= UNSIGNED(dre2ibtt_strt_addr_offset) + OFFSET_CNT_ONE;
elsif (lsig_incr_offset = '1') then
lsig_0ffset_cntr <= lsig_0ffset_cntr + OFFSET_CNT_ONE;
else
null; -- Hold Current State
end if;
end if;
end process IMP_OFFSET_CNTR;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_PACK_REG_FULL
--
-- Process Description:
-- Implements the Packer Register full/empty flags
--
-------------------------------------------------------------
IMP_PACK_REG_FULL : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
lsig_packer_full <= '0';
lsig_packer_empty <= '1';
Elsif (lsig_set_packer_full = '1' and
lsig_packer_full = '0') Then
lsig_packer_full <= '1';
lsig_packer_empty <= '0';
elsif (lsig_set_packer_full = '0' and
lsig_good_push2fifo = '1') then
lsig_packer_full <= '0';
lsig_packer_empty <= '1';
else
null; -- Hold Current State
end if;
end if;
end process IMP_PACK_REG_FULL;
------------------------------------------------------------
-- For Generate
--
-- Label: DO_REG_SLICES
--
-- For Generate Description:
--
-- Implements the Packng Register Slices
--
--
------------------------------------------------------------
DO_REG_SLICES : for slice_index in 0 to MMAP2STRM_WIDTH_RATO-1 generate
begin
-- generate the register load enable for each slice segment based
-- on the address offset count value
lsig_segment_ld(slice_index) <= '1'
when (sig_good_strm_dbeat = '1' and
TO_INTEGER(lsig_0ffset_to_to_use) = slice_index)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_DATA_SLICE
--
-- Process Description:
-- Implement a data register slice abd Strobe register slice
-- for the packer (upsizer).
--
-------------------------------------------------------------
IMP_DATA_SLICE : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
lsig_data_slice_reg(slice_index) <= (others => '0');
lsig_strb_slice_reg(slice_index) <= (others => '0');
elsif (lsig_segment_ld(slice_index) = '1') then
lsig_data_slice_reg(slice_index) <= dre2ibtt_tdata;
lsig_strb_slice_reg(slice_index) <= dre2ibtt_tstrb;
-- optional clear of slice reg
elsif (lsig_segment_ld(slice_index) = '0' and
lsig_good_push2fifo = '1') then
lsig_data_slice_reg(slice_index) <= (others => '0');
lsig_strb_slice_reg(slice_index) <= (others => '0');
else
null; -- Hold Current State
end if;
end if;
end process IMP_DATA_SLICE;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_FLAG_SLICE
--
-- Process Description:
-- Implement a flag register slice for the packer.
--
-------------------------------------------------------------
IMP_FLAG_SLICE : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
lsig_flag_slice_reg(slice_index) <= (others => '0');
elsif (lsig_segment_ld(slice_index) = '1') then
lsig_flag_slice_reg(slice_index) <= dre2ibtt_tlast & -- bit 1
dre2ibtt_eop; -- bit 0
elsif (lsig_segment_ld(slice_index) = '0' and
lsig_good_push2fifo = '1') then
lsig_flag_slice_reg(slice_index) <= (others => '0');
else
null; -- Hold Current State
end if;
end if;
end process IMP_FLAG_SLICE;
end generate DO_REG_SLICES;
-- Do the OR functions of the Flags -------------------------------------
lsig_tlast_or <= lsig_partial_tlast_or(MMAP2STRM_WIDTH_RATO-1) ;
lsig_eop_or <= lsig_partial_eop_or(MMAP2STRM_WIDTH_RATO-1);
lsig_partial_tlast_or(0) <= lsig_flag_slice_reg(0)(1);
lsig_partial_eop_or(0) <= lsig_flag_slice_reg(0)(0);
------------------------------------------------------------
-- For Generate
--
-- Label: DO_FLAG_OR
--
-- For Generate Description:
-- Implement the OR of the TLAST and EOP Error flags.
--
--
--
------------------------------------------------------------
DO_FLAG_OR : for slice_index in 1 to MMAP2STRM_WIDTH_RATO-1 generate
begin
lsig_partial_tlast_or(slice_index) <= lsig_partial_tlast_or(slice_index-1) or
--lsig_partial_tlast_or(slice_index);
lsig_flag_slice_reg(slice_index)(1);
lsig_partial_eop_or(slice_index) <= lsig_partial_eop_or(slice_index-1) or
--lsig_partial_eop_or(slice_index);
lsig_flag_slice_reg(slice_index)(0);
end generate DO_FLAG_OR;
------------------------------------------------------------
-- For Generate
--
-- Label: DO_DATA_COMBINER
--
-- For Generate Description:
-- Combines the Data Slice register and Strobe slice register
-- outputs into a single data and single strobe vector used for
-- input data to the Data FIFO.
--
--
------------------------------------------------------------
DO_DATA_COMBINER : for slice_index in 1 to MMAP2STRM_WIDTH_RATO generate
begin
lsig_combined_data((slice_index*DATA_SLICE_WIDTH)-1 downto
(slice_index-1)*DATA_SLICE_WIDTH) <=
lsig_data_slice_reg(slice_index-1);
lsig_combined_strb((slice_index*STRB_SLICE_WIDTH)-1 downto
(slice_index-1)*STRB_SLICE_WIDTH) <=
lsig_strb_slice_reg(slice_index-1);
end generate DO_DATA_COMBINER;
end generate INCLUDE_PACKING;
-- Data FIFO Logic ------------------------------------------
--sig_push_data_fifo <= sig_good_strm_dbeat;
sig_push_data_fifo <= sig_good_fifo_write;
sig_pop_data_fifo <= sig_skidbuf_in_tready and
sig_data_fifo_dvalid;
-- -- Concatonate the Stream inputs into the single FIFO data in value
-- sig_data_fifo_data_in <= dre2ibtt_eop & -- end of packet marker
-- dre2ibtt_tlast &
-- dre2ibtt_tstrb &
-- dre2ibtt_tdata;
------------------------------------------------------------
-- Instance: I_DATA_FIFO
--
-- Description:
-- Implements the Store and Forward data FIFO
--
------------------------------------------------------------
I_DATA_FIFO : entity axi_datamover_v5_1.axi_datamover_sfifo_autord
generic map (
C_DWIDTH => DATA_FIFO_WIDTH ,
C_DEPTH => DATA_FIFO_DEPTH ,
C_DATA_CNT_WIDTH => DATA_FIFO_CNT_WIDTH ,
C_NEED_ALMOST_EMPTY => 0 ,
C_NEED_ALMOST_FULL => 0 ,
C_USE_BLKMEM => 1 ,
C_FAMILY => C_FAMILY
)
port map (
-- Inputs
SFIFO_Sinit => mmap_reset ,
SFIFO_Clk => primary_aclk ,
SFIFO_Wr_en => sig_push_data_fifo ,
SFIFO_Din => sig_data_fifo_data_in ,
SFIFO_Rd_en => sig_pop_data_fifo ,
SFIFO_Clr_Rd_Data_Valid => LOGIC_LOW ,
-- Outputs
SFIFO_DValid => sig_data_fifo_dvalid ,
SFIFO_Dout => sig_data_fifo_data_out ,
SFIFO_Full => sig_data_fifo_full ,
SFIFO_Empty => open ,
SFIFO_Almost_full => open ,
SFIFO_Almost_empty => open ,
SFIFO_Rd_count => sig_data_fifo_rd_cnt ,
SFIFO_Rd_count_minus1 => open ,
SFIFO_Wr_count => sig_data_fifo_wr_cnt ,
SFIFO_Rd_ack => open
);
-------------------------------------------------------------------------
---------------- Asserted TSTRB calculation logic ---------------------
-------------------------------------------------------------------------
GEN_S2MM_TKEEP_ENABLE7 : if C_ENABLE_S2MM_TKEEP = 1 generate
begin
-- Rip the write strobe value from the FIFO output data
sig_fifo_tstrb_out <= sig_data_fifo_data_out(DATA_FIFO_WIDTH-3 downto
C_MMAP_DWIDTH);
end generate GEN_S2MM_TKEEP_ENABLE7;
GEN_S2MM_TKEEP_DISBALE7 : if C_ENABLE_S2MM_TKEEP = 0 generate
begin
sig_fifo_tstrb_out <= (others => '1');
end generate GEN_S2MM_TKEEP_DISBALE7;
------------------------------------------------------------
-- Instance: I_WDC_STBS_SET
--
-- Description:
-- Instance of the asserted strobe counter for the WDC
-- interface.
--
------------------------------------------------------------
SAME_WIDTH_NO_DRE_WDC : if (C_ENABLE_DRE = 0 and (C_STREAM_DWIDTH = C_MMAP_DWIDTH)) generate
begin
I_WDC_STBS_SET : entity axi_datamover_v5_1.axi_datamover_stbs_set_nodre
generic map (
C_STROBE_WIDTH => MMAP_WSTB_WIDTH
)
port map (
tstrb_in => sig_fifo_tstrb_out,
num_stbs_asserted => sig_stbs2wdc_asserted
);
end generate SAME_WIDTH_NO_DRE_WDC;
DIFF_WIDTH_OR_DRE_WDC : if (C_ENABLE_DRE /= 0 or (C_STREAM_DWIDTH /= C_MMAP_DWIDTH)) generate
begin
I_WDC_STBS_SET : entity axi_datamover_v5_1.axi_datamover_stbs_set
generic map (
C_STROBE_WIDTH => MMAP_WSTB_WIDTH
)
port map (
tstrb_in => sig_fifo_tstrb_out,
num_stbs_asserted => sig_stbs2wdc_asserted
);
end generate DIFF_WIDTH_OR_DRE_WDC;
-------------------------------------------------------------------------
------- Isolation Skid Buffer Logic (needed for Fmax timing) -----------
-------------------------------------------------------------------------
-- Skid Buffer output assignments -----------
sig_skidbuf_out_tready <= sig_wdc2ibtt_tready;
sig_ibtt2wdc_tvalid <= sig_skidbuf_out_tvalid;
sig_ibtt2wdc_tdata <= sig_skidbuf_out_tdata(C_MMAP_DWIDTH-1 downto 0) ;
sig_ibtt2wdc_tstrb <= sig_skidbuf_out_tstrb(MMAP_WSTB_WIDTH-1 downto 0) ;
sig_ibtt2wdc_tlast <= sig_skidbuf_out_tlast ;
-- Rip the EOP marker from the MS bit of the skid output strobes
sig_ibtt2wdc_eop <= sig_skidbuf_out_tstrb(MMAP_WSTB_WIDTH) ;
-- Rip the upper 8 bits of the skid output data for the strobes asserted value
sig_ibtt2wdc_stbs_asserted <= sig_skidbuf_out_tdata(SKIDBUF2WDC_DWIDTH-1 downto
C_MMAP_DWIDTH);
-- Skid Buffer input assignments -----------
sig_skidbuf_in_tvalid <= sig_data_fifo_dvalid;
sig_skidbuf_in_eop <= sig_data_fifo_data_out(DATA_FIFO_WIDTH-1);
sig_skidbuf_in_tlast <= sig_data_fifo_data_out(DATA_FIFO_WIDTH-2);
-- Steal the extra input strobe bit and use it for the EOP marker
---- sig_skidbuf_in_tstrb <= sig_skidbuf_in_eop &
---- sig_data_fifo_data_out(DATA_FIFO_WIDTH-3 downto
---- C_MMAP_DWIDTH);
----
sig_skidbuf_in_tstrb <= sig_skidbuf_in_eop &
sig_fifo_tstrb_out;
-- Insert the Strobes Asserted count in the extra (MS) data byte
-- for the skid buffer
sig_skidbuf_in_tdata <= sig_stbs2wdc_asserted &
sig_data_fifo_data_out(C_MMAP_DWIDTH-1 downto 0);
ENABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(2) = '1' generate
begin
------------------------------------------------------------
-- Instance: I_INDET_BTT_SKID_BUF
--
-- Description:
-- Instance for the Store and Forward isolation Skid Buffer
-- which is required to achieve Fmax timing. Note that this
-- skid buffer is 1 byte wider than the stream data width to
-- allow for the asserted strobes count to be passed through
-- it. The EOP marker is inserted in the extra strobe slot.
--
------------------------------------------------------------
I_INDET_BTT_SKID_BUF : entity axi_datamover_v5_1.axi_datamover_skid_buf
generic map (
C_WDATA_WIDTH => SKIDBUF2WDC_DWIDTH
)
port map (
-- System Ports
aclk => primary_aclk ,
arst => mmap_reset ,
-- Shutdown control (assert for 1 clk pulse)
skid_stop => LOGIC_LOW ,
-- Slave Side (Stream Data Input)
s_valid => sig_skidbuf_in_tvalid ,
s_ready => sig_skidbuf_in_tready ,
s_data => sig_skidbuf_in_tdata ,
s_strb => sig_skidbuf_in_tstrb ,
s_last => sig_skidbuf_in_tlast ,
-- Master Side (Stream Data Output
m_valid => sig_skidbuf_out_tvalid ,
m_ready => sig_skidbuf_out_tready ,
m_data => sig_skidbuf_out_tdata ,
m_strb => sig_skidbuf_out_tstrb ,
m_last => sig_skidbuf_out_tlast
);
end generate ENABLE_AXIS_SKID;
DISABLE_AXIS_SKID : if C_ENABLE_SKID_BUF(2) = '0' generate
begin
sig_skidbuf_out_tvalid <= sig_skidbuf_in_tvalid;
sig_skidbuf_in_tready <= sig_skidbuf_out_tready ;
sig_skidbuf_out_tdata <= sig_skidbuf_in_tdata ;
sig_skidbuf_out_tstrb <= sig_skidbuf_in_tstrb ;
sig_skidbuf_out_tlast <= sig_skidbuf_in_tlast ;
end generate DISABLE_AXIS_SKID;
end implementation;
|
-------------------------------------------------------------------------------
-- Entity: cpu
-- Author: Waj
-------------------------------------------------------------------------------
-- Description:
-- Top-level of CPU for simple von-Neumann MCU.
-------------------------------------------------------------------------------
-- Total # of FFs: 0
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mcu_pkg.all;
entity cpu is
port(rst : in std_logic;
clk : in std_logic;
-- CPU bus signals
bus_in : in t_bus2cpu;
bus_out : out t_cpu2bus
);
end cpu;
architecture rtl of cpu is
signal ctr2prc : t_ctr2prc;
signal prc2ctr : t_prc2ctr;
signal ctr2alu : t_ctr2alu;
signal alu2ctr : t_alu2ctr;
signal ctr2reg : t_ctr2reg;
signal reg2ctr : t_reg2ctr;
signal alu_res, alu_op1, alu_op2 : std_logic_vector(DW-1 downto 0);
begin
-----------------------------------------------------------------------------
-- Instantiation of top-level components (assumed to be in library work)
-----------------------------------------------------------------------------
-- Control Unit--------------------------------------------------------------
i_ctrl: entity work.cpu_ctrl
port map(
rst => rst,
clk => clk,
data_in => bus_in.data,
addr => bus_out.addr,
data_out => bus_out.data,
rd_enb => bus_out.rd_enb,
wr_enb => bus_out.wr_enb,
reg_in => reg2ctr,
reg_out => ctr2reg,
prc_in => prc2ctr,
prc_out => ctr2prc,
alu_in => alu2ctr,
alu_out => ctr2alu
);
-- Address Generation -------------------------------------------------------
i_prc: entity work.cpu_prc
port map(
rst => rst,
clk => clk,
ctr_in => ctr2prc,
ctr_out => prc2ctr
);
-- ALU ----------------------------------------------------------------------
i_alu: entity work.cpu_alu
port map(
rst => rst,
clk => clk,
alu_in => ctr2alu,
alu_out => alu2ctr,
oper1 => alu_op1,
oper2 => alu_op2,
result => alu_res
);
-- Register Block -----------------------------------------------------------
i_reg: entity work.cpu_reg
port map(
rst => rst,
clk => clk,
reg_in => ctr2reg,
reg_out => reg2ctr,
alu_res => alu_res,
alu_op1 => alu_op1,
alu_op2 => alu_op2
);
end rtl;
|
-------------------------------------------------------------------------------
-- Entity: cpu
-- Author: Waj
-------------------------------------------------------------------------------
-- Description:
-- Top-level of CPU for simple von-Neumann MCU.
-------------------------------------------------------------------------------
-- Total # of FFs: 0
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mcu_pkg.all;
entity cpu is
port(rst : in std_logic;
clk : in std_logic;
-- CPU bus signals
bus_in : in t_bus2cpu;
bus_out : out t_cpu2bus
);
end cpu;
architecture rtl of cpu is
signal ctr2prc : t_ctr2prc;
signal prc2ctr : t_prc2ctr;
signal ctr2alu : t_ctr2alu;
signal alu2ctr : t_alu2ctr;
signal ctr2reg : t_ctr2reg;
signal reg2ctr : t_reg2ctr;
signal alu_res, alu_op1, alu_op2 : std_logic_vector(DW-1 downto 0);
begin
-----------------------------------------------------------------------------
-- Instantiation of top-level components (assumed to be in library work)
-----------------------------------------------------------------------------
-- Control Unit--------------------------------------------------------------
i_ctrl: entity work.cpu_ctrl
port map(
rst => rst,
clk => clk,
data_in => bus_in.data,
addr => bus_out.addr,
data_out => bus_out.data,
rd_enb => bus_out.rd_enb,
wr_enb => bus_out.wr_enb,
reg_in => reg2ctr,
reg_out => ctr2reg,
prc_in => prc2ctr,
prc_out => ctr2prc,
alu_in => alu2ctr,
alu_out => ctr2alu
);
-- Address Generation -------------------------------------------------------
i_prc: entity work.cpu_prc
port map(
rst => rst,
clk => clk,
ctr_in => ctr2prc,
ctr_out => prc2ctr
);
-- ALU ----------------------------------------------------------------------
i_alu: entity work.cpu_alu
port map(
rst => rst,
clk => clk,
alu_in => ctr2alu,
alu_out => alu2ctr,
oper1 => alu_op1,
oper2 => alu_op2,
result => alu_res
);
-- Register Block -----------------------------------------------------------
i_reg: entity work.cpu_reg
port map(
rst => rst,
clk => clk,
reg_in => ctr2reg,
reg_out => reg2ctr,
alu_res => alu_res,
alu_op1 => alu_op1,
alu_op2 => alu_op2
);
end rtl;
|
-------------------------------------------------------------------------------
-- Entity: cpu
-- Author: Waj
-------------------------------------------------------------------------------
-- Description:
-- Top-level of CPU for simple von-Neumann MCU.
-------------------------------------------------------------------------------
-- Total # of FFs: 0
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mcu_pkg.all;
entity cpu is
port(rst : in std_logic;
clk : in std_logic;
-- CPU bus signals
bus_in : in t_bus2cpu;
bus_out : out t_cpu2bus
);
end cpu;
architecture rtl of cpu is
signal ctr2prc : t_ctr2prc;
signal prc2ctr : t_prc2ctr;
signal ctr2alu : t_ctr2alu;
signal alu2ctr : t_alu2ctr;
signal ctr2reg : t_ctr2reg;
signal reg2ctr : t_reg2ctr;
signal alu_res, alu_op1, alu_op2 : std_logic_vector(DW-1 downto 0);
begin
-----------------------------------------------------------------------------
-- Instantiation of top-level components (assumed to be in library work)
-----------------------------------------------------------------------------
-- Control Unit--------------------------------------------------------------
i_ctrl: entity work.cpu_ctrl
port map(
rst => rst,
clk => clk,
data_in => bus_in.data,
addr => bus_out.addr,
data_out => bus_out.data,
rd_enb => bus_out.rd_enb,
wr_enb => bus_out.wr_enb,
reg_in => reg2ctr,
reg_out => ctr2reg,
prc_in => prc2ctr,
prc_out => ctr2prc,
alu_in => alu2ctr,
alu_out => ctr2alu
);
-- Address Generation -------------------------------------------------------
i_prc: entity work.cpu_prc
port map(
rst => rst,
clk => clk,
ctr_in => ctr2prc,
ctr_out => prc2ctr
);
-- ALU ----------------------------------------------------------------------
i_alu: entity work.cpu_alu
port map(
rst => rst,
clk => clk,
alu_in => ctr2alu,
alu_out => alu2ctr,
oper1 => alu_op1,
oper2 => alu_op2,
result => alu_res
);
-- Register Block -----------------------------------------------------------
i_reg: entity work.cpu_reg
port map(
rst => rst,
clk => clk,
reg_in => ctr2reg,
reg_out => reg2ctr,
alu_res => alu_res,
alu_op1 => alu_op1,
alu_op2 => alu_op2
);
end rtl;
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity parallel is
generic
(
-- The number of input bits into the priority encoder
INPUT_BITS : integer := 128;
-- The number of output bits from the priority encoder.
-- For correct operation the number of output bits should be
-- any number greater than or equal to log2( INPUT_BITS ).
OUTPUT_BITS : integer := 7;
-- The number of bits to consider at a time.
-- This number should be less that INPUT_BITS and should divide
-- INPUT_BITS evenly.
CHUNK_BITS : integer := 32
);
port
(
clk : in std_logic;
rst : in std_logic;
input : in std_logic_vector(0 to INPUT_BITS - 1);
enable : in std_logic;
output : out std_logic_vector(0 to OUTPUT_BITS - 1)
);
end entity parallel;
-------------------------------------------------------------------------------
-- architecture
-------------------------------------------------------------------------------
architecture imp of parallel is
type find_state is ( narrow_search, prior_encode, prior_read );
-- Find the log base 2 of a natural number.
-- This function works for both synthesis and simulation
function log2( N : in natural ) return positive is
begin
if N <= 2 then
return 1;
else
return 1 + log2(N/2);
end if;
end;
-- Determine if any bit in the array is set.
-- If any of the bits are set then '1' is returned,
-- otherwise '0' is returned.
function bit_set( data : in std_logic_vector ) return std_logic is
begin
for i in data'range loop
if( data(i) = '1' ) then
return '1';
end if;
end loop;
return '0';
end function;
-- Return the array slice that is used for a given chunk index
function bit_range( data : in std_logic_vector; index : in integer ) return std_logic_vector is
begin
return data( (index * CHUNK_BITS) to ((index + 1) * CHUNK_BITS) - 1 );
end function;
-- Given the number of INPUT_BITS and the number of CHUNK_BITS we
-- can determine the number of chunks we will need to look at.
constant CHUNK_NUM : integer := INPUT_BITS / CHUNK_BITS;
-- Given the number of CHUNK_BITS we can determine the number of output
-- bits that the priority encoder is going to return.
constant CHUNK_OUT : integer := log2( CHUNK_BITS );
-- The number of EXTRA bits is the number of extra bits that we number add
-- to the output of the priority encoder to get the real output.
constant EXTRA_BITS : integer := OUTPUT_BITS - CHUNK_OUT;
-- These two signals control the state transitions in the FSM which
-- produces the output for this entity.
signal find_current : find_state;
signal find_next : find_state;
-- These signals are the input signals into the priority encoder.
signal pri_in : std_logic_vector(0 to CHUNK_BITS - 1);
signal pri_in_next : std_logic_vector(0 to CHUNK_BITS - 1);
-- This signal is the output from the priority encoder.
signal pri_out : std_logic_vector(0 to CHUNK_OUT - 1 );
-- This is the overall output from the design. It could be removed
-- by just assigning to output instead, however, that would mean that
-- output would need to be an inout signal instead of just an out.
signal best : std_logic_vector(0 to OUTPUT_BITS - 1);
signal best_next : std_logic_vector(0 to OUTPUT_BITS - 1);
-- These signals are used to narrow our search for the highest priority.
signal narrow : std_logic_vector(0 to CHUNK_NUM - 1);
signal narrow_next : std_logic_vector(0 to CHUNK_NUM - 1);
-- This forces the synthesizer to recognize the pri_out signal as the
-- output from a priority encoder. XST documentation says that the
-- synthesizer will recognize a priority encoder by setting this to
-- "yes" but will not actually generate a priority encoder unless this
-- is set to "force".
attribute PRIORITY_EXTRACT : string;
attribute PRIORITY_EXTRACT of pri_out: signal is "force";
begin
-- Output the best priority
output <= best;
-- This process is the priority encoder. It will determine the highest bits
-- set in the array pri_in and will return its index on the signal pri_out.
--
-- Notice that this process is NOT sensitive to the clock. This process
-- would not be recognized as a priority encoder if it were sensitive to
-- the clock.
priority_encoder : process ( pri_in ) is
begin
-- The default output. It no bits are set in the array (or if only
-- bit 0 is set) then this is the value returned.
pri_out <= (others => '0');
-- This statement loops over the entire array and finds the index of the
-- highest bit set. The index of the highest bit set is then converted
-- into a std_logic_vector and output onto pri_out.
--
-- Notice that the loop starts at the highest index and proceeds to the
-- lowest index. This is because in our system the lower the bit index
-- the higher the priority.
for i in pri_in'high downto 0 loop
if( pri_in(i) = '1' ) then
pri_out <= std_logic_vector( to_unsigned(i, pri_out'length) );
end if;
end loop;
end process priority_encoder;
-- This process controls the state transition from the current state
-- to the next state (and also handles reset). It also takes care of
-- transitioning FSM inputs to there next values.
find_best_next : process ( clk, rst, find_next ) is
begin
if( rising_edge(clk) ) then
if( rst = '1' ) then
find_current <= narrow_search;
best <= (others => '0');
pri_in <= (others => '0');
narrow <= (others => '0');
else
find_current <= find_next;
best <= best_next;
pri_in <= pri_in_next;
narrow <= narrow_next;
end if;
end if;
end process find_best_next;
-- This process implements the FSM logic. It is broken into three states.
-- NARROW_SEARCH:
-- This state narrows the priority search by taking each chunk of the input and
-- or'ing all of the chunks bits together. This provides an indication of which
-- chunk of the input contains the highest priority.
--
-- This allows use to use a smaller priority encoder as the expense of a 2 clock
-- cycle delay. However, the smaller priority encoder provides significant savings
-- in terms of slice utilization.
--
-- PRIOR_ENCODE:
-- This state determines which of the chunks contains the highest priority input and
-- then places that chunk's input bits onto the priority encoders input lines. If no
-- bits in the input array are set then the priority encoders input lines are NOT
-- changed.
--
-- PRIOR_READ:
-- This state reads the data off of the priority encoder and then adds the extra bits
-- needed to produce the full priority value. This is done because the priority encoder
-- returns the index of the highest bit of the selected chunk but we want the index
-- of the highest bit set in the input not in the chunk.
--
-- Luckily, the translation from chunk index to input index it straight forward because
-- chunks are just non-overlapping slices of the input array.
find_best_logic : process( find_current, input, best, pri_in, narrow, pri_out, enable ) is
begin
find_next <= find_current;
best_next <= best;
pri_in_next <= pri_in;
narrow_next <= narrow;
case find_current is
when narrow_search =>
if( enable = '1' ) then
for i in narrow'high downto 0 loop
narrow_next(i) <= bit_set( bit_range( input, i ) );
end loop;
find_next <= prior_encode;
end if;
when prior_encode =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
pri_in_next <= bit_range( input, i );
--exit;
end if;
end loop;
find_next <= prior_read;
when prior_read =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
best_next <= std_logic_vector(to_unsigned(i,EXTRA_BITS)) & pri_out;
end if;
end loop;
find_next <= narrow_search;
end case;
end process find_best_logic;
end architecture imp;
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity parallel is
generic
(
-- The number of input bits into the priority encoder
INPUT_BITS : integer := 128;
-- The number of output bits from the priority encoder.
-- For correct operation the number of output bits should be
-- any number greater than or equal to log2( INPUT_BITS ).
OUTPUT_BITS : integer := 7;
-- The number of bits to consider at a time.
-- This number should be less that INPUT_BITS and should divide
-- INPUT_BITS evenly.
CHUNK_BITS : integer := 32
);
port
(
clk : in std_logic;
rst : in std_logic;
input : in std_logic_vector(0 to INPUT_BITS - 1);
enable : in std_logic;
output : out std_logic_vector(0 to OUTPUT_BITS - 1)
);
end entity parallel;
-------------------------------------------------------------------------------
-- architecture
-------------------------------------------------------------------------------
architecture imp of parallel is
type find_state is ( narrow_search, prior_encode, prior_read );
-- Find the log base 2 of a natural number.
-- This function works for both synthesis and simulation
function log2( N : in natural ) return positive is
begin
if N <= 2 then
return 1;
else
return 1 + log2(N/2);
end if;
end;
-- Determine if any bit in the array is set.
-- If any of the bits are set then '1' is returned,
-- otherwise '0' is returned.
function bit_set( data : in std_logic_vector ) return std_logic is
begin
for i in data'range loop
if( data(i) = '1' ) then
return '1';
end if;
end loop;
return '0';
end function;
-- Return the array slice that is used for a given chunk index
function bit_range( data : in std_logic_vector; index : in integer ) return std_logic_vector is
begin
return data( (index * CHUNK_BITS) to ((index + 1) * CHUNK_BITS) - 1 );
end function;
-- Given the number of INPUT_BITS and the number of CHUNK_BITS we
-- can determine the number of chunks we will need to look at.
constant CHUNK_NUM : integer := INPUT_BITS / CHUNK_BITS;
-- Given the number of CHUNK_BITS we can determine the number of output
-- bits that the priority encoder is going to return.
constant CHUNK_OUT : integer := log2( CHUNK_BITS );
-- The number of EXTRA bits is the number of extra bits that we number add
-- to the output of the priority encoder to get the real output.
constant EXTRA_BITS : integer := OUTPUT_BITS - CHUNK_OUT;
-- These two signals control the state transitions in the FSM which
-- produces the output for this entity.
signal find_current : find_state;
signal find_next : find_state;
-- These signals are the input signals into the priority encoder.
signal pri_in : std_logic_vector(0 to CHUNK_BITS - 1);
signal pri_in_next : std_logic_vector(0 to CHUNK_BITS - 1);
-- This signal is the output from the priority encoder.
signal pri_out : std_logic_vector(0 to CHUNK_OUT - 1 );
-- This is the overall output from the design. It could be removed
-- by just assigning to output instead, however, that would mean that
-- output would need to be an inout signal instead of just an out.
signal best : std_logic_vector(0 to OUTPUT_BITS - 1);
signal best_next : std_logic_vector(0 to OUTPUT_BITS - 1);
-- These signals are used to narrow our search for the highest priority.
signal narrow : std_logic_vector(0 to CHUNK_NUM - 1);
signal narrow_next : std_logic_vector(0 to CHUNK_NUM - 1);
-- This forces the synthesizer to recognize the pri_out signal as the
-- output from a priority encoder. XST documentation says that the
-- synthesizer will recognize a priority encoder by setting this to
-- "yes" but will not actually generate a priority encoder unless this
-- is set to "force".
attribute PRIORITY_EXTRACT : string;
attribute PRIORITY_EXTRACT of pri_out: signal is "force";
begin
-- Output the best priority
output <= best;
-- This process is the priority encoder. It will determine the highest bits
-- set in the array pri_in and will return its index on the signal pri_out.
--
-- Notice that this process is NOT sensitive to the clock. This process
-- would not be recognized as a priority encoder if it were sensitive to
-- the clock.
priority_encoder : process ( pri_in ) is
begin
-- The default output. It no bits are set in the array (or if only
-- bit 0 is set) then this is the value returned.
pri_out <= (others => '0');
-- This statement loops over the entire array and finds the index of the
-- highest bit set. The index of the highest bit set is then converted
-- into a std_logic_vector and output onto pri_out.
--
-- Notice that the loop starts at the highest index and proceeds to the
-- lowest index. This is because in our system the lower the bit index
-- the higher the priority.
for i in pri_in'high downto 0 loop
if( pri_in(i) = '1' ) then
pri_out <= std_logic_vector( to_unsigned(i, pri_out'length) );
end if;
end loop;
end process priority_encoder;
-- This process controls the state transition from the current state
-- to the next state (and also handles reset). It also takes care of
-- transitioning FSM inputs to there next values.
find_best_next : process ( clk, rst, find_next ) is
begin
if( rising_edge(clk) ) then
if( rst = '1' ) then
find_current <= narrow_search;
best <= (others => '0');
pri_in <= (others => '0');
narrow <= (others => '0');
else
find_current <= find_next;
best <= best_next;
pri_in <= pri_in_next;
narrow <= narrow_next;
end if;
end if;
end process find_best_next;
-- This process implements the FSM logic. It is broken into three states.
-- NARROW_SEARCH:
-- This state narrows the priority search by taking each chunk of the input and
-- or'ing all of the chunks bits together. This provides an indication of which
-- chunk of the input contains the highest priority.
--
-- This allows use to use a smaller priority encoder as the expense of a 2 clock
-- cycle delay. However, the smaller priority encoder provides significant savings
-- in terms of slice utilization.
--
-- PRIOR_ENCODE:
-- This state determines which of the chunks contains the highest priority input and
-- then places that chunk's input bits onto the priority encoders input lines. If no
-- bits in the input array are set then the priority encoders input lines are NOT
-- changed.
--
-- PRIOR_READ:
-- This state reads the data off of the priority encoder and then adds the extra bits
-- needed to produce the full priority value. This is done because the priority encoder
-- returns the index of the highest bit of the selected chunk but we want the index
-- of the highest bit set in the input not in the chunk.
--
-- Luckily, the translation from chunk index to input index it straight forward because
-- chunks are just non-overlapping slices of the input array.
find_best_logic : process( find_current, input, best, pri_in, narrow, pri_out, enable ) is
begin
find_next <= find_current;
best_next <= best;
pri_in_next <= pri_in;
narrow_next <= narrow;
case find_current is
when narrow_search =>
if( enable = '1' ) then
for i in narrow'high downto 0 loop
narrow_next(i) <= bit_set( bit_range( input, i ) );
end loop;
find_next <= prior_encode;
end if;
when prior_encode =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
pri_in_next <= bit_range( input, i );
--exit;
end if;
end loop;
find_next <= prior_read;
when prior_read =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
best_next <= std_logic_vector(to_unsigned(i,EXTRA_BITS)) & pri_out;
end if;
end loop;
find_next <= narrow_search;
end case;
end process find_best_logic;
end architecture imp;
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity parallel is
generic
(
-- The number of input bits into the priority encoder
INPUT_BITS : integer := 128;
-- The number of output bits from the priority encoder.
-- For correct operation the number of output bits should be
-- any number greater than or equal to log2( INPUT_BITS ).
OUTPUT_BITS : integer := 7;
-- The number of bits to consider at a time.
-- This number should be less that INPUT_BITS and should divide
-- INPUT_BITS evenly.
CHUNK_BITS : integer := 32
);
port
(
clk : in std_logic;
rst : in std_logic;
input : in std_logic_vector(0 to INPUT_BITS - 1);
enable : in std_logic;
output : out std_logic_vector(0 to OUTPUT_BITS - 1)
);
end entity parallel;
-------------------------------------------------------------------------------
-- architecture
-------------------------------------------------------------------------------
architecture imp of parallel is
type find_state is ( narrow_search, prior_encode, prior_read );
-- Find the log base 2 of a natural number.
-- This function works for both synthesis and simulation
function log2( N : in natural ) return positive is
begin
if N <= 2 then
return 1;
else
return 1 + log2(N/2);
end if;
end;
-- Determine if any bit in the array is set.
-- If any of the bits are set then '1' is returned,
-- otherwise '0' is returned.
function bit_set( data : in std_logic_vector ) return std_logic is
begin
for i in data'range loop
if( data(i) = '1' ) then
return '1';
end if;
end loop;
return '0';
end function;
-- Return the array slice that is used for a given chunk index
function bit_range( data : in std_logic_vector; index : in integer ) return std_logic_vector is
begin
return data( (index * CHUNK_BITS) to ((index + 1) * CHUNK_BITS) - 1 );
end function;
-- Given the number of INPUT_BITS and the number of CHUNK_BITS we
-- can determine the number of chunks we will need to look at.
constant CHUNK_NUM : integer := INPUT_BITS / CHUNK_BITS;
-- Given the number of CHUNK_BITS we can determine the number of output
-- bits that the priority encoder is going to return.
constant CHUNK_OUT : integer := log2( CHUNK_BITS );
-- The number of EXTRA bits is the number of extra bits that we number add
-- to the output of the priority encoder to get the real output.
constant EXTRA_BITS : integer := OUTPUT_BITS - CHUNK_OUT;
-- These two signals control the state transitions in the FSM which
-- produces the output for this entity.
signal find_current : find_state;
signal find_next : find_state;
-- These signals are the input signals into the priority encoder.
signal pri_in : std_logic_vector(0 to CHUNK_BITS - 1);
signal pri_in_next : std_logic_vector(0 to CHUNK_BITS - 1);
-- This signal is the output from the priority encoder.
signal pri_out : std_logic_vector(0 to CHUNK_OUT - 1 );
-- This is the overall output from the design. It could be removed
-- by just assigning to output instead, however, that would mean that
-- output would need to be an inout signal instead of just an out.
signal best : std_logic_vector(0 to OUTPUT_BITS - 1);
signal best_next : std_logic_vector(0 to OUTPUT_BITS - 1);
-- These signals are used to narrow our search for the highest priority.
signal narrow : std_logic_vector(0 to CHUNK_NUM - 1);
signal narrow_next : std_logic_vector(0 to CHUNK_NUM - 1);
-- This forces the synthesizer to recognize the pri_out signal as the
-- output from a priority encoder. XST documentation says that the
-- synthesizer will recognize a priority encoder by setting this to
-- "yes" but will not actually generate a priority encoder unless this
-- is set to "force".
attribute PRIORITY_EXTRACT : string;
attribute PRIORITY_EXTRACT of pri_out: signal is "force";
begin
-- Output the best priority
output <= best;
-- This process is the priority encoder. It will determine the highest bits
-- set in the array pri_in and will return its index on the signal pri_out.
--
-- Notice that this process is NOT sensitive to the clock. This process
-- would not be recognized as a priority encoder if it were sensitive to
-- the clock.
priority_encoder : process ( pri_in ) is
begin
-- The default output. It no bits are set in the array (or if only
-- bit 0 is set) then this is the value returned.
pri_out <= (others => '0');
-- This statement loops over the entire array and finds the index of the
-- highest bit set. The index of the highest bit set is then converted
-- into a std_logic_vector and output onto pri_out.
--
-- Notice that the loop starts at the highest index and proceeds to the
-- lowest index. This is because in our system the lower the bit index
-- the higher the priority.
for i in pri_in'high downto 0 loop
if( pri_in(i) = '1' ) then
pri_out <= std_logic_vector( to_unsigned(i, pri_out'length) );
end if;
end loop;
end process priority_encoder;
-- This process controls the state transition from the current state
-- to the next state (and also handles reset). It also takes care of
-- transitioning FSM inputs to there next values.
find_best_next : process ( clk, rst, find_next ) is
begin
if( rising_edge(clk) ) then
if( rst = '1' ) then
find_current <= narrow_search;
best <= (others => '0');
pri_in <= (others => '0');
narrow <= (others => '0');
else
find_current <= find_next;
best <= best_next;
pri_in <= pri_in_next;
narrow <= narrow_next;
end if;
end if;
end process find_best_next;
-- This process implements the FSM logic. It is broken into three states.
-- NARROW_SEARCH:
-- This state narrows the priority search by taking each chunk of the input and
-- or'ing all of the chunks bits together. This provides an indication of which
-- chunk of the input contains the highest priority.
--
-- This allows use to use a smaller priority encoder as the expense of a 2 clock
-- cycle delay. However, the smaller priority encoder provides significant savings
-- in terms of slice utilization.
--
-- PRIOR_ENCODE:
-- This state determines which of the chunks contains the highest priority input and
-- then places that chunk's input bits onto the priority encoders input lines. If no
-- bits in the input array are set then the priority encoders input lines are NOT
-- changed.
--
-- PRIOR_READ:
-- This state reads the data off of the priority encoder and then adds the extra bits
-- needed to produce the full priority value. This is done because the priority encoder
-- returns the index of the highest bit of the selected chunk but we want the index
-- of the highest bit set in the input not in the chunk.
--
-- Luckily, the translation from chunk index to input index it straight forward because
-- chunks are just non-overlapping slices of the input array.
find_best_logic : process( find_current, input, best, pri_in, narrow, pri_out, enable ) is
begin
find_next <= find_current;
best_next <= best;
pri_in_next <= pri_in;
narrow_next <= narrow;
case find_current is
when narrow_search =>
if( enable = '1' ) then
for i in narrow'high downto 0 loop
narrow_next(i) <= bit_set( bit_range( input, i ) );
end loop;
find_next <= prior_encode;
end if;
when prior_encode =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
pri_in_next <= bit_range( input, i );
--exit;
end if;
end loop;
find_next <= prior_read;
when prior_read =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
best_next <= std_logic_vector(to_unsigned(i,EXTRA_BITS)) & pri_out;
end if;
end loop;
find_next <= narrow_search;
end case;
end process find_best_logic;
end architecture imp;
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity parallel is
generic
(
-- The number of input bits into the priority encoder
INPUT_BITS : integer := 128;
-- The number of output bits from the priority encoder.
-- For correct operation the number of output bits should be
-- any number greater than or equal to log2( INPUT_BITS ).
OUTPUT_BITS : integer := 7;
-- The number of bits to consider at a time.
-- This number should be less that INPUT_BITS and should divide
-- INPUT_BITS evenly.
CHUNK_BITS : integer := 32
);
port
(
clk : in std_logic;
rst : in std_logic;
input : in std_logic_vector(0 to INPUT_BITS - 1);
enable : in std_logic;
output : out std_logic_vector(0 to OUTPUT_BITS - 1)
);
end entity parallel;
-------------------------------------------------------------------------------
-- architecture
-------------------------------------------------------------------------------
architecture imp of parallel is
type find_state is ( narrow_search, prior_encode, prior_read );
-- Find the log base 2 of a natural number.
-- This function works for both synthesis and simulation
function log2( N : in natural ) return positive is
begin
if N <= 2 then
return 1;
else
return 1 + log2(N/2);
end if;
end;
-- Determine if any bit in the array is set.
-- If any of the bits are set then '1' is returned,
-- otherwise '0' is returned.
function bit_set( data : in std_logic_vector ) return std_logic is
begin
for i in data'range loop
if( data(i) = '1' ) then
return '1';
end if;
end loop;
return '0';
end function;
-- Return the array slice that is used for a given chunk index
function bit_range( data : in std_logic_vector; index : in integer ) return std_logic_vector is
begin
return data( (index * CHUNK_BITS) to ((index + 1) * CHUNK_BITS) - 1 );
end function;
-- Given the number of INPUT_BITS and the number of CHUNK_BITS we
-- can determine the number of chunks we will need to look at.
constant CHUNK_NUM : integer := INPUT_BITS / CHUNK_BITS;
-- Given the number of CHUNK_BITS we can determine the number of output
-- bits that the priority encoder is going to return.
constant CHUNK_OUT : integer := log2( CHUNK_BITS );
-- The number of EXTRA bits is the number of extra bits that we number add
-- to the output of the priority encoder to get the real output.
constant EXTRA_BITS : integer := OUTPUT_BITS - CHUNK_OUT;
-- These two signals control the state transitions in the FSM which
-- produces the output for this entity.
signal find_current : find_state;
signal find_next : find_state;
-- These signals are the input signals into the priority encoder.
signal pri_in : std_logic_vector(0 to CHUNK_BITS - 1);
signal pri_in_next : std_logic_vector(0 to CHUNK_BITS - 1);
-- This signal is the output from the priority encoder.
signal pri_out : std_logic_vector(0 to CHUNK_OUT - 1 );
-- This is the overall output from the design. It could be removed
-- by just assigning to output instead, however, that would mean that
-- output would need to be an inout signal instead of just an out.
signal best : std_logic_vector(0 to OUTPUT_BITS - 1);
signal best_next : std_logic_vector(0 to OUTPUT_BITS - 1);
-- These signals are used to narrow our search for the highest priority.
signal narrow : std_logic_vector(0 to CHUNK_NUM - 1);
signal narrow_next : std_logic_vector(0 to CHUNK_NUM - 1);
-- This forces the synthesizer to recognize the pri_out signal as the
-- output from a priority encoder. XST documentation says that the
-- synthesizer will recognize a priority encoder by setting this to
-- "yes" but will not actually generate a priority encoder unless this
-- is set to "force".
attribute PRIORITY_EXTRACT : string;
attribute PRIORITY_EXTRACT of pri_out: signal is "force";
begin
-- Output the best priority
output <= best;
-- This process is the priority encoder. It will determine the highest bits
-- set in the array pri_in and will return its index on the signal pri_out.
--
-- Notice that this process is NOT sensitive to the clock. This process
-- would not be recognized as a priority encoder if it were sensitive to
-- the clock.
priority_encoder : process ( pri_in ) is
begin
-- The default output. It no bits are set in the array (or if only
-- bit 0 is set) then this is the value returned.
pri_out <= (others => '0');
-- This statement loops over the entire array and finds the index of the
-- highest bit set. The index of the highest bit set is then converted
-- into a std_logic_vector and output onto pri_out.
--
-- Notice that the loop starts at the highest index and proceeds to the
-- lowest index. This is because in our system the lower the bit index
-- the higher the priority.
for i in pri_in'high downto 0 loop
if( pri_in(i) = '1' ) then
pri_out <= std_logic_vector( to_unsigned(i, pri_out'length) );
end if;
end loop;
end process priority_encoder;
-- This process controls the state transition from the current state
-- to the next state (and also handles reset). It also takes care of
-- transitioning FSM inputs to there next values.
find_best_next : process ( clk, rst, find_next ) is
begin
if( rising_edge(clk) ) then
if( rst = '1' ) then
find_current <= narrow_search;
best <= (others => '0');
pri_in <= (others => '0');
narrow <= (others => '0');
else
find_current <= find_next;
best <= best_next;
pri_in <= pri_in_next;
narrow <= narrow_next;
end if;
end if;
end process find_best_next;
-- This process implements the FSM logic. It is broken into three states.
-- NARROW_SEARCH:
-- This state narrows the priority search by taking each chunk of the input and
-- or'ing all of the chunks bits together. This provides an indication of which
-- chunk of the input contains the highest priority.
--
-- This allows use to use a smaller priority encoder as the expense of a 2 clock
-- cycle delay. However, the smaller priority encoder provides significant savings
-- in terms of slice utilization.
--
-- PRIOR_ENCODE:
-- This state determines which of the chunks contains the highest priority input and
-- then places that chunk's input bits onto the priority encoders input lines. If no
-- bits in the input array are set then the priority encoders input lines are NOT
-- changed.
--
-- PRIOR_READ:
-- This state reads the data off of the priority encoder and then adds the extra bits
-- needed to produce the full priority value. This is done because the priority encoder
-- returns the index of the highest bit of the selected chunk but we want the index
-- of the highest bit set in the input not in the chunk.
--
-- Luckily, the translation from chunk index to input index it straight forward because
-- chunks are just non-overlapping slices of the input array.
find_best_logic : process( find_current, input, best, pri_in, narrow, pri_out, enable ) is
begin
find_next <= find_current;
best_next <= best;
pri_in_next <= pri_in;
narrow_next <= narrow;
case find_current is
when narrow_search =>
if( enable = '1' ) then
for i in narrow'high downto 0 loop
narrow_next(i) <= bit_set( bit_range( input, i ) );
end loop;
find_next <= prior_encode;
end if;
when prior_encode =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
pri_in_next <= bit_range( input, i );
--exit;
end if;
end loop;
find_next <= prior_read;
when prior_read =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
best_next <= std_logic_vector(to_unsigned(i,EXTRA_BITS)) & pri_out;
end if;
end loop;
find_next <= narrow_search;
end case;
end process find_best_logic;
end architecture imp;
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity parallel is
generic
(
-- The number of input bits into the priority encoder
INPUT_BITS : integer := 128;
-- The number of output bits from the priority encoder.
-- For correct operation the number of output bits should be
-- any number greater than or equal to log2( INPUT_BITS ).
OUTPUT_BITS : integer := 7;
-- The number of bits to consider at a time.
-- This number should be less that INPUT_BITS and should divide
-- INPUT_BITS evenly.
CHUNK_BITS : integer := 32
);
port
(
clk : in std_logic;
rst : in std_logic;
input : in std_logic_vector(0 to INPUT_BITS - 1);
enable : in std_logic;
output : out std_logic_vector(0 to OUTPUT_BITS - 1)
);
end entity parallel;
-------------------------------------------------------------------------------
-- architecture
-------------------------------------------------------------------------------
architecture imp of parallel is
type find_state is ( narrow_search, prior_encode, prior_read );
-- Find the log base 2 of a natural number.
-- This function works for both synthesis and simulation
function log2( N : in natural ) return positive is
begin
if N <= 2 then
return 1;
else
return 1 + log2(N/2);
end if;
end;
-- Determine if any bit in the array is set.
-- If any of the bits are set then '1' is returned,
-- otherwise '0' is returned.
function bit_set( data : in std_logic_vector ) return std_logic is
begin
for i in data'range loop
if( data(i) = '1' ) then
return '1';
end if;
end loop;
return '0';
end function;
-- Return the array slice that is used for a given chunk index
function bit_range( data : in std_logic_vector; index : in integer ) return std_logic_vector is
begin
return data( (index * CHUNK_BITS) to ((index + 1) * CHUNK_BITS) - 1 );
end function;
-- Given the number of INPUT_BITS and the number of CHUNK_BITS we
-- can determine the number of chunks we will need to look at.
constant CHUNK_NUM : integer := INPUT_BITS / CHUNK_BITS;
-- Given the number of CHUNK_BITS we can determine the number of output
-- bits that the priority encoder is going to return.
constant CHUNK_OUT : integer := log2( CHUNK_BITS );
-- The number of EXTRA bits is the number of extra bits that we number add
-- to the output of the priority encoder to get the real output.
constant EXTRA_BITS : integer := OUTPUT_BITS - CHUNK_OUT;
-- These two signals control the state transitions in the FSM which
-- produces the output for this entity.
signal find_current : find_state;
signal find_next : find_state;
-- These signals are the input signals into the priority encoder.
signal pri_in : std_logic_vector(0 to CHUNK_BITS - 1);
signal pri_in_next : std_logic_vector(0 to CHUNK_BITS - 1);
-- This signal is the output from the priority encoder.
signal pri_out : std_logic_vector(0 to CHUNK_OUT - 1 );
-- This is the overall output from the design. It could be removed
-- by just assigning to output instead, however, that would mean that
-- output would need to be an inout signal instead of just an out.
signal best : std_logic_vector(0 to OUTPUT_BITS - 1);
signal best_next : std_logic_vector(0 to OUTPUT_BITS - 1);
-- These signals are used to narrow our search for the highest priority.
signal narrow : std_logic_vector(0 to CHUNK_NUM - 1);
signal narrow_next : std_logic_vector(0 to CHUNK_NUM - 1);
-- This forces the synthesizer to recognize the pri_out signal as the
-- output from a priority encoder. XST documentation says that the
-- synthesizer will recognize a priority encoder by setting this to
-- "yes" but will not actually generate a priority encoder unless this
-- is set to "force".
attribute PRIORITY_EXTRACT : string;
attribute PRIORITY_EXTRACT of pri_out: signal is "force";
begin
-- Output the best priority
output <= best;
-- This process is the priority encoder. It will determine the highest bits
-- set in the array pri_in and will return its index on the signal pri_out.
--
-- Notice that this process is NOT sensitive to the clock. This process
-- would not be recognized as a priority encoder if it were sensitive to
-- the clock.
priority_encoder : process ( pri_in ) is
begin
-- The default output. It no bits are set in the array (or if only
-- bit 0 is set) then this is the value returned.
pri_out <= (others => '0');
-- This statement loops over the entire array and finds the index of the
-- highest bit set. The index of the highest bit set is then converted
-- into a std_logic_vector and output onto pri_out.
--
-- Notice that the loop starts at the highest index and proceeds to the
-- lowest index. This is because in our system the lower the bit index
-- the higher the priority.
for i in pri_in'high downto 0 loop
if( pri_in(i) = '1' ) then
pri_out <= std_logic_vector( to_unsigned(i, pri_out'length) );
end if;
end loop;
end process priority_encoder;
-- This process controls the state transition from the current state
-- to the next state (and also handles reset). It also takes care of
-- transitioning FSM inputs to there next values.
find_best_next : process ( clk, rst, find_next ) is
begin
if( rising_edge(clk) ) then
if( rst = '1' ) then
find_current <= narrow_search;
best <= (others => '0');
pri_in <= (others => '0');
narrow <= (others => '0');
else
find_current <= find_next;
best <= best_next;
pri_in <= pri_in_next;
narrow <= narrow_next;
end if;
end if;
end process find_best_next;
-- This process implements the FSM logic. It is broken into three states.
-- NARROW_SEARCH:
-- This state narrows the priority search by taking each chunk of the input and
-- or'ing all of the chunks bits together. This provides an indication of which
-- chunk of the input contains the highest priority.
--
-- This allows use to use a smaller priority encoder as the expense of a 2 clock
-- cycle delay. However, the smaller priority encoder provides significant savings
-- in terms of slice utilization.
--
-- PRIOR_ENCODE:
-- This state determines which of the chunks contains the highest priority input and
-- then places that chunk's input bits onto the priority encoders input lines. If no
-- bits in the input array are set then the priority encoders input lines are NOT
-- changed.
--
-- PRIOR_READ:
-- This state reads the data off of the priority encoder and then adds the extra bits
-- needed to produce the full priority value. This is done because the priority encoder
-- returns the index of the highest bit of the selected chunk but we want the index
-- of the highest bit set in the input not in the chunk.
--
-- Luckily, the translation from chunk index to input index it straight forward because
-- chunks are just non-overlapping slices of the input array.
find_best_logic : process( find_current, input, best, pri_in, narrow, pri_out, enable ) is
begin
find_next <= find_current;
best_next <= best;
pri_in_next <= pri_in;
narrow_next <= narrow;
case find_current is
when narrow_search =>
if( enable = '1' ) then
for i in narrow'high downto 0 loop
narrow_next(i) <= bit_set( bit_range( input, i ) );
end loop;
find_next <= prior_encode;
end if;
when prior_encode =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
pri_in_next <= bit_range( input, i );
--exit;
end if;
end loop;
find_next <= prior_read;
when prior_read =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
best_next <= std_logic_vector(to_unsigned(i,EXTRA_BITS)) & pri_out;
end if;
end loop;
find_next <= narrow_search;
end case;
end process find_best_logic;
end architecture imp;
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity parallel is
generic
(
-- The number of input bits into the priority encoder
INPUT_BITS : integer := 128;
-- The number of output bits from the priority encoder.
-- For correct operation the number of output bits should be
-- any number greater than or equal to log2( INPUT_BITS ).
OUTPUT_BITS : integer := 7;
-- The number of bits to consider at a time.
-- This number should be less that INPUT_BITS and should divide
-- INPUT_BITS evenly.
CHUNK_BITS : integer := 32
);
port
(
clk : in std_logic;
rst : in std_logic;
input : in std_logic_vector(0 to INPUT_BITS - 1);
enable : in std_logic;
output : out std_logic_vector(0 to OUTPUT_BITS - 1)
);
end entity parallel;
-------------------------------------------------------------------------------
-- architecture
-------------------------------------------------------------------------------
architecture imp of parallel is
type find_state is ( narrow_search, prior_encode, prior_read );
-- Find the log base 2 of a natural number.
-- This function works for both synthesis and simulation
function log2( N : in natural ) return positive is
begin
if N <= 2 then
return 1;
else
return 1 + log2(N/2);
end if;
end;
-- Determine if any bit in the array is set.
-- If any of the bits are set then '1' is returned,
-- otherwise '0' is returned.
function bit_set( data : in std_logic_vector ) return std_logic is
begin
for i in data'range loop
if( data(i) = '1' ) then
return '1';
end if;
end loop;
return '0';
end function;
-- Return the array slice that is used for a given chunk index
function bit_range( data : in std_logic_vector; index : in integer ) return std_logic_vector is
begin
return data( (index * CHUNK_BITS) to ((index + 1) * CHUNK_BITS) - 1 );
end function;
-- Given the number of INPUT_BITS and the number of CHUNK_BITS we
-- can determine the number of chunks we will need to look at.
constant CHUNK_NUM : integer := INPUT_BITS / CHUNK_BITS;
-- Given the number of CHUNK_BITS we can determine the number of output
-- bits that the priority encoder is going to return.
constant CHUNK_OUT : integer := log2( CHUNK_BITS );
-- The number of EXTRA bits is the number of extra bits that we number add
-- to the output of the priority encoder to get the real output.
constant EXTRA_BITS : integer := OUTPUT_BITS - CHUNK_OUT;
-- These two signals control the state transitions in the FSM which
-- produces the output for this entity.
signal find_current : find_state;
signal find_next : find_state;
-- These signals are the input signals into the priority encoder.
signal pri_in : std_logic_vector(0 to CHUNK_BITS - 1);
signal pri_in_next : std_logic_vector(0 to CHUNK_BITS - 1);
-- This signal is the output from the priority encoder.
signal pri_out : std_logic_vector(0 to CHUNK_OUT - 1 );
-- This is the overall output from the design. It could be removed
-- by just assigning to output instead, however, that would mean that
-- output would need to be an inout signal instead of just an out.
signal best : std_logic_vector(0 to OUTPUT_BITS - 1);
signal best_next : std_logic_vector(0 to OUTPUT_BITS - 1);
-- These signals are used to narrow our search for the highest priority.
signal narrow : std_logic_vector(0 to CHUNK_NUM - 1);
signal narrow_next : std_logic_vector(0 to CHUNK_NUM - 1);
-- This forces the synthesizer to recognize the pri_out signal as the
-- output from a priority encoder. XST documentation says that the
-- synthesizer will recognize a priority encoder by setting this to
-- "yes" but will not actually generate a priority encoder unless this
-- is set to "force".
attribute PRIORITY_EXTRACT : string;
attribute PRIORITY_EXTRACT of pri_out: signal is "force";
begin
-- Output the best priority
output <= best;
-- This process is the priority encoder. It will determine the highest bits
-- set in the array pri_in and will return its index on the signal pri_out.
--
-- Notice that this process is NOT sensitive to the clock. This process
-- would not be recognized as a priority encoder if it were sensitive to
-- the clock.
priority_encoder : process ( pri_in ) is
begin
-- The default output. It no bits are set in the array (or if only
-- bit 0 is set) then this is the value returned.
pri_out <= (others => '0');
-- This statement loops over the entire array and finds the index of the
-- highest bit set. The index of the highest bit set is then converted
-- into a std_logic_vector and output onto pri_out.
--
-- Notice that the loop starts at the highest index and proceeds to the
-- lowest index. This is because in our system the lower the bit index
-- the higher the priority.
for i in pri_in'high downto 0 loop
if( pri_in(i) = '1' ) then
pri_out <= std_logic_vector( to_unsigned(i, pri_out'length) );
end if;
end loop;
end process priority_encoder;
-- This process controls the state transition from the current state
-- to the next state (and also handles reset). It also takes care of
-- transitioning FSM inputs to there next values.
find_best_next : process ( clk, rst, find_next ) is
begin
if( rising_edge(clk) ) then
if( rst = '1' ) then
find_current <= narrow_search;
best <= (others => '0');
pri_in <= (others => '0');
narrow <= (others => '0');
else
find_current <= find_next;
best <= best_next;
pri_in <= pri_in_next;
narrow <= narrow_next;
end if;
end if;
end process find_best_next;
-- This process implements the FSM logic. It is broken into three states.
-- NARROW_SEARCH:
-- This state narrows the priority search by taking each chunk of the input and
-- or'ing all of the chunks bits together. This provides an indication of which
-- chunk of the input contains the highest priority.
--
-- This allows use to use a smaller priority encoder as the expense of a 2 clock
-- cycle delay. However, the smaller priority encoder provides significant savings
-- in terms of slice utilization.
--
-- PRIOR_ENCODE:
-- This state determines which of the chunks contains the highest priority input and
-- then places that chunk's input bits onto the priority encoders input lines. If no
-- bits in the input array are set then the priority encoders input lines are NOT
-- changed.
--
-- PRIOR_READ:
-- This state reads the data off of the priority encoder and then adds the extra bits
-- needed to produce the full priority value. This is done because the priority encoder
-- returns the index of the highest bit of the selected chunk but we want the index
-- of the highest bit set in the input not in the chunk.
--
-- Luckily, the translation from chunk index to input index it straight forward because
-- chunks are just non-overlapping slices of the input array.
find_best_logic : process( find_current, input, best, pri_in, narrow, pri_out, enable ) is
begin
find_next <= find_current;
best_next <= best;
pri_in_next <= pri_in;
narrow_next <= narrow;
case find_current is
when narrow_search =>
if( enable = '1' ) then
for i in narrow'high downto 0 loop
narrow_next(i) <= bit_set( bit_range( input, i ) );
end loop;
find_next <= prior_encode;
end if;
when prior_encode =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
pri_in_next <= bit_range( input, i );
--exit;
end if;
end loop;
find_next <= prior_read;
when prior_read =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
best_next <= std_logic_vector(to_unsigned(i,EXTRA_BITS)) & pri_out;
end if;
end loop;
find_next <= narrow_search;
end case;
end process find_best_logic;
end architecture imp;
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity parallel is
generic
(
-- The number of input bits into the priority encoder
INPUT_BITS : integer := 128;
-- The number of output bits from the priority encoder.
-- For correct operation the number of output bits should be
-- any number greater than or equal to log2( INPUT_BITS ).
OUTPUT_BITS : integer := 7;
-- The number of bits to consider at a time.
-- This number should be less that INPUT_BITS and should divide
-- INPUT_BITS evenly.
CHUNK_BITS : integer := 32
);
port
(
clk : in std_logic;
rst : in std_logic;
input : in std_logic_vector(0 to INPUT_BITS - 1);
enable : in std_logic;
output : out std_logic_vector(0 to OUTPUT_BITS - 1)
);
end entity parallel;
-------------------------------------------------------------------------------
-- architecture
-------------------------------------------------------------------------------
architecture imp of parallel is
type find_state is ( narrow_search, prior_encode, prior_read );
-- Find the log base 2 of a natural number.
-- This function works for both synthesis and simulation
function log2( N : in natural ) return positive is
begin
if N <= 2 then
return 1;
else
return 1 + log2(N/2);
end if;
end;
-- Determine if any bit in the array is set.
-- If any of the bits are set then '1' is returned,
-- otherwise '0' is returned.
function bit_set( data : in std_logic_vector ) return std_logic is
begin
for i in data'range loop
if( data(i) = '1' ) then
return '1';
end if;
end loop;
return '0';
end function;
-- Return the array slice that is used for a given chunk index
function bit_range( data : in std_logic_vector; index : in integer ) return std_logic_vector is
begin
return data( (index * CHUNK_BITS) to ((index + 1) * CHUNK_BITS) - 1 );
end function;
-- Given the number of INPUT_BITS and the number of CHUNK_BITS we
-- can determine the number of chunks we will need to look at.
constant CHUNK_NUM : integer := INPUT_BITS / CHUNK_BITS;
-- Given the number of CHUNK_BITS we can determine the number of output
-- bits that the priority encoder is going to return.
constant CHUNK_OUT : integer := log2( CHUNK_BITS );
-- The number of EXTRA bits is the number of extra bits that we number add
-- to the output of the priority encoder to get the real output.
constant EXTRA_BITS : integer := OUTPUT_BITS - CHUNK_OUT;
-- These two signals control the state transitions in the FSM which
-- produces the output for this entity.
signal find_current : find_state;
signal find_next : find_state;
-- These signals are the input signals into the priority encoder.
signal pri_in : std_logic_vector(0 to CHUNK_BITS - 1);
signal pri_in_next : std_logic_vector(0 to CHUNK_BITS - 1);
-- This signal is the output from the priority encoder.
signal pri_out : std_logic_vector(0 to CHUNK_OUT - 1 );
-- This is the overall output from the design. It could be removed
-- by just assigning to output instead, however, that would mean that
-- output would need to be an inout signal instead of just an out.
signal best : std_logic_vector(0 to OUTPUT_BITS - 1);
signal best_next : std_logic_vector(0 to OUTPUT_BITS - 1);
-- These signals are used to narrow our search for the highest priority.
signal narrow : std_logic_vector(0 to CHUNK_NUM - 1);
signal narrow_next : std_logic_vector(0 to CHUNK_NUM - 1);
-- This forces the synthesizer to recognize the pri_out signal as the
-- output from a priority encoder. XST documentation says that the
-- synthesizer will recognize a priority encoder by setting this to
-- "yes" but will not actually generate a priority encoder unless this
-- is set to "force".
attribute PRIORITY_EXTRACT : string;
attribute PRIORITY_EXTRACT of pri_out: signal is "force";
begin
-- Output the best priority
output <= best;
-- This process is the priority encoder. It will determine the highest bits
-- set in the array pri_in and will return its index on the signal pri_out.
--
-- Notice that this process is NOT sensitive to the clock. This process
-- would not be recognized as a priority encoder if it were sensitive to
-- the clock.
priority_encoder : process ( pri_in ) is
begin
-- The default output. It no bits are set in the array (or if only
-- bit 0 is set) then this is the value returned.
pri_out <= (others => '0');
-- This statement loops over the entire array and finds the index of the
-- highest bit set. The index of the highest bit set is then converted
-- into a std_logic_vector and output onto pri_out.
--
-- Notice that the loop starts at the highest index and proceeds to the
-- lowest index. This is because in our system the lower the bit index
-- the higher the priority.
for i in pri_in'high downto 0 loop
if( pri_in(i) = '1' ) then
pri_out <= std_logic_vector( to_unsigned(i, pri_out'length) );
end if;
end loop;
end process priority_encoder;
-- This process controls the state transition from the current state
-- to the next state (and also handles reset). It also takes care of
-- transitioning FSM inputs to there next values.
find_best_next : process ( clk, rst, find_next ) is
begin
if( rising_edge(clk) ) then
if( rst = '1' ) then
find_current <= narrow_search;
best <= (others => '0');
pri_in <= (others => '0');
narrow <= (others => '0');
else
find_current <= find_next;
best <= best_next;
pri_in <= pri_in_next;
narrow <= narrow_next;
end if;
end if;
end process find_best_next;
-- This process implements the FSM logic. It is broken into three states.
-- NARROW_SEARCH:
-- This state narrows the priority search by taking each chunk of the input and
-- or'ing all of the chunks bits together. This provides an indication of which
-- chunk of the input contains the highest priority.
--
-- This allows use to use a smaller priority encoder as the expense of a 2 clock
-- cycle delay. However, the smaller priority encoder provides significant savings
-- in terms of slice utilization.
--
-- PRIOR_ENCODE:
-- This state determines which of the chunks contains the highest priority input and
-- then places that chunk's input bits onto the priority encoders input lines. If no
-- bits in the input array are set then the priority encoders input lines are NOT
-- changed.
--
-- PRIOR_READ:
-- This state reads the data off of the priority encoder and then adds the extra bits
-- needed to produce the full priority value. This is done because the priority encoder
-- returns the index of the highest bit of the selected chunk but we want the index
-- of the highest bit set in the input not in the chunk.
--
-- Luckily, the translation from chunk index to input index it straight forward because
-- chunks are just non-overlapping slices of the input array.
find_best_logic : process( find_current, input, best, pri_in, narrow, pri_out, enable ) is
begin
find_next <= find_current;
best_next <= best;
pri_in_next <= pri_in;
narrow_next <= narrow;
case find_current is
when narrow_search =>
if( enable = '1' ) then
for i in narrow'high downto 0 loop
narrow_next(i) <= bit_set( bit_range( input, i ) );
end loop;
find_next <= prior_encode;
end if;
when prior_encode =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
pri_in_next <= bit_range( input, i );
--exit;
end if;
end loop;
find_next <= prior_read;
when prior_read =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
best_next <= std_logic_vector(to_unsigned(i,EXTRA_BITS)) & pri_out;
end if;
end loop;
find_next <= narrow_search;
end case;
end process find_best_logic;
end architecture imp;
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity parallel is
generic
(
-- The number of input bits into the priority encoder
INPUT_BITS : integer := 128;
-- The number of output bits from the priority encoder.
-- For correct operation the number of output bits should be
-- any number greater than or equal to log2( INPUT_BITS ).
OUTPUT_BITS : integer := 7;
-- The number of bits to consider at a time.
-- This number should be less that INPUT_BITS and should divide
-- INPUT_BITS evenly.
CHUNK_BITS : integer := 32
);
port
(
clk : in std_logic;
rst : in std_logic;
input : in std_logic_vector(0 to INPUT_BITS - 1);
enable : in std_logic;
output : out std_logic_vector(0 to OUTPUT_BITS - 1)
);
end entity parallel;
-------------------------------------------------------------------------------
-- architecture
-------------------------------------------------------------------------------
architecture imp of parallel is
type find_state is ( narrow_search, prior_encode, prior_read );
-- Find the log base 2 of a natural number.
-- This function works for both synthesis and simulation
function log2( N : in natural ) return positive is
begin
if N <= 2 then
return 1;
else
return 1 + log2(N/2);
end if;
end;
-- Determine if any bit in the array is set.
-- If any of the bits are set then '1' is returned,
-- otherwise '0' is returned.
function bit_set( data : in std_logic_vector ) return std_logic is
begin
for i in data'range loop
if( data(i) = '1' ) then
return '1';
end if;
end loop;
return '0';
end function;
-- Return the array slice that is used for a given chunk index
function bit_range( data : in std_logic_vector; index : in integer ) return std_logic_vector is
begin
return data( (index * CHUNK_BITS) to ((index + 1) * CHUNK_BITS) - 1 );
end function;
-- Given the number of INPUT_BITS and the number of CHUNK_BITS we
-- can determine the number of chunks we will need to look at.
constant CHUNK_NUM : integer := INPUT_BITS / CHUNK_BITS;
-- Given the number of CHUNK_BITS we can determine the number of output
-- bits that the priority encoder is going to return.
constant CHUNK_OUT : integer := log2( CHUNK_BITS );
-- The number of EXTRA bits is the number of extra bits that we number add
-- to the output of the priority encoder to get the real output.
constant EXTRA_BITS : integer := OUTPUT_BITS - CHUNK_OUT;
-- These two signals control the state transitions in the FSM which
-- produces the output for this entity.
signal find_current : find_state;
signal find_next : find_state;
-- These signals are the input signals into the priority encoder.
signal pri_in : std_logic_vector(0 to CHUNK_BITS - 1);
signal pri_in_next : std_logic_vector(0 to CHUNK_BITS - 1);
-- This signal is the output from the priority encoder.
signal pri_out : std_logic_vector(0 to CHUNK_OUT - 1 );
-- This is the overall output from the design. It could be removed
-- by just assigning to output instead, however, that would mean that
-- output would need to be an inout signal instead of just an out.
signal best : std_logic_vector(0 to OUTPUT_BITS - 1);
signal best_next : std_logic_vector(0 to OUTPUT_BITS - 1);
-- These signals are used to narrow our search for the highest priority.
signal narrow : std_logic_vector(0 to CHUNK_NUM - 1);
signal narrow_next : std_logic_vector(0 to CHUNK_NUM - 1);
-- This forces the synthesizer to recognize the pri_out signal as the
-- output from a priority encoder. XST documentation says that the
-- synthesizer will recognize a priority encoder by setting this to
-- "yes" but will not actually generate a priority encoder unless this
-- is set to "force".
attribute PRIORITY_EXTRACT : string;
attribute PRIORITY_EXTRACT of pri_out: signal is "force";
begin
-- Output the best priority
output <= best;
-- This process is the priority encoder. It will determine the highest bits
-- set in the array pri_in and will return its index on the signal pri_out.
--
-- Notice that this process is NOT sensitive to the clock. This process
-- would not be recognized as a priority encoder if it were sensitive to
-- the clock.
priority_encoder : process ( pri_in ) is
begin
-- The default output. It no bits are set in the array (or if only
-- bit 0 is set) then this is the value returned.
pri_out <= (others => '0');
-- This statement loops over the entire array and finds the index of the
-- highest bit set. The index of the highest bit set is then converted
-- into a std_logic_vector and output onto pri_out.
--
-- Notice that the loop starts at the highest index and proceeds to the
-- lowest index. This is because in our system the lower the bit index
-- the higher the priority.
for i in pri_in'high downto 0 loop
if( pri_in(i) = '1' ) then
pri_out <= std_logic_vector( to_unsigned(i, pri_out'length) );
end if;
end loop;
end process priority_encoder;
-- This process controls the state transition from the current state
-- to the next state (and also handles reset). It also takes care of
-- transitioning FSM inputs to there next values.
find_best_next : process ( clk, rst, find_next ) is
begin
if( rising_edge(clk) ) then
if( rst = '1' ) then
find_current <= narrow_search;
best <= (others => '0');
pri_in <= (others => '0');
narrow <= (others => '0');
else
find_current <= find_next;
best <= best_next;
pri_in <= pri_in_next;
narrow <= narrow_next;
end if;
end if;
end process find_best_next;
-- This process implements the FSM logic. It is broken into three states.
-- NARROW_SEARCH:
-- This state narrows the priority search by taking each chunk of the input and
-- or'ing all of the chunks bits together. This provides an indication of which
-- chunk of the input contains the highest priority.
--
-- This allows use to use a smaller priority encoder as the expense of a 2 clock
-- cycle delay. However, the smaller priority encoder provides significant savings
-- in terms of slice utilization.
--
-- PRIOR_ENCODE:
-- This state determines which of the chunks contains the highest priority input and
-- then places that chunk's input bits onto the priority encoders input lines. If no
-- bits in the input array are set then the priority encoders input lines are NOT
-- changed.
--
-- PRIOR_READ:
-- This state reads the data off of the priority encoder and then adds the extra bits
-- needed to produce the full priority value. This is done because the priority encoder
-- returns the index of the highest bit of the selected chunk but we want the index
-- of the highest bit set in the input not in the chunk.
--
-- Luckily, the translation from chunk index to input index it straight forward because
-- chunks are just non-overlapping slices of the input array.
find_best_logic : process( find_current, input, best, pri_in, narrow, pri_out, enable ) is
begin
find_next <= find_current;
best_next <= best;
pri_in_next <= pri_in;
narrow_next <= narrow;
case find_current is
when narrow_search =>
if( enable = '1' ) then
for i in narrow'high downto 0 loop
narrow_next(i) <= bit_set( bit_range( input, i ) );
end loop;
find_next <= prior_encode;
end if;
when prior_encode =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
pri_in_next <= bit_range( input, i );
--exit;
end if;
end loop;
find_next <= prior_read;
when prior_read =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
best_next <= std_logic_vector(to_unsigned(i,EXTRA_BITS)) & pri_out;
end if;
end loop;
find_next <= narrow_search;
end case;
end process find_best_logic;
end architecture imp;
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity parallel is
generic
(
-- The number of input bits into the priority encoder
INPUT_BITS : integer := 128;
-- The number of output bits from the priority encoder.
-- For correct operation the number of output bits should be
-- any number greater than or equal to log2( INPUT_BITS ).
OUTPUT_BITS : integer := 7;
-- The number of bits to consider at a time.
-- This number should be less that INPUT_BITS and should divide
-- INPUT_BITS evenly.
CHUNK_BITS : integer := 32
);
port
(
clk : in std_logic;
rst : in std_logic;
input : in std_logic_vector(0 to INPUT_BITS - 1);
enable : in std_logic;
output : out std_logic_vector(0 to OUTPUT_BITS - 1)
);
end entity parallel;
-------------------------------------------------------------------------------
-- architecture
-------------------------------------------------------------------------------
architecture imp of parallel is
type find_state is ( narrow_search, prior_encode, prior_read );
-- Find the log base 2 of a natural number.
-- This function works for both synthesis and simulation
function log2( N : in natural ) return positive is
begin
if N <= 2 then
return 1;
else
return 1 + log2(N/2);
end if;
end;
-- Determine if any bit in the array is set.
-- If any of the bits are set then '1' is returned,
-- otherwise '0' is returned.
function bit_set( data : in std_logic_vector ) return std_logic is
begin
for i in data'range loop
if( data(i) = '1' ) then
return '1';
end if;
end loop;
return '0';
end function;
-- Return the array slice that is used for a given chunk index
function bit_range( data : in std_logic_vector; index : in integer ) return std_logic_vector is
begin
return data( (index * CHUNK_BITS) to ((index + 1) * CHUNK_BITS) - 1 );
end function;
-- Given the number of INPUT_BITS and the number of CHUNK_BITS we
-- can determine the number of chunks we will need to look at.
constant CHUNK_NUM : integer := INPUT_BITS / CHUNK_BITS;
-- Given the number of CHUNK_BITS we can determine the number of output
-- bits that the priority encoder is going to return.
constant CHUNK_OUT : integer := log2( CHUNK_BITS );
-- The number of EXTRA bits is the number of extra bits that we number add
-- to the output of the priority encoder to get the real output.
constant EXTRA_BITS : integer := OUTPUT_BITS - CHUNK_OUT;
-- These two signals control the state transitions in the FSM which
-- produces the output for this entity.
signal find_current : find_state;
signal find_next : find_state;
-- These signals are the input signals into the priority encoder.
signal pri_in : std_logic_vector(0 to CHUNK_BITS - 1);
signal pri_in_next : std_logic_vector(0 to CHUNK_BITS - 1);
-- This signal is the output from the priority encoder.
signal pri_out : std_logic_vector(0 to CHUNK_OUT - 1 );
-- This is the overall output from the design. It could be removed
-- by just assigning to output instead, however, that would mean that
-- output would need to be an inout signal instead of just an out.
signal best : std_logic_vector(0 to OUTPUT_BITS - 1);
signal best_next : std_logic_vector(0 to OUTPUT_BITS - 1);
-- These signals are used to narrow our search for the highest priority.
signal narrow : std_logic_vector(0 to CHUNK_NUM - 1);
signal narrow_next : std_logic_vector(0 to CHUNK_NUM - 1);
-- This forces the synthesizer to recognize the pri_out signal as the
-- output from a priority encoder. XST documentation says that the
-- synthesizer will recognize a priority encoder by setting this to
-- "yes" but will not actually generate a priority encoder unless this
-- is set to "force".
attribute PRIORITY_EXTRACT : string;
attribute PRIORITY_EXTRACT of pri_out: signal is "force";
begin
-- Output the best priority
output <= best;
-- This process is the priority encoder. It will determine the highest bits
-- set in the array pri_in and will return its index on the signal pri_out.
--
-- Notice that this process is NOT sensitive to the clock. This process
-- would not be recognized as a priority encoder if it were sensitive to
-- the clock.
priority_encoder : process ( pri_in ) is
begin
-- The default output. It no bits are set in the array (or if only
-- bit 0 is set) then this is the value returned.
pri_out <= (others => '0');
-- This statement loops over the entire array and finds the index of the
-- highest bit set. The index of the highest bit set is then converted
-- into a std_logic_vector and output onto pri_out.
--
-- Notice that the loop starts at the highest index and proceeds to the
-- lowest index. This is because in our system the lower the bit index
-- the higher the priority.
for i in pri_in'high downto 0 loop
if( pri_in(i) = '1' ) then
pri_out <= std_logic_vector( to_unsigned(i, pri_out'length) );
end if;
end loop;
end process priority_encoder;
-- This process controls the state transition from the current state
-- to the next state (and also handles reset). It also takes care of
-- transitioning FSM inputs to there next values.
find_best_next : process ( clk, rst, find_next ) is
begin
if( rising_edge(clk) ) then
if( rst = '1' ) then
find_current <= narrow_search;
best <= (others => '0');
pri_in <= (others => '0');
narrow <= (others => '0');
else
find_current <= find_next;
best <= best_next;
pri_in <= pri_in_next;
narrow <= narrow_next;
end if;
end if;
end process find_best_next;
-- This process implements the FSM logic. It is broken into three states.
-- NARROW_SEARCH:
-- This state narrows the priority search by taking each chunk of the input and
-- or'ing all of the chunks bits together. This provides an indication of which
-- chunk of the input contains the highest priority.
--
-- This allows use to use a smaller priority encoder as the expense of a 2 clock
-- cycle delay. However, the smaller priority encoder provides significant savings
-- in terms of slice utilization.
--
-- PRIOR_ENCODE:
-- This state determines which of the chunks contains the highest priority input and
-- then places that chunk's input bits onto the priority encoders input lines. If no
-- bits in the input array are set then the priority encoders input lines are NOT
-- changed.
--
-- PRIOR_READ:
-- This state reads the data off of the priority encoder and then adds the extra bits
-- needed to produce the full priority value. This is done because the priority encoder
-- returns the index of the highest bit of the selected chunk but we want the index
-- of the highest bit set in the input not in the chunk.
--
-- Luckily, the translation from chunk index to input index it straight forward because
-- chunks are just non-overlapping slices of the input array.
find_best_logic : process( find_current, input, best, pri_in, narrow, pri_out, enable ) is
begin
find_next <= find_current;
best_next <= best;
pri_in_next <= pri_in;
narrow_next <= narrow;
case find_current is
when narrow_search =>
if( enable = '1' ) then
for i in narrow'high downto 0 loop
narrow_next(i) <= bit_set( bit_range( input, i ) );
end loop;
find_next <= prior_encode;
end if;
when prior_encode =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
pri_in_next <= bit_range( input, i );
--exit;
end if;
end loop;
find_next <= prior_read;
when prior_read =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
best_next <= std_logic_vector(to_unsigned(i,EXTRA_BITS)) & pri_out;
end if;
end loop;
find_next <= narrow_search;
end case;
end process find_best_logic;
end architecture imp;
|
-------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity parallel is
generic
(
-- The number of input bits into the priority encoder
INPUT_BITS : integer := 128;
-- The number of output bits from the priority encoder.
-- For correct operation the number of output bits should be
-- any number greater than or equal to log2( INPUT_BITS ).
OUTPUT_BITS : integer := 7;
-- The number of bits to consider at a time.
-- This number should be less that INPUT_BITS and should divide
-- INPUT_BITS evenly.
CHUNK_BITS : integer := 32
);
port
(
clk : in std_logic;
rst : in std_logic;
input : in std_logic_vector(0 to INPUT_BITS - 1);
enable : in std_logic;
output : out std_logic_vector(0 to OUTPUT_BITS - 1)
);
end entity parallel;
-------------------------------------------------------------------------------
-- architecture
-------------------------------------------------------------------------------
architecture imp of parallel is
type find_state is ( narrow_search, prior_encode, prior_read );
-- Find the log base 2 of a natural number.
-- This function works for both synthesis and simulation
function log2( N : in natural ) return positive is
begin
if N <= 2 then
return 1;
else
return 1 + log2(N/2);
end if;
end;
-- Determine if any bit in the array is set.
-- If any of the bits are set then '1' is returned,
-- otherwise '0' is returned.
function bit_set( data : in std_logic_vector ) return std_logic is
begin
for i in data'range loop
if( data(i) = '1' ) then
return '1';
end if;
end loop;
return '0';
end function;
-- Return the array slice that is used for a given chunk index
function bit_range( data : in std_logic_vector; index : in integer ) return std_logic_vector is
begin
return data( (index * CHUNK_BITS) to ((index + 1) * CHUNK_BITS) - 1 );
end function;
-- Given the number of INPUT_BITS and the number of CHUNK_BITS we
-- can determine the number of chunks we will need to look at.
constant CHUNK_NUM : integer := INPUT_BITS / CHUNK_BITS;
-- Given the number of CHUNK_BITS we can determine the number of output
-- bits that the priority encoder is going to return.
constant CHUNK_OUT : integer := log2( CHUNK_BITS );
-- The number of EXTRA bits is the number of extra bits that we number add
-- to the output of the priority encoder to get the real output.
constant EXTRA_BITS : integer := OUTPUT_BITS - CHUNK_OUT;
-- These two signals control the state transitions in the FSM which
-- produces the output for this entity.
signal find_current : find_state;
signal find_next : find_state;
-- These signals are the input signals into the priority encoder.
signal pri_in : std_logic_vector(0 to CHUNK_BITS - 1);
signal pri_in_next : std_logic_vector(0 to CHUNK_BITS - 1);
-- This signal is the output from the priority encoder.
signal pri_out : std_logic_vector(0 to CHUNK_OUT - 1 );
-- This is the overall output from the design. It could be removed
-- by just assigning to output instead, however, that would mean that
-- output would need to be an inout signal instead of just an out.
signal best : std_logic_vector(0 to OUTPUT_BITS - 1);
signal best_next : std_logic_vector(0 to OUTPUT_BITS - 1);
-- These signals are used to narrow our search for the highest priority.
signal narrow : std_logic_vector(0 to CHUNK_NUM - 1);
signal narrow_next : std_logic_vector(0 to CHUNK_NUM - 1);
-- This forces the synthesizer to recognize the pri_out signal as the
-- output from a priority encoder. XST documentation says that the
-- synthesizer will recognize a priority encoder by setting this to
-- "yes" but will not actually generate a priority encoder unless this
-- is set to "force".
attribute PRIORITY_EXTRACT : string;
attribute PRIORITY_EXTRACT of pri_out: signal is "force";
begin
-- Output the best priority
output <= best;
-- This process is the priority encoder. It will determine the highest bits
-- set in the array pri_in and will return its index on the signal pri_out.
--
-- Notice that this process is NOT sensitive to the clock. This process
-- would not be recognized as a priority encoder if it were sensitive to
-- the clock.
priority_encoder : process ( pri_in ) is
begin
-- The default output. It no bits are set in the array (or if only
-- bit 0 is set) then this is the value returned.
pri_out <= (others => '0');
-- This statement loops over the entire array and finds the index of the
-- highest bit set. The index of the highest bit set is then converted
-- into a std_logic_vector and output onto pri_out.
--
-- Notice that the loop starts at the highest index and proceeds to the
-- lowest index. This is because in our system the lower the bit index
-- the higher the priority.
for i in pri_in'high downto 0 loop
if( pri_in(i) = '1' ) then
pri_out <= std_logic_vector( to_unsigned(i, pri_out'length) );
end if;
end loop;
end process priority_encoder;
-- This process controls the state transition from the current state
-- to the next state (and also handles reset). It also takes care of
-- transitioning FSM inputs to there next values.
find_best_next : process ( clk, rst, find_next ) is
begin
if( rising_edge(clk) ) then
if( rst = '1' ) then
find_current <= narrow_search;
best <= (others => '0');
pri_in <= (others => '0');
narrow <= (others => '0');
else
find_current <= find_next;
best <= best_next;
pri_in <= pri_in_next;
narrow <= narrow_next;
end if;
end if;
end process find_best_next;
-- This process implements the FSM logic. It is broken into three states.
-- NARROW_SEARCH:
-- This state narrows the priority search by taking each chunk of the input and
-- or'ing all of the chunks bits together. This provides an indication of which
-- chunk of the input contains the highest priority.
--
-- This allows use to use a smaller priority encoder as the expense of a 2 clock
-- cycle delay. However, the smaller priority encoder provides significant savings
-- in terms of slice utilization.
--
-- PRIOR_ENCODE:
-- This state determines which of the chunks contains the highest priority input and
-- then places that chunk's input bits onto the priority encoders input lines. If no
-- bits in the input array are set then the priority encoders input lines are NOT
-- changed.
--
-- PRIOR_READ:
-- This state reads the data off of the priority encoder and then adds the extra bits
-- needed to produce the full priority value. This is done because the priority encoder
-- returns the index of the highest bit of the selected chunk but we want the index
-- of the highest bit set in the input not in the chunk.
--
-- Luckily, the translation from chunk index to input index it straight forward because
-- chunks are just non-overlapping slices of the input array.
find_best_logic : process( find_current, input, best, pri_in, narrow, pri_out, enable ) is
begin
find_next <= find_current;
best_next <= best;
pri_in_next <= pri_in;
narrow_next <= narrow;
case find_current is
when narrow_search =>
if( enable = '1' ) then
for i in narrow'high downto 0 loop
narrow_next(i) <= bit_set( bit_range( input, i ) );
end loop;
find_next <= prior_encode;
end if;
when prior_encode =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
pri_in_next <= bit_range( input, i );
--exit;
end if;
end loop;
find_next <= prior_read;
when prior_read =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
best_next <= std_logic_vector(to_unsigned(i,EXTRA_BITS)) & pri_out;
end if;
end loop;
find_next <= narrow_search;
end case;
end process find_best_logic;
end architecture imp;
|
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017
-- Date : Wed Sep 20 21:07:51 2017
-- Host : EffulgentTome running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ zqynq_lab_1_design_axi_gpio_1_0_stub.vhdl
-- Design : zqynq_lab_1_design_axi_gpio_1_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
s_axi_aclk : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
gpio_io_i : in STD_LOGIC_VECTOR ( 4 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "s_axi_aclk,s_axi_aresetn,s_axi_awaddr[8:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid,s_axi_wready,s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_araddr[8:0],s_axi_arvalid,s_axi_arready,s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid,s_axi_rready,gpio_io_i[4:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "axi_gpio,Vivado 2017.2";
begin
end;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.vga_lib.all;
entity vga_sync_gen_tb is
end vga_sync_gen_tb;
architecture bhv of vga_sync_gen_tb is
signal clk50Mhz : std_logic := '0';
signal clk25Mhz : std_logic;
signal rst : std_logic;
signal Hcount,Vcount : std_logic_vector(COUNT_WIDTH-1 downto 0);
signal Horiz_Sync,Vert_Sync,Video_on : std_logic;
signal done : std_logic := '0';
constant A_time : time := 32 us; --period of horiz synch timer
constant B_time : time := 4 us; --pulse time of horiz synch
constant C_time : time := 2 us; --time between horiz sync false (rising edge) and end of timer(video on going true)
constant D_time : time := 25600 ns; --Video on time (rgb data)
constant E_time : time := 1 us; --Video on going false to horiz sync pulse begin(falling edge)
constant O_time : time := 166000 us; --Periode of vert sync timer
constant P_time : time := 64 us; --pulse time of vert synch (same as 2 horizontal rows)
constant Q_time : time := 10200 us; --time after end of v_sync pulse to end of timer
constant R_time : time := 152500 us; --time for all horizontal rows to complete
constant S_time : time := 3500 us; --time from last horizontal row completing to V_sync going true (low)
begin
U_CLKDIV2: entity work.clk_div_2
port map
(
rst => rst,
clk_in => clk50Mhz,
clk_out => clk25Mhz
);
U_VGA_SYNC_GEN: entity work. vga_sync_gen
port map
(
clk => clk25Mhz,
rst => rst,
Hcount => Hcount,
Vcount => Vcount,
Horiz_Sync => Horiz_Sync,
Vert_Sync => Vert_Sync,
Video_on => Video_on
);
clk50MHz <= not clk50MHz and not done after 10 ns;
process
variable before_A,after_A,before_B,after_B,before_C,after_C,before_D,after_D,before_E,after_E : time;
variable before_O,after_O,before_P,after_P,before_Q,after_Q,before_R,after_R,before_S,after_S : time;
begin
rst <= '1';
wait for 50 ns;
rst <= '0';
before_O := now; --start measuring the period of one vertical refresh cycle
before_R := now; --start of the horizontal refresh cycles
for i in 1 to 480 loop --one horizontal row is one iteration, and there are 480 rows
before_A := now; --start of period of Horizontal refresh (640 pixels)
before_D := now; --start of Video_on
wait until Video_on = '0' for 2*D_time; --wait for twice as long as I should
after_D := now;
assert(Video_on = '0')
report "Video_on never turns off correctly at " & time'image(now) severity error;
assert((after_D - before_D) <= D_time)
report "D time took too long " & time'image(after_D - before_D) & " > " & time'image(D_time) severity warning;
before_E := now;
wait until Horiz_Sync = '0' for 2*E_time; --time to wait before horiz sync goes true after we are done with the row
after_E := now;
assert(Horiz_Sync = '0')
report "Horiz_Sync never goes true correctly at " & time'image(now) severity error;
assert((after_E - before_E) <= E_time)
report "E time took too long " & time'image(after_D - before_D) & " > " & time'image(E_time) severity warning;
before_B := now;
wait until Horiz_Sync = '1' for 2*B_time;
after_B := now;
assert(Horiz_Sync = '1')
report "Horiz_Sync never goes false correctly at " & time'image(now) severity error;
assert((after_B - before_B) <= B_time)
report "B time took too long " & time'image(after_B - before_B) & " > " & time'image(B_time) severity warning;
before_C := now;
wait until unsigned(Hcount) = 0 for 2*C_time;
after_C := now;
if(i /= 480) then
assert(Video_on = '1')
report "Video_on never goes true correctly at " & time'image(now) severity error;
end if;
assert((after_C - before_C) <= C_time)
report "C time took too long " & time'image(after_C - before_C) & " > " & time'image(C_time) severity warning;
after_A := now;
assert((after_A - before_A) <= A_time)
report "A time took too long " & time'image(after_A - before_A) & " > " & time'image(A_time) severity warning;
end loop;
after_R := now;
assert((after_R - before_R) <= R_time)
report "R time took too long " & time'image(after_R - before_R) & " > " & time'image(R_time) severity warning;
before_S := now;
wait until Vert_Sync = '0' for 2*S_time;
after_S := now;
assert(Vert_Sync = '0')
report "Vert_sync never goes true correctly at " & time'image(now) severity error;
assert((after_S - before_S) <= S_time)
report "S time took too long " & time'image(after_S - before_S) & " > " & time'image(S_time) severity warning;
before_P := now;
wait until Vert_Sync = '1' for 2*P_time;
after_P := now;
assert(Vert_Sync = '1')
report "Vert_Sync never goes false correctly at " & time'image(now) severity error;
assert((after_P - before_P) <= P_time)
report "P time took too long " & time'image(after_P - before_P) & " > " & time'image(P_time) severity warning;
before_Q := now;
wait until Video_on = '1' for 2*Q_time;
after_Q := now;
assert(Video_on = '1')
report "Video_on never goes true correctly at " & time'image(now) severity error;
assert((after_Q - before_Q) <= Q_time)
report "Q time took too long " & time'image(after_Q - before_Q) & " > " & time'image(Q_time) severity warning;
after_O := now;
assert((after_O - before_O) <= O_time)
report "O time took too long " & time'image(after_O - before_O) & " > " & time'image(O_time) severity warning;
done <= '1';
report "DONE!!!";
wait;
end process;
end bhv;
|
----------------------------------------------------------------------------------
-- The MIT License (MIT)
--
-- Copyright (c) 2014 Brian K. Nemetz
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in all
-- copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
-- SOFTWARE.
----------------------------------------------------------------------------------
--
-- Package File Template
--
-- Purpose: This package defines supplemental types, subtypes,
-- constants, and functions
--
-- To use any of the example code shown below, uncomment the lines and modify as necessary
--
library ieee; use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.ps2_keyboard_pack.all;
use work.rom_pack.all;
package classic_pack is
constant WSIZE : natural := 14; -- Arithmetic register size
constant SSIZE : natural := 12; -- Status word size
subtype bcdDigitType is unsigned(3 downto 0);
type arthRegType is array (natural range 0 to WSIZE-1) of bcdDigitType;
type ramType is array (natural range <>) of arthRegType; -- for models with RAM
constant BCD_DIGIT_ZERO : bcdDigitType := (others => '0');
constant REG_ZEROS : arthRegType := (others => BCD_DIGIT_ZERO);
function vecLen(n : natural) return natural;
--
-- Declare functions and procedure
--
function IIF (signal test : boolean; signal a : std_logic; signal b : std_logic) return std_logic;
function IIF (constant test : boolean; constant a : std_logic_vector; constant b : std_logic_vector) return std_logic_vector;
function IIF (constant test : boolean; constant a : keyLutType; constant b : keyLutType) return keyLutType;
function IIF (constant test : boolean; constant a : RomType; constant b : RomType) return RomType;
end classic_pack;
package body classic_pack is
--
-- Compute the length of a bit vector that can count up to 'n'
-- If you need a counter to count from 0 to 203, you can pass
-- 203 to this function and it will return 8 (i.e. an 8 bit
-- counter is required). 255 will return 8, also and 256 will
-- return 9.
--
function vecLen(n : natural) return natural is
variable t : unsigned(31 downto 0) := to_unsigned(n,32);
begin
for i in t'range loop
if t(i) = '1' then
return i+1;
end if;
end loop;
return 0;
end function vecLen;
function IIF (signal test : boolean; signal a : std_logic; signal b : std_logic) return std_logic is
begin
if test then return a; else return b; end if;
end function IIF;
function IIF (constant test : boolean; constant a : std_logic_vector; constant b : std_logic_vector) return std_logic_vector is
begin
if test then return a; else return b; end if;
end function IIF;
function IIF (constant test : boolean; constant a : keyLutType; constant b : keyLutType) return keyLutType is
begin
if test then return a; else return b; end if;
end function IIF;
function IIF (constant test : boolean; constant a : RomType; constant b : RomType) return RomType is
begin
if test then return a; else return b; end if;
end function IIF;
end classic_pack;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
KIsVxBdnVm5URFvhm9k2Dt0n1pEYIjW7hhLird6RDXwJOPvpewNJM4nC1kgjY1apTXlxcWplDuWl
vq4O7YCMCg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ViD8HXln8WHK8Y6Vc6tYKacN52DRTnxBS+7xXVFS0qMIv45+Leo1RpOaTqstz1aAxMgOgjjFtfqJ
c1bPyV9DpeLU9EMFMa2TR8XhQjuz71gB1YhSSEWLwKuBcdtXSGLNa/HJ/j3N5fIOgPt0IXtELcNO
3QteWbDXKO8b0UwjGsQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fU4zSViJlIP6bESy/ssnS/kvurduzlskTbISzhHRsjQwou501hyxqNl3oPlNq4DS1Z54mpSeLGIf
IcrTB4ZlalksveiUSWUExL3iopJDWspjjEb6CeOSUvKlbnfAyK/qxJTnsQA3UrELvrlnqewWAbRu
02/FItRfnpHRHFff9N6IFP1Z0zJ5vfo2YteUJBAsvNt+DGdhvc8LHxRF0WBm+Srx21iKHsFeiX1Z
i3WbDfkui4CyZtOysILijpn/QjgcVBuKZHItYsA/kQDLIF3poYi+6DUR8l6YejhsoMOJ6es61sy1
2rZ7jlDZlf6wVbYdbKbhlw//voYMJ+tyg5XZ6g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
vyjszmSOvOQj1+EmNxmUJ/mMg9sbyv1fO37iYKpsEr4LjGP3iBg3cnR2AZoL5Cpl/DNuq3OrJVI9
DkPR/yBHz8k+ss2+0CFpPapSo2bc7SshwnnTwREsKQvZLP/PgVKjL6oCcKixERqv+nKC/Vf6RCRs
L5mNN1GNqI1sMC+7g70=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CIEGLlkPnCZ3U6SAXu3PjGkZBFjyqwaoVeyyScW8pNEYY04QfcvFzN6Ro7whdiGSUiuT8hMazsiL
IKP0AWclq5eRAmd7my43gwGVUJxe9FaOE5D+hb1tvFacPbNRT+fqVjiv6xd0c66YlMXvejK8Eap1
/ixKhRrBaozY+tkPxc30rGL/YN6mqRGEfFb1XAAO/TOeMA3j9741OvbReJZip5HrdIRtbPBpNFfk
5zDpvZcKJ0tp2Q5AyjFXyaCyztc8dzcDoEwwWlsgEVqDxott2kzuFtuP07IRzurXUQNawZMlmUot
8caqF3Ifos0V/7MGzWeQGprPw9l4aLBbhTSSYw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22064)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
KIsVxBdnVm5URFvhm9k2Dt0n1pEYIjW7hhLird6RDXwJOPvpewNJM4nC1kgjY1apTXlxcWplDuWl
vq4O7YCMCg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ViD8HXln8WHK8Y6Vc6tYKacN52DRTnxBS+7xXVFS0qMIv45+Leo1RpOaTqstz1aAxMgOgjjFtfqJ
c1bPyV9DpeLU9EMFMa2TR8XhQjuz71gB1YhSSEWLwKuBcdtXSGLNa/HJ/j3N5fIOgPt0IXtELcNO
3QteWbDXKO8b0UwjGsQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fU4zSViJlIP6bESy/ssnS/kvurduzlskTbISzhHRsjQwou501hyxqNl3oPlNq4DS1Z54mpSeLGIf
IcrTB4ZlalksveiUSWUExL3iopJDWspjjEb6CeOSUvKlbnfAyK/qxJTnsQA3UrELvrlnqewWAbRu
02/FItRfnpHRHFff9N6IFP1Z0zJ5vfo2YteUJBAsvNt+DGdhvc8LHxRF0WBm+Srx21iKHsFeiX1Z
i3WbDfkui4CyZtOysILijpn/QjgcVBuKZHItYsA/kQDLIF3poYi+6DUR8l6YejhsoMOJ6es61sy1
2rZ7jlDZlf6wVbYdbKbhlw//voYMJ+tyg5XZ6g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
vyjszmSOvOQj1+EmNxmUJ/mMg9sbyv1fO37iYKpsEr4LjGP3iBg3cnR2AZoL5Cpl/DNuq3OrJVI9
DkPR/yBHz8k+ss2+0CFpPapSo2bc7SshwnnTwREsKQvZLP/PgVKjL6oCcKixERqv+nKC/Vf6RCRs
L5mNN1GNqI1sMC+7g70=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CIEGLlkPnCZ3U6SAXu3PjGkZBFjyqwaoVeyyScW8pNEYY04QfcvFzN6Ro7whdiGSUiuT8hMazsiL
IKP0AWclq5eRAmd7my43gwGVUJxe9FaOE5D+hb1tvFacPbNRT+fqVjiv6xd0c66YlMXvejK8Eap1
/ixKhRrBaozY+tkPxc30rGL/YN6mqRGEfFb1XAAO/TOeMA3j9741OvbReJZip5HrdIRtbPBpNFfk
5zDpvZcKJ0tp2Q5AyjFXyaCyztc8dzcDoEwwWlsgEVqDxott2kzuFtuP07IRzurXUQNawZMlmUot
8caqF3Ifos0V/7MGzWeQGprPw9l4aLBbhTSSYw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22064)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
KIsVxBdnVm5URFvhm9k2Dt0n1pEYIjW7hhLird6RDXwJOPvpewNJM4nC1kgjY1apTXlxcWplDuWl
vq4O7YCMCg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ViD8HXln8WHK8Y6Vc6tYKacN52DRTnxBS+7xXVFS0qMIv45+Leo1RpOaTqstz1aAxMgOgjjFtfqJ
c1bPyV9DpeLU9EMFMa2TR8XhQjuz71gB1YhSSEWLwKuBcdtXSGLNa/HJ/j3N5fIOgPt0IXtELcNO
3QteWbDXKO8b0UwjGsQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fU4zSViJlIP6bESy/ssnS/kvurduzlskTbISzhHRsjQwou501hyxqNl3oPlNq4DS1Z54mpSeLGIf
IcrTB4ZlalksveiUSWUExL3iopJDWspjjEb6CeOSUvKlbnfAyK/qxJTnsQA3UrELvrlnqewWAbRu
02/FItRfnpHRHFff9N6IFP1Z0zJ5vfo2YteUJBAsvNt+DGdhvc8LHxRF0WBm+Srx21iKHsFeiX1Z
i3WbDfkui4CyZtOysILijpn/QjgcVBuKZHItYsA/kQDLIF3poYi+6DUR8l6YejhsoMOJ6es61sy1
2rZ7jlDZlf6wVbYdbKbhlw//voYMJ+tyg5XZ6g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
vyjszmSOvOQj1+EmNxmUJ/mMg9sbyv1fO37iYKpsEr4LjGP3iBg3cnR2AZoL5Cpl/DNuq3OrJVI9
DkPR/yBHz8k+ss2+0CFpPapSo2bc7SshwnnTwREsKQvZLP/PgVKjL6oCcKixERqv+nKC/Vf6RCRs
L5mNN1GNqI1sMC+7g70=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CIEGLlkPnCZ3U6SAXu3PjGkZBFjyqwaoVeyyScW8pNEYY04QfcvFzN6Ro7whdiGSUiuT8hMazsiL
IKP0AWclq5eRAmd7my43gwGVUJxe9FaOE5D+hb1tvFacPbNRT+fqVjiv6xd0c66YlMXvejK8Eap1
/ixKhRrBaozY+tkPxc30rGL/YN6mqRGEfFb1XAAO/TOeMA3j9741OvbReJZip5HrdIRtbPBpNFfk
5zDpvZcKJ0tp2Q5AyjFXyaCyztc8dzcDoEwwWlsgEVqDxott2kzuFtuP07IRzurXUQNawZMlmUot
8caqF3Ifos0V/7MGzWeQGprPw9l4aLBbhTSSYw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22064)
`protect data_block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`protect end_protected
|
library IEEE;
use IEEE.Std_Logic_1164.all;
--Multiplexador 4x1 30bits
entity mux4x1_30 is
port (MAP1, MAP2, MAP3, MAP4: in std_logic_vector(29 downto 0);
REG: out std_logic_vector(29 downto 0);
SW: in std_logic_vector(1 downto 0)
);
end mux4x1_30;
--Definicao Arquitetura
architecture circuito of mux4x1_30 is
begin
REG <= MAP1 when SW = "00" else
MAP2 when SW = "01" else
MAP3 when SW = "10" else
MAP4;
end circuito; |
-- The MIT License (MIT)
--
-- Copyright (c) 2015 Jakub Cabal
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
-- SOFTWARE.
--
-- Website: https://github.com/jakubcabal/uart_for_fpga
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity UART is
Generic (
BAUD_RATE : integer := 115200; -- baud rate value
DATA_BITS : integer := 8; -- legal values: 5,6,7,8
PARITY_BIT : string := "none"; -- legal values: "none", "even", "odd", "mark", "space"
--STOP_BITS : integer; -- TODO, now must be 1 stop bit
CLK_FREQ : integer := 50e6; -- set system clock frequency in Hz
INPUT_FIFO : boolean := False; -- enable input data FIFO
FIFO_DEPTH : integer := 256 -- set depth of input data FIFO
);
Port (
CLK : in std_logic; -- system clock
RST : in std_logic; -- high active synchronous reset
-- UART RS232 INTERFACE
TX_UART : out std_logic;
RX_UART : in std_logic;
-- USER DATA OUTPUT INTERFACE
DATA_OUT : out std_logic_vector(DATA_BITS-1 downto 0);
DATA_VLD : out std_logic; -- when DATA_VLD = 1, data on DATA_OUT are valid
FRAME_ERROR : out std_logic; -- when FRAME_ERROR = 1, stop bit was invalid, current and next data may be invalid
-- USER DATA INPUT INTERFACE
DATA_IN : in std_logic_vector(DATA_BITS-1 downto 0);
DATA_SEND : in std_logic; -- when DATA_SEND = 1, data on DATA_IN will be transmit, DATA_SEND can set to 1 only when BUSY = 0
BUSY : out std_logic -- when BUSY = 1 transiever is busy, you must not set DATA_SEND to 1
);
end UART;
architecture FULL of UART is
constant divider_value : integer := CLK_FREQ/(16*BAUD_RATE);
signal tx_clk_en : std_logic;
signal tx_ticks : integer range 0 to 15;
signal tx_data : std_logic_vector(DATA_BITS-1 downto 0);
signal tx_bit_count : integer range 0 to DATA_BITS-1;
signal tx_bit_count_en : std_logic;
signal tx_bit_count_rst : std_logic;
signal tx_busy : std_logic;
signal tx_data_in : std_logic_vector(DATA_BITS-1 downto 0);
signal tx_data_send : std_logic;
signal tx_parity_bit : std_logic;
signal uart_ticks : integer range 0 to divider_value-1;
signal uart_clk_en : std_logic;
signal fifo_in_rd : std_logic;
signal fifo_in_empty : std_logic;
signal rx_clk_en : std_logic;
signal rx_ticks : integer range 0 to 15;
signal rx_clk_divider_en : std_logic;
signal rx_data : std_logic_vector(DATA_BITS-1 downto 0);
signal rx_bit_count : integer range 0 to DATA_BITS-1;
signal rx_bit_count_en : std_logic;
signal rx_bit_count_rst : std_logic;
signal rx_data_shreg_en : std_logic;
signal rx_parity_bit : std_logic;
signal rx_parity_error : std_logic := '0';
signal rx_parity_check_en : std_logic;
type state is (idle, txsync, startbit, databits, paritybit, stopbit);
signal tx_pstate : state;
signal tx_nstate : state;
signal rx_pstate : state;
signal rx_nstate : state;
begin
-- -------------------------------------------------------------------------
-- UART INPUT DATA FIFO
-- -------------------------------------------------------------------------
data_in_fifo_g : if (INPUT_FIFO = True) generate
data_in_fifo_i: entity work.UART_FIFO
generic map (
DATA_WIDTH => DATA_BITS,
FIFO_DEPTH => FIFO_DEPTH
)
port map (
CLK => CLK,
RST => RST,
-- FIFO WRITE INTERFACE
DATA_IN => DATA_IN,
WR_EN => DATA_SEND,
FULL => BUSY,
-- FIFO READ INTERFACE
DATA_OUT => tx_data_in,
DATA_VLD => tx_data_send,
RD_EN => fifo_in_rd,
EMPTY => fifo_in_empty
);
fifo_in_rd <= fifo_in_empty NOR tx_busy;
end generate;
no_data_in_fifo_g : if (INPUT_FIFO = False) generate
tx_data_in <= DATA_IN;
tx_data_send <= DATA_SEND;
BUSY <= tx_busy;
end generate;
-- -------------------------------------------------------------------------
-- UART CLOCK DIVIDER
-- -------------------------------------------------------------------------
uart_clk_divider : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
uart_ticks <= 0;
uart_clk_en <= '0';
elsif (uart_ticks = divider_value-1) then
uart_ticks <= 0;
uart_clk_en <= '1';
else
uart_ticks <= uart_ticks + 1;
uart_clk_en <= '0';
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER CLOCK DIVIDER
-- -------------------------------------------------------------------------
tx_clk_divider : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
tx_ticks <= 0;
tx_clk_en <= '0';
elsif (uart_clk_en = '1') then
if (tx_ticks = 15) then
tx_ticks <= 0;
tx_clk_en <= '1';
else
tx_ticks <= tx_ticks + 1;
tx_clk_en <= '0';
end if;
else
tx_ticks <= tx_ticks;
tx_clk_en <= '0';
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER INPUT DATA REGISTER
-- -------------------------------------------------------------------------
input_reg : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
tx_data <= (others => '0');
elsif (tx_data_send = '1' AND tx_busy = '0') then
tx_data <= tx_data_in;
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER BIT COUNTER
-- -------------------------------------------------------------------------
tx_bit_counter : process (CLK)
begin
if (rising_edge(CLK)) then
if (tx_bit_count_rst = '1') then
tx_bit_count <= 0;
elsif (tx_bit_count_en = '1' AND tx_clk_en = '1') then
if (tx_bit_count = DATA_BITS-1) then
tx_bit_count <= 0;
else
tx_bit_count <= tx_bit_count + 1;
end if;
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER PARITY GENERATOR
-- -------------------------------------------------------------------------
tx_parity_g : if (PARITY_BIT /= "none") generate
tx_parity_gen_i: entity work.UART_PARITY
generic map (
DATA_WIDTH => DATA_BITS,
PARITY_TYPE => PARITY_BIT
)
port map (
DATA_IN => tx_data,
PARITY_OUT => tx_parity_bit
);
end generate;
-- -------------------------------------------------------------------------
-- UART TRANSMITTER FSM
-- -------------------------------------------------------------------------
-- PRESENT STATE REGISTER
tx_pstate_reg : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
tx_pstate <= idle;
else
tx_pstate <= tx_nstate;
end if;
end if;
end process;
-- NEXT STATE AND OUTPUTS LOGIC
process (tx_pstate, tx_data_send, tx_clk_en, tx_data, tx_bit_count, tx_parity_bit)
begin
case tx_pstate is
when idle =>
tx_busy <= '0';
TX_UART <= '1';
tx_bit_count_rst <= '1';
tx_bit_count_en <= '0';
if (tx_data_send = '1') then
tx_nstate <= txsync;
else
tx_nstate <= idle;
end if;
when txsync =>
tx_busy <= '1';
TX_UART <= '1';
tx_bit_count_rst <= '1';
tx_bit_count_en <= '0';
if (tx_clk_en = '1') then
tx_nstate <= startbit;
else
tx_nstate <= txsync;
end if;
when startbit =>
tx_busy <= '1';
TX_UART <= '0';
tx_bit_count_rst <= '0';
tx_bit_count_en <= '0';
if (tx_clk_en = '1') then
tx_nstate <= databits;
else
tx_nstate <= startbit;
end if;
when databits =>
tx_busy <= '1';
TX_UART <= tx_data(tx_bit_count);
tx_bit_count_rst <= '0';
tx_bit_count_en <= '1';
if ((tx_clk_en = '1') AND (tx_bit_count = DATA_BITS-1)) then
if (PARITY_BIT = "none") then
tx_nstate <= idle;
else
tx_nstate <= paritybit;
end if ;
else
tx_nstate <= databits;
end if;
when paritybit =>
tx_busy <= '1';
TX_UART <= tx_parity_bit;
tx_bit_count_rst <= '1';
tx_bit_count_en <= '0';
if (tx_clk_en = '1') then
tx_nstate <= idle;
else
tx_nstate <= paritybit;
end if;
when others =>
tx_busy <= '1';
TX_UART <= '1';
tx_bit_count_rst <= '1';
tx_bit_count_en <= '0';
tx_nstate <= idle;
end case;
end process;
-- -------------------------------------------------------------------------
-- UART RECEIVER CLOCK DIVIDER
-- -------------------------------------------------------------------------
rx_clk_divider : process (CLK)
begin
if (rising_edge(CLK)) then
if (rx_clk_divider_en = '1') then
if (uart_clk_en = '1') then
if (rx_ticks = 15) then
rx_ticks <= 0;
rx_clk_en <= '0';
elsif (rx_ticks = 7) then
rx_ticks <= rx_ticks + 1;
rx_clk_en <= '1';
else
rx_ticks <= rx_ticks + 1;
rx_clk_en <= '0';
end if;
else
rx_ticks <= rx_ticks;
rx_clk_en <= '0';
end if;
else
rx_ticks <= 0;
rx_clk_en <= '0';
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART RECEIVER BIT COUNTER
-- -------------------------------------------------------------------------
rx_bit_counter : process (CLK)
begin
if (rising_edge(CLK)) then
if (rx_bit_count_rst = '1') then
rx_bit_count <= 0;
elsif (rx_bit_count_en = '1' AND rx_clk_en = '1') then
if (rx_bit_count = DATA_BITS-1) then
rx_bit_count <= 0;
else
rx_bit_count <= rx_bit_count + 1;
end if;
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- UART RECEIVER DATA SHIFT REGISTER
-- -------------------------------------------------------------------------
rx_data_shift_reg : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
rx_data <= (others => '0');
elsif (rx_clk_en = '1' AND rx_data_shreg_en = '1') then
rx_data <= RX_UART & rx_data(7 downto 1);
end if;
end if;
end process;
DATA_OUT <= rx_data;
-- -------------------------------------------------------------------------
-- UART RECEIVER PARITY GENERATOR AND CHECK
-- -------------------------------------------------------------------------
rx_parity_g : if (PARITY_BIT /= "none") generate
rx_parity_gen_i: entity work.UART_PARITY
generic map (
DATA_WIDTH => DATA_BITS,
PARITY_TYPE => PARITY_BIT
)
port map (
DATA_IN => rx_data,
PARITY_OUT => rx_parity_bit
);
rx_parity_check_reg : process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
rx_parity_error <= '0';
elsif (rx_parity_check_en = '1') then
rx_parity_error <= rx_parity_bit XOR RX_UART;
end if;
end if;
end process;
end generate;
-- -------------------------------------------------------------------------
-- UART RECEIVER FSM
-- -------------------------------------------------------------------------
-- PRESENT STATE REGISTER
process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
rx_pstate <= idle;
else
rx_pstate <= rx_nstate;
end if;
end if;
end process;
-- NEXT STATE AND OUTPUTS LOGIC
process (rx_pstate, RX_UART, rx_clk_en, rx_bit_count, rx_parity_error)
begin
case rx_pstate is
when idle =>
DATA_VLD <= '0';
FRAME_ERROR <= '0';
rx_bit_count_rst <= '1';
rx_bit_count_en <= '0';
rx_data_shreg_en <= '0';
rx_clk_divider_en <= '0';
rx_parity_check_en <= '0';
if (RX_UART = '0') then
rx_nstate <= startbit;
else
rx_nstate <= idle;
end if;
when startbit =>
DATA_VLD <= '0';
FRAME_ERROR <= '0';
rx_bit_count_rst <= '0';
rx_bit_count_en <= '0';
rx_data_shreg_en <= '0';
rx_clk_divider_en <= '1';
rx_parity_check_en <= '0';
if (rx_clk_en = '1') then
rx_nstate <= databits;
else
rx_nstate <= startbit;
end if;
when databits =>
DATA_VLD <= '0';
FRAME_ERROR <= '0';
rx_bit_count_rst <= '0';
rx_bit_count_en <= '1';
rx_data_shreg_en <= '1';
rx_clk_divider_en <= '1';
rx_parity_check_en <= '0';
if ((rx_clk_en = '1') AND (rx_bit_count = DATA_BITS-1)) then
if (PARITY_BIT = "none") then
rx_nstate <= stopbit;
else
rx_nstate <= paritybit;
end if ;
else
rx_nstate <= databits;
end if;
when paritybit =>
DATA_VLD <= '0';
FRAME_ERROR <= '0';
rx_bit_count_rst <= '1';
rx_bit_count_en <= '0';
rx_data_shreg_en <= '0';
rx_clk_divider_en <= '1';
if (rx_clk_en = '1') then
rx_nstate <= stopbit;
rx_parity_check_en <= '1';
else
rx_nstate <= paritybit;
rx_parity_check_en <= '0';
end if;
when stopbit =>
rx_bit_count_rst <= '1';
rx_bit_count_en <= '0';
rx_data_shreg_en <= '0';
rx_clk_divider_en <= '1';
rx_parity_check_en <= '0';
if (rx_clk_en = '1') then
rx_nstate <= idle;
DATA_VLD <= NOT rx_parity_error;
FRAME_ERROR <= NOT RX_UART;
else
rx_nstate <= stopbit;
DATA_VLD <= '0';
FRAME_ERROR <= '0';
end if;
when others =>
DATA_VLD <= '0';
FRAME_ERROR <= '0';
rx_bit_count_rst <= '1';
rx_bit_count_en <= '0';
rx_data_shreg_en <= '0';
rx_clk_divider_en <= '0';
rx_parity_check_en <= '0';
rx_nstate <= idle;
end case;
end process;
end FULL; |
-- Module Name: InputGate - Behavioral
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
entity
test6
is
port --port
( --(
a
: --;;;
in
std_logic_vector
(
width
-
1
downto
0
);
b : in std_logic;
c : out std_logic
)
;
end
test6;
architecture Behavioral of test is
begin
c <= a and b;
end Behavioral;
|
library ieee;
use ieee.std_logic_1164.all;
entity cmp_218 is
port (
eq : out std_logic;
in0 : in std_logic_vector(2 downto 0);
in1 : in std_logic_vector(2 downto 0)
);
end cmp_218;
architecture augh of cmp_218 is
signal tmp : std_logic;
begin
-- Compute the result
tmp <=
'0' when in0 /= in1 else
'1';
-- Set the outputs
eq <= tmp;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
entity cmp_218 is
port (
eq : out std_logic;
in0 : in std_logic_vector(2 downto 0);
in1 : in std_logic_vector(2 downto 0)
);
end cmp_218;
architecture augh of cmp_218 is
signal tmp : std_logic;
begin
-- Compute the result
tmp <=
'0' when in0 /= in1 else
'1';
-- Set the outputs
eq <= tmp;
end architecture;
|
architecture RTL of FIFO is
begin
process
variable var1 : integer;
begin
end process;
process (a, b)
variable var1 : integer;
begin
end process;
process is
variable var1 : integer;
begin
end process;
-- Violations below
process
variable var1 : integer;
begin
end process;
process (a, b)
variable var1 : integer;
begin
end process;
process is
variable var1 : integer;
begin
end process;
process
begin
end process;
end architecture RTL;
|
-----------------------------------------------------------------------------
--! @file
--! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved.
--! @author Sergey Khabarov - [email protected]
--! @brief FPGA Virtex6 specific constants definition.
------------------------------------------------------------------------------
library techmap;
use techmap.gencomp.all;
package config_target is
-- Technology and synthesis options
constant CFG_FABTECH : integer := virtex6;
constant CFG_MEMTECH : integer := virtex6;
constant CFG_PADTECH : integer := virtex6;
constant CFG_JTAGTECH : integer := virtex6;
constant CFG_RMII : integer := 0;
end;
|
-----------------------------------------------------------------------------
--! @file
--! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved.
--! @author Sergey Khabarov - [email protected]
--! @brief FPGA Virtex6 specific constants definition.
------------------------------------------------------------------------------
library techmap;
use techmap.gencomp.all;
package config_target is
-- Technology and synthesis options
constant CFG_FABTECH : integer := virtex6;
constant CFG_MEMTECH : integer := virtex6;
constant CFG_PADTECH : integer := virtex6;
constant CFG_JTAGTECH : integer := virtex6;
constant CFG_RMII : integer := 0;
end;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Package: misc
-- File: misc.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Misc models
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.devices.all;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
package misc is
-- reset generator with filter
component rstgen
generic (acthigh : integer := 0; syncrst : integer := 0;
scanen : integer := 0; syncin : integer := 0);
port (
rstin : in std_ulogic;
clk : in std_ulogic;
clklock : in std_ulogic;
rstout : out std_ulogic;
rstoutraw : out std_ulogic;
testrst : in std_ulogic := '0';
testen : in std_ulogic := '0');
end component;
type gptimer_in_type is record
dhalt : std_ulogic;
extclk : std_ulogic;
wdogen : std_ulogic;
latchv : std_logic_vector(NAHBIRQ-1 downto 0);
latchd : std_logic_vector(NAHBIRQ-1 downto 0);
end record;
function gpti_dhalt_drive (dhalt : std_ulogic) return gptimer_in_type;
type gptimer_in_vector is array (natural range <>) of gptimer_in_type;
type gptimer_out_type is record
tick : std_logic_vector(0 to 7);
timer1 : std_logic_vector(31 downto 0);
wdogn : std_ulogic;
wdog : std_ulogic;
end record;
type gptimer_out_vector is array (natural range <>) of gptimer_out_type;
constant gptimer_in_none : gptimer_in_type := ('0', '0', '0', zxirq(NAHBIRQ-1 downto 0), zxirq(NAHBIRQ-1 downto 0));
constant gptimer_out_none : gptimer_out_type :=
((others => '0'), (others => '0'), '1', '0');
component gptimer
generic (
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
pirq : integer := 0;
sepirq : integer := 0; -- use separate interrupts for each timer
sbits : integer := 16; -- scaler bits
ntimers : integer range 1 to 7 := 1; -- number of timers
nbits : integer := 32; -- timer bits
wdog : integer := 0;
ewdogen : integer := 0;
glatch : integer := 0;
gextclk : integer := 0;
gset : integer := 0;
gelatch : integer range 0 to 2 := 0;
wdogwin : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
gpti : in gptimer_in_type;
gpto : out gptimer_out_type
);
end component;
-- 32-bit ram with AHB interface
component ahbram
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
tech : integer := DEFMEMTECH;
kbytes : integer := 1;
pipe : integer := 0;
maccsz : integer := AHBDW;
scantest: integer := 0);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type);
end component;
type ahbram_out_type is record
ce : std_ulogic;
end record;
component ftahbram
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
tech : integer := DEFMEMTECH;
kbytes : integer := 1;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
edacen : integer range 0 to 3 := 1; --enable EDAC
autoscrub : integer range 0 to 1 := 0; --enable auto-scrubbing
errcnten : integer range 0 to 1 := 0; --enable error counter in stat.reg
cntbits : integer range 1 to 8 := 1; --errcnt size in bits
ahbpipe : integer range 0 to 1 := 0;
testen : integer := 0);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
aramo : out ahbram_out_type
);
end component;
component ftahbram1 is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
tech : integer := DEFMEMTECH;
kbytes : integer := 1;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
edacen : integer range 0 to 3 := 1;
autoscrub : integer range 0 to 1 := 0;
errcnten : integer range 0 to 1 := 0;
cntbits : integer range 1 to 8 := 1;
ahbpipe : integer range 0 to 1 := 0;
testen : integer := 0);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
aramo : out ahbram_out_type
);
end component;
component ftahbram2 is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
tech : integer := DEFMEMTECH;
kbytes : integer := 1;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
testen : integer := 0;
edacen : integer range 1 to 3 := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
aramo : out ahbram_out_type
);
end component;
component ahbdpram
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
tech : integer := 2;
abits : integer range 8 to 19 := 8;
bytewrite : integer range 0 to 1 := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
clkdp : in std_ulogic;
address : in std_logic_vector((abits -1) downto 0);
datain : in std_logic_vector(31 downto 0);
dataout : out std_logic_vector(31 downto 0);
enable : in std_ulogic; -- active high chip select
write : in std_logic_vector(0 to 3) -- active high byte write enable
); -- big-endian write: bwrite(0) => data(31:24)
end component;
component ahbtrace is
generic (
hindex : integer := 0;
ioaddr : integer := 16#000#;
iomask : integer := 16#E00#;
tech : integer := DEFMEMTECH;
irq : integer := 0;
kbytes : integer := 1;
bwidth : integer := 32;
ahbfilt : integer := 0;
scantest : integer range 0 to 1 := 0;
exttimer : integer range 0 to 1 := 0;
exten : integer range 0 to 1 := 0);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbmi : in ahb_mst_in_type;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
timer : in std_logic_vector(30 downto 0) := (others => '0');
astat : out amba_stat_type;
resen : in std_ulogic := '0'
);
end component;
component ahbtrace_mb is
generic (
hindex : integer := 0;
ioaddr : integer := 16#000#;
iomask : integer := 16#E00#;
tech : integer := DEFMEMTECH;
irq : integer := 0;
kbytes : integer := 1;
bwidth : integer := 32;
ahbfilt : integer := 0;
scantest : integer range 0 to 1 := 0;
exttimer : integer range 0 to 1 := 0;
exten : integer range 0 to 1 := 0);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type; -- Register interface
ahbso : out ahb_slv_out_type;
tahbmi : in ahb_mst_in_type; -- Trace
tahbsi : in ahb_slv_in_type;
timer : in std_logic_vector(30 downto 0) := (others => '0');
astat : out amba_stat_type;
resen : in std_ulogic := '0'
);
end component;
component ahbtrace_mmb is
generic (
hindex : integer := 0;
ioaddr : integer := 16#000#;
iomask : integer := 16#E00#;
tech : integer := DEFMEMTECH;
irq : integer := 0;
kbytes : integer := 1;
bwidth : integer := 32;
ahbfilt : integer := 0;
ntrace : integer range 1 to 8 := 1;
scantest : integer range 0 to 1 := 0;
exttimer : integer range 0 to 1 := 0;
exten : integer range 0 to 1 := 0);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type; -- Register interface
ahbso : out ahb_slv_out_type;
tahbmiv : in ahb_mst_in_vector_type(0 to ntrace-1); -- Trace
tahbsiv : in ahb_slv_in_vector_type(0 to ntrace-1);
timer : in std_logic_vector(30 downto 0) := (others => '0');
astat : out amba_stat_type;
resen : in std_ulogic := '0'
);
end component;
type ahbmst2_request is record
req: std_logic; -- Request enable bit
wr: std_logic;
hsize: std_logic_vector(2 downto 0);
hburst: std_logic_vector(2 downto 0);
hprot: std_logic_vector(3 downto 0);
addr: std_logic_vector(32-1 downto 0);
burst_cont: std_logic; -- Set for all except the first request in a burst
burst_wrap: std_logic; -- High for the request where wrap occurs
end record;
constant ahbmst2_request_none: ahbmst2_request := (
req => '0', wr => '0', hsize => "010", hburst => "000", burst_cont => '0',
burst_wrap => '0', addr => (others => '0'), hprot => "0011");
type ahbmst2_in_type is record
request: ahbmst2_request;
wrdata: std_logic_vector(AHBDW-1 downto 0);
-- For back-to-back transfers or bursts, this must be set when done is high
-- and then copied over to request after the rising edge of clk.
next_request: ahbmst2_request;
-- Insert busy cycle, must only be asserted when request and next_request
-- are both part of the same burst.
busy: std_logic;
hlock: std_logic; -- Lock signal, passed through directly to AMBA.
keepreq: std_logic; -- Keep bus request high even when no request needs it.
end record;
type ahbmst2_out_type is record
done: std_logic;
flip: std_logic;
fail: std_logic;
rddata: std_logic_vector(AHBDW-1 downto 0);
end record;
component ahbmst2 is
generic (
hindex: integer := 0;
venid: integer;
devid: integer;
version: integer;
dmastyle: integer range 1 to 3 := 3;
syncrst: integer range 0 to 1 := 1
);
port (
clk: in std_logic;
rst: in std_logic;
ahbi: in ahb_mst_in_type;
ahbo: out ahb_mst_out_type;
m2i: in ahbmst2_in_type;
m2o: out ahbmst2_out_type
);
end component;
type gpio_in_type is record
din : std_logic_vector(31 downto 0);
sig_in : std_logic_vector(31 downto 0);
sig_en : std_logic_vector(31 downto 0);
end record;
type gpio_in_vector is array (natural range <>) of gpio_in_type;
type gpio_out_type is record
dout : std_logic_vector(31 downto 0);
oen : std_logic_vector(31 downto 0);
val : std_logic_vector(31 downto 0);
sig_out : std_logic_vector(31 downto 0);
end record;
type gpio_out_vector is array (natural range <>) of gpio_out_type;
component grgpio
generic (
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
imask : integer := 16#0000#;
nbits : integer := 16; -- GPIO bits
oepol : integer := 0; -- Output enable polarity
syncrst : integer := 0;
bypass : integer := 16#0000#;
scantest : integer := 0;
bpdir : integer := 16#0000#;
pirq : integer := 0;
irqgen : integer := 0;
iflagreg : integer range 0 to 1:= 0;
bpmode : integer range 0 to 1 := 0;
inpen : integer range 0 to 1 := 0;
doutresv : integer := 0;
dirresv : integer := 0;
bpresv : integer := 0;
inpresv : integer := 0;
pulse : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
gpioi : in gpio_in_type;
gpioo : out gpio_out_type
);
end component;
type ahb2ahb_ctrl_type is record
slck : std_ulogic;
blck : std_ulogic;
mlck : std_ulogic;
end record;
constant ahb2ahb_ctrl_none : ahb2ahb_ctrl_type := ('0', '0', '0');
type ahb2ahb_ifctrl_type is record
mstifen : std_ulogic;
slvifen : std_ulogic;
end record;
constant ahb2ahb_ifctrl_none : ahb2ahb_ifctrl_type := ('1', '1');
component ahb2ahb
generic(
memtech : integer := 0;
hsindex : integer := 0;
hmindex : integer := 0;
slv : integer range 0 to 1 := 0;
dir : integer range 0 to 1 := 0; -- 0 - down, 1 - up
ffact : integer range 0 to 15:= 2;
pfen : integer range 0 to 1 := 0;
wburst : integer range 2 to 32 := 8;
iburst : integer range 4 to 8 := 8;
rburst : integer range 2 to 32 := 8;
irqsync : integer range 0 to 3 := 0;
bar0 : integer range 0 to 1073741823 := 0;
bar1 : integer range 0 to 1073741823 := 0;
bar2 : integer range 0 to 1073741823 := 0;
bar3 : integer range 0 to 1073741823 := 0;
sbus : integer := 0;
mbus : integer := 0;
ioarea : integer := 0;
ibrsten : integer := 0;
lckdac : integer range 0 to 2 := 0;
slvmaccsz : integer range 32 to 256 := 32;
mstmaccsz : integer range 32 to 256 := 32;
rdcomb : integer range 0 to 2 := 0;
wrcomb : integer range 0 to 2 := 0;
combmask : integer := 16#ffff#;
allbrst : integer range 0 to 2 := 0;
ifctrlen : integer range 0 to 1 := 0;
fcfs : integer range 0 to NAHBMST := 0;
fcfsmtech : integer range 0 to NTECH := inferred;
scantest : integer range 0 to 1 := 0;
split : integer range 0 to 1 := 1;
pipe : integer range 0 to 128 := 0);
port (
rstn : in std_ulogic;
hclkm : in std_ulogic;
hclks : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
ahbmi : in ahb_mst_in_type;
ahbmo : out ahb_mst_out_type;
ahbso2 : in ahb_slv_out_vector;
lcki : in ahb2ahb_ctrl_type;
lcko : out ahb2ahb_ctrl_type;
ifctrl : in ahb2ahb_ifctrl_type := ahb2ahb_ifctrl_none
);
end component;
component ahbbridge
generic(
memtech : integer := 0;
ffact : integer range 0 to 15 := 2;
-- high-speed bus
hsb_hsindex : integer := 0;
hsb_hmindex : integer := 0;
hsb_iclsize : integer range 4 to 8 := 8;
hsb_bank0 : integer range 0 to 1073741823 := 0;
hsb_bank1 : integer range 0 to 1073741823 := 0;
hsb_bank2 : integer range 0 to 1073741823 := 0;
hsb_bank3 : integer range 0 to 1073741823 := 0;
hsb_ioarea : integer := 0;
-- low-speed bus
lsb_hsindex : integer := 0;
lsb_hmindex : integer := 0;
lsb_rburst : integer range 16 to 32 := 16;
lsb_wburst : integer range 2 to 32 := 8;
lsb_bank0 : integer range 0 to 1073741823 := 0;
lsb_bank1 : integer range 0 to 1073741823 := 0;
lsb_bank2 : integer range 0 to 1073741823 := 0;
lsb_bank3 : integer range 0 to 1073741823 := 0;
lsb_ioarea : integer := 0;
--
lckdac : integer range 0 to 2 := 2;
maccsz : integer range 32 to 256 := 32;
rdcomb : integer range 0 to 2 := 0;
wrcomb : integer range 0 to 2 := 0;
combmask : integer := 16#ffff#;
allbrst : integer range 0 to 2 := 0;
fcfs : integer range 0 to NAHBMST := 0;
scantest : integer range 0 to 1 := 0);
port (
rstn : in std_ulogic;
hsb_clk : in std_ulogic;
lsb_clk : in std_ulogic;
hsb_ahbsi : in ahb_slv_in_type;
hsb_ahbso : out ahb_slv_out_type;
hsb_ahbsov : in ahb_slv_out_vector;
hsb_ahbmi : in ahb_mst_in_type;
hsb_ahbmo : out ahb_mst_out_type;
lsb_ahbsi : in ahb_slv_in_type;
lsb_ahbso : out ahb_slv_out_type;
lsb_ahbsov : in ahb_slv_out_vector;
lsb_ahbmi : in ahb_mst_in_type;
lsb_ahbmo : out ahb_mst_out_type);
end component;
function ahb2ahb_membar(memaddr : ahb_addr_type; prefetch, cache : std_ulogic;
addrmask : ahb_addr_type)
return integer;
function ahb2ahb_iobar(memaddr : ahb_addr_type; addrmask : ahb_addr_type)
return integer;
type ahbstat_in_type is record
cerror : std_logic_vector(0 to NAHBSLV-1);
end record;
constant ahbstat_in_none : ahbstat_in_type :=
(cerror => zero32(NAHBSLV-1 downto 0));
component ahbstat is
generic(
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#FFF#;
pirq : integer := 0;
nftslv : integer range 1 to NAHBSLV - 1 := 3);
port(
rst : in std_ulogic;
clk : in std_ulogic;
ahbmi : in ahb_mst_in_type;
ahbsi : in ahb_slv_in_type;
stati : in ahbstat_in_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type
);
end component;
type nuhosp3_in_type is record
flash_d : std_logic_vector(15 downto 0);
smsc_data : std_logic_vector(31 downto 0);
smsc_ardy : std_ulogic;
smsc_intr : std_ulogic;
smsc_nldev : std_ulogic;
lcd_data : std_logic_vector(7 downto 0);
end record;
type nuhosp3_out_type is record
flash_a : std_logic_vector(20 downto 0);
flash_d : std_logic_vector(15 downto 0);
flash_oen : std_ulogic;
flash_wen : std_ulogic;
flash_cen : std_ulogic;
smsc_addr : std_logic_vector(14 downto 0);
smsc_data : std_logic_vector(31 downto 0);
smsc_nbe : std_logic_vector(3 downto 0);
smsc_resetn : std_ulogic;
smsc_nrd : std_ulogic;
smsc_nwr : std_ulogic;
smsc_ncs : std_ulogic;
smsc_aen : std_ulogic;
smsc_lclk : std_ulogic;
smsc_wnr : std_ulogic;
smsc_rdyrtn : std_ulogic;
smsc_cycle : std_ulogic;
smsc_nads : std_ulogic;
smsc_ben : std_ulogic;
lcd_data : std_logic_vector(7 downto 0);
lcd_rs : std_ulogic;
lcd_rw : std_ulogic;
lcd_en : std_ulogic;
lcd_backl : std_ulogic;
lcd_ben : std_ulogic;
end record;
component nuhosp3
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
ioaddr : integer := 16#200#;
iomask : integer := 16#fff#);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
nui : in nuhosp3_in_type;
nuo : out nuhosp3_out_type
);
end component;
-- On-chip Logic Analyzer
component logan is
generic (
dbits : integer range 0 to 256 := 32; -- Number of traced signals
depth : integer range 256 to 16384 := 1024; -- Depth of trace buffer
trigl : integer range 1 to 63 := 1; -- Number of trigger levels
usereg : integer range 0 to 1 := 1; -- Use input register
usequal : integer range 0 to 1 := 0;
usediv : integer range 0 to 1 := 1;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#F00#;
memtech : integer := DEFMEMTECH);
port (
rstn : in std_logic;
clk : in std_logic;
tclk : in std_logic;
apbi : in apb_slv_in_type; -- APB in record
apbo : out apb_slv_out_type; -- APB out record
signals : in std_logic_vector(dbits - 1 downto 0)); -- Traced signals
end component;
type ps2_in_type is record
ps2_clk_i : std_ulogic;
ps2_data_i : std_ulogic;
end record;
type ps2_out_type is record
ps2_clk_o : std_ulogic;
ps2_clk_oe : std_ulogic;
ps2_data_o : std_ulogic;
ps2_data_oe : std_ulogic;
end record;
component apbps2
generic(
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
pirq : integer := 0;
fKHz : integer := 50000;
fixed : integer := 0;
oepol : integer range 0 to 1 := 0);
port(
rst : in std_ulogic; -- Global asynchronous reset
clk : in std_ulogic; -- Global clock
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
ps2i : in ps2_in_type;
ps2o : out ps2_out_type
);
end component;
type apbvga_out_type is record
hsync : std_ulogic; -- horizontal sync
vsync : std_ulogic; -- vertical sync
comp_sync : std_ulogic; -- composite sync
blank : std_ulogic; -- blank signal
video_out_r : std_logic_vector(7 downto 0); -- red channel
video_out_g : std_logic_vector(7 downto 0); -- green channel
video_out_b : std_logic_vector(7 downto 0); -- blue channel
bitdepth : std_logic_vector(1 downto 0); -- Bith depth
end record;
component apbvga
generic(
memtech : integer := DEFMEMTECH;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#);
port(
rst : in std_ulogic; -- Global asynchronous reset
clk : in std_ulogic; -- Global clock
vgaclk : in std_ulogic; -- VGA clock
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
vgao : out apbvga_out_type
);
end component;
component svgactrl
generic(
length : integer := 384; -- Fifo-length
part : integer := 128; -- Fifo-part lenght
memtech : integer := DEFMEMTECH;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
hindex : integer := 0;
hirq : integer := 0;
clk0 : integer := 40000;
clk1 : integer := 20000;
clk2 : integer := 15385;
clk3 : integer := 0;
burstlen : integer range 2 to 8 := 8;
ahbaccsz : integer := 32;
asyncrst : integer range 0 to 1 := 0
);
port (
rst : in std_logic;
clk : in std_logic;
vgaclk : in std_logic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
vgao : out apbvga_out_type;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
clk_sel : out std_logic_vector(1 downto 0);
arst : in std_ulogic := '1'
);
end component;
constant vgao_none : apbvga_out_type :=
('0', '0', '0', '0', "00000000", "00000000", "00000000", "00");
constant ps2o_none : ps2_out_type := ('1', '1', '1', '1');
-- component ahbrom
-- generic (
-- hindex : integer := 0;
-- haddr : integer := 0;
-- hmask : integer := 16#fff#;
-- pipe : integer := 0;
-- tech : integer := 0;
-- kbytes : integer := 1);
-- port (
-- rst : in std_ulogic;
-- clk : in std_ulogic;
-- ahbsi : in ahb_slv_in_type;
-- ahbso : out ahb_slv_out_type
-- );
-- end component;
component ahbdma
generic (
hindex : integer := 0;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
pirq : integer := 0;
dbuf : integer := 0);
port (
rst : in std_logic;
clk : in std_ulogic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type
);
end component;
-----------------------------------------------------------------------------
-- Interface type declarations for FIFO controller
-----------------------------------------------------------------------------
type FIFO_In_Type is record
Din: Std_Logic_Vector(31 downto 0); -- data input
Pin: Std_Logic_Vector( 3 downto 0); -- parity input
EFn: Std_ULogic; -- empty flag
FFn: Std_ULogic; -- full flag
HFn: Std_ULogic; -- half flag
end record;
type FIFO_Out_Type is record
Dout: Std_Logic_Vector(31 downto 0); -- data output
Den: Std_Logic_Vector(31 downto 0); -- data enable
Pout: Std_Logic_Vector( 3 downto 0); -- parity output
Pen: Std_Logic_Vector( 3 downto 0); -- parity enable
WEn: Std_ULogic; -- write enable
REn: Std_ULogic; -- read enable
end record;
-----------------------------------------------------------------------------
-- Component declaration for GR FIFO Interface
-----------------------------------------------------------------------------
component grfifo is
generic (
hindex: Integer := 0;
pindex: Integer := 0;
paddr: Integer := 0;
pmask: Integer := 16#FFF#;
pirq: Integer := 1; -- index of first irq
dwidth: Integer := 16; -- data width
ptrwidth: Integer range 16 to 16 := 16; -- 16 to 64k bytes
-- 128 to 512k bits
singleirq: Integer range 0 to 1 := 0; -- single irq output
oepol: Integer := 1); -- output enable polarity
port (
rstn: in Std_ULogic;
clk: in Std_ULogic;
apbi: in APB_Slv_In_Type;
apbo: out APB_Slv_Out_Type;
ahbi: in AHB_Mst_In_Type;
ahbo: out AHB_Mst_Out_Type;
fifoi: in FIFO_In_Type;
fifoo: out FIFO_Out_Type);
end component;
-----------------------------------------------------------------------------
-- Interface type declarations for CAN controllers
-----------------------------------------------------------------------------
type Analog_In_Type is record
Ain: Std_Logic_Vector(31 downto 0); -- address input
Din: Std_Logic_Vector(31 downto 0); -- data input
Rdy: Std_ULogic; -- adc ready input
Trig: Std_Logic_Vector( 2 downto 0); -- adc trigger inputs
end record;
type Analog_Out_Type is record
Aout: Std_Logic_Vector(31 downto 0); -- address output
Aen: Std_Logic_Vector(31 downto 0); -- address enable
Dout: Std_Logic_Vector(31 downto 0); -- dac data output
Den: Std_Logic_Vector(31 downto 0); -- dac data enable
Wr: Std_ULogic; -- dac write strobe
CS: Std_ULogic; -- adc chip select
RC: Std_ULogic; -- adc read/convert
end record;
-----------------------------------------------------------------------------
-- Component declaration for GR ADC/DAC Interface
-----------------------------------------------------------------------------
component gradcdac is
generic (
pindex: Integer := 0;
paddr: Integer := 0;
pmask: Integer := 16#FFF#;
pirq: Integer := 1; -- index of first irq
awidth: Integer := 8; -- address width
dwidth: Integer := 16; -- data width
oepol: Integer := 1); -- output enable polarity
port (
rstn: in Std_ULogic;
clk: in Std_ULogic;
apbi: in APB_Slv_In_Type;
apbo: out APB_Slv_Out_Type;
adi: in Analog_In_Type;
ado: out Analog_Out_Type);
end component;
-----------------------------------------------------------------------------
-- AMBA wrapper for System Monitor
-----------------------------------------------------------------------------
type grsysmon_in_type is record
convst : std_ulogic;
convstclk : std_ulogic;
vauxn : std_logic_vector(15 downto 0);
vauxp : std_logic_vector(15 downto 0);
vn : std_ulogic;
vp : std_ulogic;
end record;
type grsysmon_out_type is record
alm : std_logic_vector(2 downto 0);
ot : std_ulogic;
eoc : std_ulogic;
eos : std_ulogic;
channel : std_logic_vector(4 downto 0);
end record;
constant grsysmon_in_gnd : grsysmon_in_type :=
('0', '0', (others => '0'), (others => '0'), '0', '0');
component grsysmon
generic (
-- GRLIB generics
tech : integer := DEFFABTECH;
hindex : integer := 0; -- AHB slave index
hirq : integer := 0; -- Interrupt line
caddr : integer := 16#000#; -- Base address for configuration area
cmask : integer := 16#fff#; -- Area mask
saddr : integer := 16#001#; -- Base address for sysmon register area
smask : integer := 16#fff#; -- Area mask
split : integer := 0; -- Enable AMBA SPLIT support
extconvst : integer := 0; -- Use external CONVST signal
wrdalign : integer := 0; -- Word align System Monitor registers
-- Virtex 5 SYSMON generics
INIT_40 : bit_vector := X"0000";
INIT_41 : bit_vector := X"0000";
INIT_42 : bit_vector := X"0800";
INIT_43 : bit_vector := X"0000";
INIT_44 : bit_vector := X"0000";
INIT_45 : bit_vector := X"0000";
INIT_46 : bit_vector := X"0000";
INIT_47 : bit_vector := X"0000";
INIT_48 : bit_vector := X"0000";
INIT_49 : bit_vector := X"0000";
INIT_4A : bit_vector := X"0000";
INIT_4B : bit_vector := X"0000";
INIT_4C : bit_vector := X"0000";
INIT_4D : bit_vector := X"0000";
INIT_4E : bit_vector := X"0000";
INIT_4F : bit_vector := X"0000";
INIT_50 : bit_vector := X"0000";
INIT_51 : bit_vector := X"0000";
INIT_52 : bit_vector := X"0000";
INIT_53 : bit_vector := X"0000";
INIT_54 : bit_vector := X"0000";
INIT_55 : bit_vector := X"0000";
INIT_56 : bit_vector := X"0000";
INIT_57 : bit_vector := X"0000";
SIM_MONITOR_FILE : string := "sysmon.txt");
port (
rstn : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
sysmoni : in grsysmon_in_type;
sysmono : out grsysmon_out_type
);
end component;
-----------------------------------------------------------------------------
-- AMBA System ACE Interface Controller
-----------------------------------------------------------------------------
type gracectrl_in_type is record
di : std_logic_vector(15 downto 0);
-- brdy : std_ulogic;
irq : std_ulogic;
end record;
type gracectrl_out_type is record
addr : std_logic_vector(6 downto 0);
do : std_logic_vector(15 downto 0);
cen : std_ulogic;
wen : std_ulogic;
oen : std_ulogic;
doen : std_ulogic; -- Data output enable to pad
end record;
constant gracectrl_none : gracectrl_out_type :=
((others => '1'), (others => '1'), '1', '1', '1', '1');
component gracectrl
generic (
hindex : integer := 0; -- AHB slave index
hirq : integer := 0; -- Interrupt line
haddr : integer := 16#000#; -- Base address
hmask : integer := 16#fff#; -- Area mask
split : integer range 0 to 1 := 0; -- Enable AMBA SPLIT support
swap : integer range 0 to 1 := 0;
oepol : integer range 0 to 1 := 0; -- Output enable polarity
mode : integer range 0 to 2 := 0 -- 16/8-bit mode
);
port (
rstn : in std_ulogic;
clk : in std_ulogic;
clkace : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
acei : in gracectrl_in_type;
aceo : out gracectrl_out_type
);
end component;
-----------------------------------------------------------------------------
-- General purpose register
-----------------------------------------------------------------------------
component grgpreg is
generic (
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
nbits : integer range 1 to 64 := 16;
rstval : integer := 0;
rstval2 : integer := 0;
extrst : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
gprego : out std_logic_vector(nbits-1 downto 0);
resval : in std_logic_vector(nbits-1 downto 0) := (others => '0')
);
end component;
component grgprbank is
generic (
pindex: integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
regbits: integer range 1 to 32 := 32;
nregs : integer range 1 to 32 := 1;
rstval: integer := 0;
extrst: integer := 0;
rdataen: integer := 0;
wproten: integer := 0;
partrstmsk: integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
rego : out std_logic_vector(nregs*regbits-1 downto 0);
resval : in std_logic_vector(nregs*regbits-1 downto 0) := (others => '0');
rdata : in std_logic_vector(nregs*regbits-1 downto 0) := (others => '0');
wprot : in std_logic_vector(nregs-1 downto 0) := (others => '0');
partrst : in std_ulogic := '1'
);
end component;
-----------------------------------------------------------------------------
-- EDAC Memory scrubber
-----------------------------------------------------------------------------
type memscrub_in_type is record
cerror : std_logic_vector(0 to NAHBSLV-1);
clrcount: std_logic;
start : std_logic;
end record;
component memscrub is
generic(
hmindex : integer := 0;
hsindex : integer := 0;
ioaddr : integer := 0;
iomask : integer := 16#FFF#;
hirq : integer := 0;
nftslv : integer range 1 to NAHBSLV - 1 := 3;
memwidth: integer := AHBDW;
-- Read block (cache line) burst size, must be even mult of 2
burstlen: integer := 2;
countlen: integer := 8
);
port(
rst : in std_ulogic;
clk : in std_ulogic;
ahbmi : in ahb_mst_in_type;
ahbmo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
scrubi: in memscrub_in_type
);
end component;
type ahb_mst_iface_in_type is record
req : std_ulogic;
write : std_ulogic;
addr : std_logic_vector(31 downto 0);
data : std_logic_vector(31 downto 0);
size : std_logic_vector(1 downto 0);
end record;
type ahb_mst_iface_out_type is record
grant : std_ulogic;
ready : std_ulogic;
error : std_ulogic;
retry : std_ulogic;
data : std_logic_vector(31 downto 0);
end record;
component ahb_mst_iface is
generic(
hindex : integer;
vendor : integer;
device : integer;
revision : integer);
port(
rst : in std_ulogic;
clk : in std_ulogic;
ahbmi : in ahb_mst_in_type;
ahbmo : out ahb_mst_out_type;
msti : in ahb_mst_iface_in_type;
msto : out ahb_mst_iface_out_type
);
end component;
-----------------------------------------------------------------------------
-- Clock gate unit
-----------------------------------------------------------------------------
component grclkgate
generic (
tech : integer := 0;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
ncpu : integer := 1;
nclks : integer := 8;
emask : integer := 0;
extemask : integer := 0;
scantest : integer := 0;
edges : integer := 0;
noinv : integer := 0; -- Do not use inverted clock on gate enable
fpush : integer range 0 to 2 := 0;
ungateen : integer := 0);
port (
rst : in std_ulogic;
clkin : in std_ulogic;
pwd : in std_logic_vector(ncpu-1 downto 0);
fpen : in std_logic_vector(ncpu-1 downto 0); -- Only used with shared FPU
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
gclk : out std_logic_vector(nclks-1 downto 0);
reset : out std_logic_vector(nclks-1 downto 0);
clkahb : out std_ulogic;
clkcpu : out std_logic_vector(ncpu-1 downto 0);
enable : out std_logic_vector(nclks-1 downto 0);
clkfpu : out std_logic_vector((fpush/2)*(ncpu/2-1) downto 0); -- Only used with shared FPU
epwen : in std_logic_vector(nclks-1 downto 0);
ungate : in std_ulogic);
end component;
component grclkgate2x
generic (
tech : integer := 0;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
ncpu : integer := 1;
nclks : integer := 8;
emask : integer := 0;
extemask : integer := 0;
scantest : integer := 0;
edges : integer := 0;
noinv : integer := 0; -- Do not use inverted clock on gate enable
fpush : integer range 0 to 2 := 0;
clk2xen : integer := 0; -- Enable double clocking
ungateen : integer := 0;
fpuclken : integer := 0;
nahbclk : integer := 1;
nahbclk2x: integer := 1;
balance : integer range 0 to 1 := 1
);
port (
rst : in std_ulogic;
clkin : in std_ulogic;
clkin2x : in std_ulogic;
pwd : in std_logic_vector(ncpu-1 downto 0);
fpen : in std_logic_vector(ncpu-1 downto 0);
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
gclk : out std_logic_vector(nclks-1 downto 0);
reset : out std_logic_vector(nclks-1 downto 0);
clkahb : out std_logic_vector(nahbclk-1 downto 0);
clkahb2x : out std_logic_vector(nahbclk2x-1 downto 0);
clkcpu : out std_logic_vector(ncpu-1 downto 0);
enable : out std_logic_vector(nclks-1 downto 0);
clkfpu : out std_logic_vector((fpush/2+fpuclken)*(ncpu/(2-fpuclken)-1) downto 0);
epwen : in std_logic_vector(nclks-1 downto 0);
ungate : in std_ulogic
);
end component;
component grclkgatex
generic (
tech : integer := 0;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
ncpu : integer := 1;
nclks : integer := 8;
emask : integer := 0;
extemask : integer := 0;
scantest : integer := 0;
edges : integer := 0;
noinv : integer := 0; -- Do not use inverted clock on gate enable
fpush : integer range 0 to 2 := 0;
clk2xen : integer := 0; -- Enable double clocking
ungateen : integer := 0;
fpuclken : integer := 0;
nahbclk : integer := 1;
nahbclk2x: integer := 1;
balance : integer range 0 to 1 := 1
);
port (
rst : in std_ulogic;
clkin : in std_ulogic;
clkin2x : in std_ulogic;
pwd : in std_logic_vector(ncpu-1 downto 0);
fpen : in std_logic_vector(ncpu-1 downto 0);
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
gclk : out std_logic_vector(nclks-1 downto 0);
reset : out std_logic_vector(nclks-1 downto 0);
clkahb : out std_logic_vector(nahbclk-1 downto 0);
clkahb2x : out std_logic_vector(nahbclk2x-1 downto 0);
clkcpu : out std_logic_vector(ncpu-1 downto 0);
enable : out std_logic_vector(nclks-1 downto 0);
clkfpu : out std_logic_vector((fpush/2+fpuclken)*(ncpu/(2-fpuclken)-1) downto 0);
epwen : in std_logic_vector(nclks-1 downto 0);
ungate : in std_ulogic
);
end component;
component ahbwbax is
generic (
ahbbits: integer;
blocksz: integer := 16;
mstmode: integer := 0
);
port (
clk: in std_ulogic;
rst: in std_ulogic;
-- Wide-side slave inputs
wi_hready: in std_ulogic;
wi_hsel: in std_ulogic;
wi_htrans: in std_logic_vector(1 downto 0);
wi_hsize: in std_logic_vector(2 downto 0);
wi_hburst: in std_logic_vector(2 downto 0);
wi_hwrite: in std_ulogic;
wi_haddr: in std_logic_vector(31 downto 0);
wi_hwdata: in std_logic_vector(AHBDW-1 downto 0);
wi_hmbsel: in std_logic_vector(0 to NAHBAMR-1);
wi_hmaster: in std_logic_vector(3 downto 0);
wi_hprot: in std_logic_vector(3 downto 0);
wi_hmastlock: in std_ulogic;
-- Wide-side slave outputs
wo_hready: out std_ulogic;
wo_hresp : out std_logic_vector(1 downto 0);
wo_hrdata: out std_logic_vector(AHBDW-1 downto 0);
-- Narrow-side slave inputs
ni_hready: out std_ulogic;
ni_htrans: out std_logic_vector(1 downto 0);
ni_hsize: out std_logic_vector(2 downto 0);
ni_hburst: out std_logic_vector(2 downto 0);
ni_hwrite: out std_ulogic;
ni_haddr: out std_logic_vector(31 downto 0);
ni_hwdata: out std_logic_vector(31 downto 0);
ni_hmbsel: out std_logic_vector(0 to NAHBAMR-1);
ni_hmaster: out std_logic_vector(3 downto 0);
ni_hprot : out std_logic_vector(3 downto 0);
ni_hmastlock: out std_ulogic;
-- Narrow-side slave outputs
no_hready: in std_ulogic;
no_hresp: in std_logic_vector(1 downto 0);
no_hrdata: in std_logic_vector(31 downto 0)
);
end component;
component ahbswba is
generic (
hindex: integer;
ahbbits: integer;
blocksz: integer := 16
);
port (
clk: in std_ulogic;
rst: in std_ulogic;
ahbsi_bus: in ahb_slv_in_type;
ahbso_bus: out ahb_slv_out_type;
ahbsi_slv: out ahb_slv_in_type;
ahbso_slv: in ahb_slv_out_type
);
end component;
component ahbswbav is
generic (
slvmask: integer;
ahbbits: integer;
blocksz: integer
);
port (
clk: in std_ulogic;
rst: in std_ulogic;
ahbsi_bus: in ahb_slv_in_type;
ahbso_bus: out ahb_slv_out_vector;
ahbsi_slv: out ahb_slv_in_vector_type(NAHBSLV-1 downto 0);
ahbso_slv: in ahb_slv_out_vector
);
end component;
component ahbmwba is
generic (
hindex: integer;
ahbbits: integer;
blocksz: integer := 16
);
port (
clk: in std_ulogic;
rst: in std_ulogic;
ahbmo_mst : in ahb_mst_out_type;
ahbmi_mst: out ahb_mst_in_type;
ahbmo_bus: out ahb_mst_out_type;
ahbmi_bus: in ahb_mst_in_type
);
end component;
component ahbpl is
generic (
ahbbits: integer;
blocksz: integer := 16;
prefmask: integer := 16#ffff#;
wrretry: integer range 0 to 2 := 2
);
port (
clk: in std_ulogic;
rst: in std_ulogic;
-- Bus-side slave inputs
bi_hready: in std_ulogic;
bi_hsel: in std_ulogic;
bi_htrans: in std_logic_vector(1 downto 0);
bi_hsize: in std_logic_vector(2 downto 0);
bi_hburst: in std_logic_vector(2 downto 0);
bi_hwrite: in std_ulogic;
bi_haddr: in std_logic_vector(31 downto 0);
bi_hwdata: in std_logic_vector(ahbbits-1 downto 0);
bi_hmbsel: in std_logic_vector(0 to NAHBAMR-1);
bi_hmaster: in std_logic_vector(3 downto 0);
bi_hprot: in std_logic_vector(3 downto 0);
bi_hmastlock: in std_ulogic;
-- Bus-side slave outputs
bo_hready: out std_ulogic;
bo_hresp : out std_logic_vector(1 downto 0);
bo_hrdata: out std_logic_vector(ahbbits-1 downto 0);
-- Slave-side slave inputs
si_hready: out std_ulogic;
si_htrans: out std_logic_vector(1 downto 0);
si_hsize: out std_logic_vector(2 downto 0);
si_hburst: out std_logic_vector(2 downto 0);
si_hwrite: out std_ulogic;
si_haddr: out std_logic_vector(31 downto 0);
si_hwdata: out std_logic_vector(ahbbits-1 downto 0);
si_hmbsel: out std_logic_vector(0 to NAHBAMR-1);
si_hmaster: out std_logic_vector(3 downto 0);
si_hprot : out std_logic_vector(3 downto 0);
si_hmastlock: out std_ulogic;
-- Slave-side slave outputs
so_hready: in std_ulogic;
so_hresp: in std_logic_vector(1 downto 0);
so_hrdata: in std_logic_vector(ahbbits-1 downto 0);
-- For use in master mode
mi_hgrant: in std_ulogic;
mo_hbusreq: out std_ulogic
);
end component;
component ahbpls is
generic (
hindex: integer;
ahbbits: integer;
blocksz: integer := 16;
prefmask: integer := 16#ffff#
);
port (
clk: in std_ulogic;
rst: in std_ulogic;
bi: in ahb_slv_in_type;
bo: out ahb_slv_out_type;
si: out ahb_slv_in_type;
so: in ahb_slv_out_type
);
end component;
component ahbplm is
generic (
hindex: integer;
ahbbits: integer;
blocksz: integer := 16;
prefmask: integer := 16#ffff#
);
port (
clk: in std_ulogic;
rst: in std_ulogic;
mi: out ahb_mst_in_type;
mo: in ahb_mst_out_type;
bi: in ahb_mst_in_type;
bo: out ahb_mst_out_type
);
end component;
-----------------------------------------------------------------------------
-- GRPULSE
-----------------------------------------------------------------------------
component grpulse
generic (
pindex: Integer := 0;
paddr: Integer := 0;
pmask: Integer := 16#fff#;
pirq: Integer := 1; -- Interrupt index
nchannel: Integer := 24; -- Number of channels
npulse: Integer := 8; -- Channels with pulses
imask: Integer := 16#ff0000#; -- Interrupt mask
ioffset: Integer := 8; -- Interrupt offset
invertpulse: Integer := 0; -- Invert pulses
cntrwidth: Integer := 10; -- Width of counter
syncrst: Integer := 1; -- Only synchronous reset
oepol: Integer := 1); -- Output enable polarity
port (
rstn: in Std_ULogic;
clk: in Std_ULogic;
apbi: in apb_slv_in_type;
apbo: out apb_slv_out_type;
gpioi: in gpio_in_type;
gpioo: out gpio_out_type);
end component;
-----------------------------------------------------------------------------
-- GRTIMER
-----------------------------------------------------------------------------
component grtimer is
generic (
pindex: Integer := 0;
paddr: Integer := 0;
pmask: Integer := 16#fff#;
pirq: Integer := 1;
sepirq: Integer := 1; -- separate interrupts
sbits: Integer := 10; -- scaler bits
ntimers: Integer range 1 to 7 := 2; -- number of timers
nbits: Integer := 32; -- timer bits
wdog: Integer := 0;
glatch: Integer := 0;
gextclk: Integer := 0;
gset: Integer := 0);
port (
rst: in Std_ULogic;
clk: in Std_ULogic;
apbi: in apb_slv_in_type;
apbo: out apb_slv_out_type;
gpti: in gptimer_in_type;
gpto: out gptimer_out_type);
end component;
-----------------------------------------------------------------------------
-- GRVERSION
-----------------------------------------------------------------------------
component grversion
generic (
pindex: Integer := 0;
paddr: Integer := 0;
pmask: Integer := 16#fff#;
versionnr: Integer := 16#0123#;
revisionnr: Integer := 16#4567#);
port (
rstn: in Std_ULogic;
clk: in Std_ULogic;
apbi: in APB_Slv_In_Type;
apbo: out APB_Slv_Out_Type);
end component;
-----------------------------------------------------------------------------
-- AHBFROM - Microsemi/Actel Flash ROM
-----------------------------------------------------------------------------
component ahbfrom is
generic (
tech: integer := 0;
hindex: integer := 0;
haddr: integer := 0;
hmask: integer := 16#fff#;
width8: integer := 0;
memoryfile: string := "from.mem";
progfile: string := "from.ufc");
port (
rstn: in std_ulogic;
clk: in std_ulogic;
ahbi: in ahb_slv_in_type;
ahbo: out ahb_slv_out_type);
end component;
-----------------------------------------------------------------------------
-- Interrupt generator
-----------------------------------------------------------------------------
component irqgen
generic (
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
ngen : integer range 1 to 15 := 1
);
port (
rstn : in std_ulogic;
clk : in std_ulogic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type
);
end component;
-----------------------------------------------------------------------------
-- Function declarations
-----------------------------------------------------------------------------
-- function nandtree(v : std_logic_vector) return std_ulogic;
end;
package body misc is
function gpti_dhalt_drive (dhalt : std_ulogic) return gptimer_in_type is
variable gpti : gptimer_in_type;
begin
gpti := (dhalt, '0', '0', zxirq, zxirq);
return gpti;
end;
function ahb2ahb_membar(memaddr : ahb_addr_type; prefetch, cache : std_ulogic;
addrmask : ahb_addr_type)
return integer is
variable tmp : std_logic_vector(29 downto 0);
variable bar : std_logic_vector(31 downto 0);
variable res : integer range 0 to 1073741823;
begin
bar := ahb_membar(memaddr, prefetch, cache, addrmask);
tmp := (others => '0');
tmp(29 downto 18) := bar(31 downto 20);
tmp(17 downto 0) := bar(17 downto 0);
res := conv_integer(tmp);
return(res);
end;
function ahb2ahb_iobar(memaddr : ahb_addr_type; addrmask : ahb_addr_type)
return integer is
variable tmp : std_logic_vector(29 downto 0);
variable bar : std_logic_vector(31 downto 0);
variable res : integer range 0 to 1073741823;
begin
bar := ahb_iobar(memaddr, addrmask);
tmp := (others => '0');
tmp(29 downto 18) := bar(31 downto 20);
tmp(17 downto 0) := bar(17 downto 0);
res := conv_integer(tmp);
return(res);
end;
-- function nandtree(v : std_logic_vector) return std_ulogic is
-- variable a : std_logic_vector(v'length-1 downto 0);
-- variable b : std_logic_vector(v'length downto 0);
-- begin
--
-- a := v; b(0) := '1';
--
-- for i in 0 to v'length-1 loop
-- b(i+1) := a(i) nand b(i);
-- end loop;
--
-- return b(v'length);
--
-- end;
end;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package misc_pkg is
type array_of_natural is array(natural range <>) of natural;
function zeros(count : natural; width : natural) return std_logic_vector;
function zeros(count : natural) return std_logic_vector;
end package;
package body misc_pkg is
function zeros(count : natural; width : natural) return std_logic_vector
is
constant vec : std_logic_vector(count * width - 1 downto 0) := (others => '0');
begin
return vec;
end function;
function zeros(count : natural) return std_logic_vector
is begin
return zeros(count, 1);
end function;
end package body;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_05_tb_05_08.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $
-- $Revision: 1.1.1.1 $
--
-- ---------------------------------------------------------------------
architecture do_nothing of ROM is
begin
end architecture do_nothing;
entity tb_05_08 is
end entity tb_05_08;
architecture test of tb_05_08 is
signal address : natural := 0;
signal data : bit_vector(0 to 7);
signal enable : bit := '0';
begin
dut : entity work.ROM(do_nothing)
port map ( address => address, data => data, enable => enable );
stimulus : process is
begin
wait for 100 ns;
address <= 1000; wait for 10 ns;
enable <= '1', '0' after 10 ns; wait for 90 ns;
address <= 1004; wait for 10 ns;
enable <= '1', '0' after 10 ns; wait for 90 ns;
address <= 1008; wait for 10 ns;
enable <= '1', '0' after 10 ns; wait for 90 ns;
wait;
end process stimulus;
end architecture test;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_05_tb_05_08.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $
-- $Revision: 1.1.1.1 $
--
-- ---------------------------------------------------------------------
architecture do_nothing of ROM is
begin
end architecture do_nothing;
entity tb_05_08 is
end entity tb_05_08;
architecture test of tb_05_08 is
signal address : natural := 0;
signal data : bit_vector(0 to 7);
signal enable : bit := '0';
begin
dut : entity work.ROM(do_nothing)
port map ( address => address, data => data, enable => enable );
stimulus : process is
begin
wait for 100 ns;
address <= 1000; wait for 10 ns;
enable <= '1', '0' after 10 ns; wait for 90 ns;
address <= 1004; wait for 10 ns;
enable <= '1', '0' after 10 ns; wait for 90 ns;
address <= 1008; wait for 10 ns;
enable <= '1', '0' after 10 ns; wait for 90 ns;
wait;
end process stimulus;
end architecture test;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_05_tb_05_08.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $
-- $Revision: 1.1.1.1 $
--
-- ---------------------------------------------------------------------
architecture do_nothing of ROM is
begin
end architecture do_nothing;
entity tb_05_08 is
end entity tb_05_08;
architecture test of tb_05_08 is
signal address : natural := 0;
signal data : bit_vector(0 to 7);
signal enable : bit := '0';
begin
dut : entity work.ROM(do_nothing)
port map ( address => address, data => data, enable => enable );
stimulus : process is
begin
wait for 100 ns;
address <= 1000; wait for 10 ns;
enable <= '1', '0' after 10 ns; wait for 90 ns;
address <= 1004; wait for 10 ns;
enable <= '1', '0' after 10 ns; wait for 90 ns;
address <= 1008; wait for 10 ns;
enable <= '1', '0' after 10 ns; wait for 90 ns;
wait;
end process stimulus;
end architecture test;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 11:38:12 03/08/2017
-- Design Name:
-- Module Name: vga_init - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity vga_init is
Port ( CLK : in STD_LOGIC;
ADC_DOA : in STD_LOGIC_VECTOR(13 downto 0);
ADC_DOB : in STD_LOGIC_VECTOR(13 downto 0);
ADC_Busy : in STD_LOGIC;
VGA_R : out STD_LOGIC;
VGA_G : out STD_LOGIC;
VGA_B : out STD_LOGIC;
VGA_HS : out STD_LOGIC;
VGA_VS : out STD_LOGIC;
AMP_WE : out STD_LOGIC;
AMP_DI : out STD_LOGIC_VECTOR(7 downto 0);
ADC_Start : out STD_LOGIC;
Line : out STD_LOGIC_VECTOR(63 downto 0);
Blank : out STD_LOGIC_VECTOR(15 downto 0));
end vga_init;
architecture Behavioral of vga_init is
-- x = HPOS, y = VPOS
constant HPOS_MAX : integer := 1039;
constant VPOS_MAX : integer := 665;
-- constant HT_S : integer := 1040;
constant HT_DISP : integer := 800;
constant HT_PW : integer := 120;
constant HT_FP : integer := 64;
constant HT_BP : integer := 56;
-- constant VT_S : integer := 666;
constant VT_DISP : integer := 600;
constant VT_PW : integer := 6;
constant VT_FP : integer := 37;
constant VT_BP : integer := 23;
constant NUM0 : integer := 0;
constant NUM3 : integer := 3;
constant NUM97 : integer := 97;
signal HPOS : integer range 0 to HPOS_MAX := 0;
signal VPOS : integer range 0 to VPOS_MAX := 0;
constant BLUE : STD_LOGIC_VECTOR(0 to 2) := "001";
constant YELLOW : STD_LOGIC_VECTOR(0 to 2) := "110";
constant SIDE : integer := 50;
signal BOX_HPOS : integer range -100 to HT_DISP := 400;
signal BOX_VPOS : integer range -100 to VT_DISP := 300;
begin
HPOS_CNT: process (CLK)
begin
if rising_edge(CLK) then
if HPOS = HPOS_MAX then
HPOS <= 0;
else
HPOS <= HPOS + 1;
end if;
end if;
end process HPOS_CNT;
VPOS_CNT: process (CLK)
begin
if rising_edge(CLK) and HPOS = HPOS_MAX then
if VPOS = VPOS_MAX then
VPOS <= 0;
else
VPOS <= VPOS + 1;
end if;
end if;
end process VPOS_CNT;
VGA_HS <= '1' when HPOS >= HT_DISP + HT_FP and HPOS < HPOS_MAX - HT_BP else '0';
VGA_VS <= '1' when VPOS >= VT_DISP + VT_FP and VPOS < VPOS_MAX - VT_BP else '0';
VGA_R <= '1' when HPOS < HT_DISP and VPOS < VT_DISP else '0';
VGA_G <= '1' when HPOS > BOX_HPOS and HPOS < BOX_HPOS + SIDE and VPOS > 300 and VPOS < 300 + SIDE else '0';
AMP_WE <= '1' when HPOS = 0 and VPOS = 0 else '0';
AMP_DI <= X"11";
ADC_Start <= '1' when HPOS = HT_DISP and VPOS = VT_DISP else '0';
Blank <= X"0F0F";
Line <= "00" & ADC_DOA & X"0000" & "00" & ADC_DOB & X"0000";
BOX: process (HPOS, VPOS)
begin
if HPOS = 0 and VPOS = 0 then
BOX_HPOS <= BOX_HPOS + to_integer(signed(ADC_DOA(13 downto 11)));
BOX_VPOS <= BOX_VPOS + to_integer(signed(ADC_DOB(13 downto 11)));
end if;
if BOX_HPOS < 0 then
BOX_HPOS <= 0;
elsif BOX_HPOS > HT_DISP - SIDE then
BOX_HPOS <= HT_DISP - SIDE;
end if;
if BOX_VPOS < 0 then
BOX_VPOS <= 0;
elsif BOX_VPOS > VT_DISP - SIDE then
BOX_VPOS <= VT_DISP - SIDE;
end if;
end process BOX;
-- BOX_HPOS <= BOX_HPOS + to_integer(signed(ADC_DOA(13 downto 12)));
end Behavioral;
|
ARCHITECTURE RTL OF FIFO IS
BEGIN
END ARCHITECTURE RTL;
|
--------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_tb.vhd
--
-- Description:
-- This is the demo testbench top file for fifo_generator core.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY ieee;
LIBRARY std;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
USE IEEE.std_logic_arith.ALL;
USE IEEE.std_logic_misc.ALL;
USE ieee.numeric_std.ALL;
USE ieee.std_logic_textio.ALL;
USE std.textio.ALL;
LIBRARY work;
USE work.system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_pkg.ALL;
ENTITY system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_tb IS
END ENTITY;
ARCHITECTURE system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_arch OF system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_tb IS
SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000";
SIGNAL wr_clk : STD_LOGIC;
SIGNAL reset : STD_LOGIC;
SIGNAL sim_done : STD_LOGIC := '0';
SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0');
-- Write and Read clock periods
CONSTANT wr_clk_period_by_2 : TIME := 200 ns;
-- Procedures to display strings
PROCEDURE disp_str(CONSTANT str:IN STRING) IS
variable dp_l : line := null;
BEGIN
write(dp_l,str);
writeline(output,dp_l);
END PROCEDURE;
PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS
variable dp_lx : line := null;
BEGIN
hwrite(dp_lx,hex);
writeline(output,dp_lx);
END PROCEDURE;
BEGIN
-- Generation of clock
PROCESS BEGIN
WAIT FOR 400 ns; -- Wait for global reset
WHILE 1 = 1 LOOP
wr_clk <= '0';
WAIT FOR wr_clk_period_by_2;
wr_clk <= '1';
WAIT FOR wr_clk_period_by_2;
END LOOP;
END PROCESS;
-- Generation of Reset
PROCESS BEGIN
reset <= '1';
WAIT FOR 4200 ns;
reset <= '0';
WAIT;
END PROCESS;
-- Error message printing based on STATUS signal from system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_synth
PROCESS(status)
BEGIN
IF(status /= "0" AND status /= "1") THEN
disp_str("STATUS:");
disp_hex(status);
END IF;
IF(status(7) = '1') THEN
assert false
report "Data mismatch found"
severity error;
END IF;
IF(status(1) = '1') THEN
END IF;
IF(status(3) = '1') THEN
assert false
report "Almost Empty flag Mismatch/timeout"
severity error;
END IF;
IF(status(5) = '1') THEN
assert false
report "Empty flag Mismatch/timeout"
severity error;
END IF;
IF(status(6) = '1') THEN
assert false
report "Full Flag Mismatch/timeout"
severity error;
END IF;
END PROCESS;
PROCESS
BEGIN
wait until sim_done = '1';
IF(status /= "0" AND status /= "1") THEN
assert false
report "Simulation failed"
severity failure;
ELSE
assert false
report "Test Completed Successfully"
severity failure;
END IF;
END PROCESS;
PROCESS
BEGIN
wait for 400 ms;
assert false
report "Test bench timed out"
severity failure;
END PROCESS;
-- Instance of system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_synth
system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_synth_inst:system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_synth
GENERIC MAP(
FREEZEON_ERROR => 0,
TB_STOP_CNT => 2,
TB_SEED => 21
)
PORT MAP(
CLK => wr_clk,
RESET => reset,
SIM_DONE => sim_done,
STATUS => status
);
END ARCHITECTURE;
|
package STRSYN is
attribute SigDir : string;
attribute SigType : string;
attribute SigBias : string;
end STRSYN;
entity op is
port (
terminal in1: electrical;
terminal in2: electrical;
terminal out1: electrical;
terminal vbias1: electrical;
terminal vdd: electrical;
terminal vbias2: electrical;
terminal gnd: electrical;
terminal vbias3: electrical;
terminal vbias4: electrical);
end op;
architecture simple of op is
-- Attributes for Ports
attribute SigDir of in1:terminal is "input";
attribute SigType of in1:terminal is "voltage";
attribute SigDir of in2:terminal is "input";
attribute SigType of in2:terminal is "voltage";
attribute SigDir of out1:terminal is "output";
attribute SigType of out1:terminal is "voltage";
attribute SigDir of vbias1:terminal is "reference";
attribute SigType of vbias1:terminal is "voltage";
attribute SigDir of vdd:terminal is "reference";
attribute SigType of vdd:terminal is "current";
attribute SigBias of vdd:terminal is "positive";
attribute SigDir of vbias2:terminal is "reference";
attribute SigType of vbias2:terminal is "voltage";
attribute SigDir of gnd:terminal is "reference";
attribute SigType of gnd:terminal is "current";
attribute SigBias of gnd:terminal is "negative";
attribute SigDir of vbias3:terminal is "reference";
attribute SigType of vbias3:terminal is "voltage";
attribute SigDir of vbias4:terminal is "reference";
attribute SigType of vbias4:terminal is "voltage";
terminal net1: electrical;
terminal net2: electrical;
terminal net3: electrical;
terminal net4: electrical;
terminal net5: electrical;
terminal net6: electrical;
terminal net7: electrical;
terminal net8: electrical;
terminal net9: electrical;
terminal net10: electrical;
terminal net11: electrical;
terminal net12: electrical;
terminal net13: electrical;
begin
subnet0_subnet0_m1 : entity pmos(behave)
generic map(
L => Ldiff_0,
W => Wdiff_0,
scope => private
)
port map(
D => net2,
G => in1,
S => net6
);
subnet0_subnet0_m2 : entity pmos(behave)
generic map(
L => Ldiff_0,
W => Wdiff_0,
scope => private
)
port map(
D => net1,
G => in2,
S => net6
);
subnet0_subnet0_m3 : entity pmos(behave)
generic map(
L => LBias,
W => W_0
)
port map(
D => net6,
G => vbias1,
S => vdd
);
subnet0_subnet1_m1 : entity pmos(behave)
generic map(
L => LBias,
W => Wcasc_2,
scope => Wprivate,
symmetry_scope => sym_7
)
port map(
D => net3,
G => vbias2,
S => net1
);
subnet0_subnet2_m1 : entity pmos(behave)
generic map(
L => LBias,
W => Wcasc_2,
scope => Wprivate,
symmetry_scope => sym_7
)
port map(
D => net4,
G => vbias2,
S => net2
);
subnet0_subnet3_m1 : entity nmos(behave)
generic map(
L => LBias,
W => Wcmcasc_3,
scope => Wprivate,
symmetry_scope => sym_8
)
port map(
D => net3,
G => vbias3,
S => net7
);
subnet0_subnet3_m2 : entity nmos(behave)
generic map(
L => Lcm_3,
W => Wcm_3,
scope => private,
symmetry_scope => sym_8
)
port map(
D => net7,
G => net3,
S => gnd
);
subnet0_subnet3_m3 : entity nmos(behave)
generic map(
L => Lcm_3,
W => Wcmout_3,
scope => private,
symmetry_scope => sym_8
)
port map(
D => net8,
G => net3,
S => gnd
);
subnet0_subnet3_m4 : entity nmos(behave)
generic map(
L => LBias,
W => Wcmcasc_3,
scope => Wprivate,
symmetry_scope => sym_8
)
port map(
D => net5,
G => vbias3,
S => net8
);
subnet0_subnet4_m1 : entity nmos(behave)
generic map(
L => LBias,
W => Wcmcasc_3,
scope => Wprivate,
symmetry_scope => sym_8
)
port map(
D => net4,
G => vbias3,
S => net9
);
subnet0_subnet4_m2 : entity nmos(behave)
generic map(
L => Lcm_3,
W => Wcm_3,
scope => private,
symmetry_scope => sym_8
)
port map(
D => net9,
G => net4,
S => gnd
);
subnet0_subnet4_m3 : entity nmos(behave)
generic map(
L => Lcm_3,
W => Wcmout_3,
scope => private,
symmetry_scope => sym_8
)
port map(
D => net10,
G => net4,
S => gnd
);
subnet0_subnet4_m4 : entity nmos(behave)
generic map(
L => LBias,
W => Wcmcasc_3,
scope => Wprivate,
symmetry_scope => sym_8
)
port map(
D => out1,
G => vbias3,
S => net10
);
subnet0_subnet5_m1 : entity pmos(behave)
generic map(
L => LBias,
W => Wcmcasc_1,
scope => Wprivate
)
port map(
D => net5,
G => vbias2,
S => net11
);
subnet0_subnet5_m2 : entity pmos(behave)
generic map(
L => Lcm_1,
W => Wcm_1,
scope => private
)
port map(
D => net11,
G => net5,
S => vdd
);
subnet0_subnet5_m3 : entity pmos(behave)
generic map(
L => Lcm_1,
W => Wcmout_1,
scope => private
)
port map(
D => net12,
G => net5,
S => vdd
);
subnet0_subnet5_m4 : entity pmos(behave)
generic map(
L => LBias,
W => Wcmcasc_1,
scope => Wprivate
)
port map(
D => out1,
G => vbias2,
S => net12
);
subnet1_subnet0_m1 : entity pmos(behave)
generic map(
L => LBias,
W => (pfak)*(WBias)
)
port map(
D => vbias1,
G => vbias1,
S => vdd
);
subnet1_subnet0_m2 : entity pmos(behave)
generic map(
L => (pfak)*(LBias),
W => (pfak)*(WBias)
)
port map(
D => vbias2,
G => vbias2,
S => vbias1
);
subnet1_subnet0_i1 : entity idc(behave)
generic map(
dc => 1.145e-05
)
port map(
P => vdd,
N => vbias3
);
subnet1_subnet0_m3 : entity nmos(behave)
generic map(
L => (pfak)*(LBias),
W => WBias
)
port map(
D => vbias3,
G => vbias3,
S => vbias4
);
subnet1_subnet0_m4 : entity nmos(behave)
generic map(
L => LBias,
W => WBias
)
port map(
D => vbias2,
G => vbias3,
S => net13
);
subnet1_subnet0_m5 : entity nmos(behave)
generic map(
L => LBias,
W => WBias
)
port map(
D => vbias4,
G => vbias4,
S => gnd
);
subnet1_subnet0_m6 : entity nmos(behave)
generic map(
L => LBias,
W => WBias
)
port map(
D => net13,
G => vbias4,
S => gnd
);
end simple;
|
-- Taken from a comment https://github.com/universal-ctags/ctags/issues/2678
-- submitted by @pidgeon777
library ieee;
use ieee.std_logic_1164.all;
entity ENTITY_TOP is
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end entity;
architecture arch of ENTITY_TOP is
signal sig : std_logic := '0';
component ENTITY_1
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end component;
component ENTITY_2
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end component;
begin
ENTITY_1_i : ENTITY_1
generic map(
GEN => 0
)
port map(
INP => '0'
);
ENTITY_2_i : ENTITY_2
generic map(
GEN => 0
)
port map(
INP => '0'
);
end architecture;
|
-- Taken from a comment https://github.com/universal-ctags/ctags/issues/2678
-- submitted by @pidgeon777
library ieee;
use ieee.std_logic_1164.all;
entity ENTITY_TOP is
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end entity;
architecture arch of ENTITY_TOP is
signal sig : std_logic := '0';
component ENTITY_1
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end component;
component ENTITY_2
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end component;
begin
ENTITY_1_i : ENTITY_1
generic map(
GEN => 0
)
port map(
INP => '0'
);
ENTITY_2_i : ENTITY_2
generic map(
GEN => 0
)
port map(
INP => '0'
);
end architecture;
|
-- Taken from a comment https://github.com/universal-ctags/ctags/issues/2678
-- submitted by @pidgeon777
library ieee;
use ieee.std_logic_1164.all;
entity ENTITY_TOP is
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end entity;
architecture arch of ENTITY_TOP is
signal sig : std_logic := '0';
component ENTITY_1
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end component;
component ENTITY_2
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end component;
begin
ENTITY_1_i : ENTITY_1
generic map(
GEN => 0
)
port map(
INP => '0'
);
ENTITY_2_i : ENTITY_2
generic map(
GEN => 0
)
port map(
INP => '0'
);
end architecture;
|
-- Taken from a comment https://github.com/universal-ctags/ctags/issues/2678
-- submitted by @pidgeon777
library ieee;
use ieee.std_logic_1164.all;
entity ENTITY_TOP is
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end entity;
architecture arch of ENTITY_TOP is
signal sig : std_logic := '0';
component ENTITY_1
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end component;
component ENTITY_2
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end component;
begin
ENTITY_1_i : ENTITY_1
generic map(
GEN => 0
)
port map(
INP => '0'
);
ENTITY_2_i : ENTITY_2
generic map(
GEN => 0
)
port map(
INP => '0'
);
end architecture;
|
-- Taken from a comment https://github.com/universal-ctags/ctags/issues/2678
-- submitted by @pidgeon777
library ieee;
use ieee.std_logic_1164.all;
entity ENTITY_TOP is
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end entity;
architecture arch of ENTITY_TOP is
signal sig : std_logic := '0';
component ENTITY_1
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end component;
component ENTITY_2
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end component;
begin
ENTITY_1_i : ENTITY_1
generic map(
GEN => 0
)
port map(
INP => '0'
);
ENTITY_2_i : ENTITY_2
generic map(
GEN => 0
)
port map(
INP => '0'
);
end architecture;
|
-- Taken from a comment https://github.com/universal-ctags/ctags/issues/2678
-- submitted by @pidgeon777
library ieee;
use ieee.std_logic_1164.all;
entity ENTITY_TOP is
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end entity;
architecture arch of ENTITY_TOP is
signal sig : std_logic := '0';
component ENTITY_1
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end component;
component ENTITY_2
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end component;
begin
ENTITY_1_i : ENTITY_1
generic map(
GEN => 0
)
port map(
INP => '0'
);
ENTITY_2_i : ENTITY_2
generic map(
GEN => 0
)
port map(
INP => '0'
);
end architecture;
|
-- Taken from a comment https://github.com/universal-ctags/ctags/issues/2678
-- submitted by @pidgeon777
library ieee;
use ieee.std_logic_1164.all;
entity ENTITY_TOP is
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end entity;
architecture arch of ENTITY_TOP is
signal sig : std_logic := '0';
component ENTITY_1
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end component;
component ENTITY_2
generic (
GEN : integer := 0
);
port (
INP : in std_logic
);
end component;
begin
ENTITY_1_i : ENTITY_1
generic map(
GEN => 0
)
port map(
INP => '0'
);
ENTITY_2_i : ENTITY_2
generic map(
GEN => 0
)
port map(
INP => '0'
);
end architecture;
|
-- -------------------------------------------------------------
--
-- Entity Declaration for inst_2_e
--
-- Generated
-- by: wig
-- on: Wed Nov 30 06:48:17 2005
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -strip -nodelta ../generic.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_2_e-e.vhd,v 1.3 2005/11/30 14:04:04 wig Exp $
-- $Date: 2005/11/30 14:04:04 $
-- $Log: inst_2_e-e.vhd,v $
-- Revision 1.3 2005/11/30 14:04:04 wig
-- Updated testcase references
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.71 2005/11/22 11:00:47 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.42 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_2_e
--
entity inst_2_e is
-- Generics:
generic(
-- Generated Generics for Entity inst_2_e
FOO : integer := 10 -- Generic generator, value
-- End of Generated Generics for Entity inst_2_e
);
-- Generated Port Declaration:
-- No Generated Port for Entity inst_2_e
end inst_2_e;
--
-- End of Generated Entity inst_2_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
|
-- -------------------------------------------------------------
--
-- File Name: hdl_prj\hdlsrc\lms\lms_pcore_addr_decoder.vhd
-- Created: 2015-06-19 16:39:46
--
-- Generated by MATLAB 8.5 and HDL Coder 3.6
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: lms_pcore_addr_decoder
-- Source Path: lms_pcore/lms_pcore_axi_lite/lms_pcore_addr_decoder
-- Hierarchy Level: 2
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
ENTITY lms_pcore_addr_decoder IS
PORT( clk : IN std_logic;
reset : IN std_logic;
data_write : IN std_logic_vector(31 DOWNTO 0); -- ufix32
addr_sel : IN std_logic_vector(13 DOWNTO 0); -- ufix14
wr_enb : IN std_logic; -- ufix1
rd_enb : IN std_logic; -- ufix1
read_cop_out_ready : IN std_logic; -- ufix1
cop_reg_strobe : IN std_logic; -- ufix1
read_e_k : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14
data_read : OUT std_logic_vector(31 DOWNTO 0); -- ufix32
write_axi_enable : OUT std_logic; -- ufix1
strobe_cop_in_strobe : OUT std_logic; -- ufix1
write_x_k : OUT std_logic_vector(15 DOWNTO 0); -- sfix16_En14
write_d_k : OUT std_logic_vector(15 DOWNTO 0) -- sfix16_En14
);
END lms_pcore_addr_decoder;
ARCHITECTURE rtl OF lms_pcore_addr_decoder IS
-- Signals
SIGNAL enb : std_logic;
SIGNAL addr_sel_unsigned : unsigned(13 DOWNTO 0); -- ufix14
SIGNAL decode_sel_cop_out_ready : std_logic; -- ufix1
SIGNAL const_1 : std_logic; -- ufix1
SIGNAL read_e_k_signed : signed(15 DOWNTO 0); -- sfix16_En14
SIGNAL cop_reg_strobe_1 : std_logic; -- ufix1
SIGNAL decode_sel_e_k : std_logic; -- ufix1
SIGNAL const_0 : unsigned(31 DOWNTO 0); -- ufix32
SIGNAL read_reg_cop_out_ready : std_logic; -- ufix1
SIGNAL data_in_cop_out_ready : unsigned(31 DOWNTO 0); -- ufix32
SIGNAL decode_rd_cop_out_ready : unsigned(31 DOWNTO 0); -- ufix32
SIGNAL sync_reg_e_k : signed(15 DOWNTO 0); -- sfix16_En14
SIGNAL data_in_e_k : unsigned(31 DOWNTO 0); -- ufix32
SIGNAL decode_rd_e_k : unsigned(31 DOWNTO 0); -- ufix32
SIGNAL data_write_unsigned : unsigned(31 DOWNTO 0); -- ufix32
SIGNAL data_in_axi_enable : std_logic; -- ufix1
SIGNAL decode_sel_axi_enable : std_logic; -- ufix1
SIGNAL reg_enb_axi_enable : std_logic; -- ufix1
SIGNAL write_reg_axi_enable : std_logic; -- ufix1
SIGNAL decode_sel_cop_in_strobe : std_logic; -- ufix1
SIGNAL strobe_sel_cop_in_strobe : std_logic; -- ufix1
SIGNAL const_zero : std_logic; -- ufix1
SIGNAL strobe_in_cop_in_strobe : std_logic; -- ufix1
SIGNAL strobe_sw_cop_in_strobe : std_logic; -- ufix1
SIGNAL strobe_reg_cop_in_strobe : std_logic; -- ufix1
SIGNAL data_in_x_k : signed(15 DOWNTO 0); -- sfix16_En14
SIGNAL decode_sel_x_k : std_logic; -- ufix1
SIGNAL reg_enb_x_k : std_logic; -- ufix1
SIGNAL write_reg_x_k : signed(15 DOWNTO 0); -- sfix16_En14
SIGNAL data_in_d_k : signed(15 DOWNTO 0); -- sfix16_En14
SIGNAL decode_sel_d_k : std_logic; -- ufix1
SIGNAL reg_enb_d_k : std_logic; -- ufix1
SIGNAL write_reg_d_k : signed(15 DOWNTO 0); -- sfix16_En14
BEGIN
addr_sel_unsigned <= unsigned(addr_sel);
decode_sel_cop_out_ready <= '1' WHEN addr_sel_unsigned = to_unsigned(16#0003#, 14) ELSE
'0';
const_1 <= '1';
enb <= const_1;
read_e_k_signed <= signed(read_e_k);
cop_reg_strobe_1 <= cop_reg_strobe;
decode_sel_e_k <= '1' WHEN addr_sel_unsigned = to_unsigned(16#0042#, 14) ELSE
'0';
const_0 <= to_unsigned(0, 32);
reg_cop_out_ready_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
read_reg_cop_out_ready <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
read_reg_cop_out_ready <= read_cop_out_ready;
END IF;
END IF;
END PROCESS reg_cop_out_ready_process;
data_in_cop_out_ready <= '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & read_reg_cop_out_ready;
decode_rd_cop_out_ready <= const_0 WHEN decode_sel_cop_out_ready = '0' ELSE
data_in_cop_out_ready;
reg_e_k_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
sync_reg_e_k <= to_signed(16#0000#, 16);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' AND cop_reg_strobe_1 = '1' THEN
sync_reg_e_k <= read_e_k_signed;
END IF;
END IF;
END PROCESS reg_e_k_process;
data_in_e_k <= unsigned(resize(sync_reg_e_k, 32));
decode_rd_e_k <= decode_rd_cop_out_ready WHEN decode_sel_e_k = '0' ELSE
data_in_e_k;
data_read <= std_logic_vector(decode_rd_e_k);
data_write_unsigned <= unsigned(data_write);
data_in_axi_enable <= data_write_unsigned(0);
decode_sel_axi_enable <= '1' WHEN addr_sel_unsigned = to_unsigned(16#0001#, 14) ELSE
'0';
reg_enb_axi_enable <= decode_sel_axi_enable AND wr_enb;
reg_axi_enable_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
write_reg_axi_enable <= '1';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' AND reg_enb_axi_enable = '1' THEN
write_reg_axi_enable <= data_in_axi_enable;
END IF;
END IF;
END PROCESS reg_axi_enable_process;
write_axi_enable <= write_reg_axi_enable;
decode_sel_cop_in_strobe <= '1' WHEN addr_sel_unsigned = to_unsigned(16#0002#, 14) ELSE
'0';
strobe_sel_cop_in_strobe <= decode_sel_cop_in_strobe AND wr_enb;
const_zero <= '0';
strobe_in_cop_in_strobe <= data_write_unsigned(0);
strobe_sw_cop_in_strobe <= const_zero WHEN strobe_sel_cop_in_strobe = '0' ELSE
strobe_in_cop_in_strobe;
reg_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
strobe_reg_cop_in_strobe <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
strobe_reg_cop_in_strobe <= strobe_sw_cop_in_strobe;
END IF;
END IF;
END PROCESS reg_process;
strobe_cop_in_strobe <= strobe_reg_cop_in_strobe;
data_in_x_k <= signed(data_write_unsigned(15 DOWNTO 0));
decode_sel_x_k <= '1' WHEN addr_sel_unsigned = to_unsigned(16#0040#, 14) ELSE
'0';
reg_enb_x_k <= decode_sel_x_k AND wr_enb;
reg_x_k_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
write_reg_x_k <= to_signed(16#0000#, 16);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' AND reg_enb_x_k = '1' THEN
write_reg_x_k <= data_in_x_k;
END IF;
END IF;
END PROCESS reg_x_k_process;
write_x_k <= std_logic_vector(write_reg_x_k);
data_in_d_k <= signed(data_write_unsigned(15 DOWNTO 0));
decode_sel_d_k <= '1' WHEN addr_sel_unsigned = to_unsigned(16#0041#, 14) ELSE
'0';
reg_enb_d_k <= decode_sel_d_k AND wr_enb;
reg_d_k_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
write_reg_d_k <= to_signed(16#0000#, 16);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' AND reg_enb_d_k = '1' THEN
write_reg_d_k <= data_in_d_k;
END IF;
END IF;
END PROCESS reg_d_k_process;
write_d_k <= std_logic_vector(write_reg_d_k);
END rtl;
|
-- -------------------------------------------------------------
--
-- File Name: hdl_prj\hdlsrc\lms\lms_pcore_addr_decoder.vhd
-- Created: 2015-06-19 16:39:46
--
-- Generated by MATLAB 8.5 and HDL Coder 3.6
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: lms_pcore_addr_decoder
-- Source Path: lms_pcore/lms_pcore_axi_lite/lms_pcore_addr_decoder
-- Hierarchy Level: 2
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
ENTITY lms_pcore_addr_decoder IS
PORT( clk : IN std_logic;
reset : IN std_logic;
data_write : IN std_logic_vector(31 DOWNTO 0); -- ufix32
addr_sel : IN std_logic_vector(13 DOWNTO 0); -- ufix14
wr_enb : IN std_logic; -- ufix1
rd_enb : IN std_logic; -- ufix1
read_cop_out_ready : IN std_logic; -- ufix1
cop_reg_strobe : IN std_logic; -- ufix1
read_e_k : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14
data_read : OUT std_logic_vector(31 DOWNTO 0); -- ufix32
write_axi_enable : OUT std_logic; -- ufix1
strobe_cop_in_strobe : OUT std_logic; -- ufix1
write_x_k : OUT std_logic_vector(15 DOWNTO 0); -- sfix16_En14
write_d_k : OUT std_logic_vector(15 DOWNTO 0) -- sfix16_En14
);
END lms_pcore_addr_decoder;
ARCHITECTURE rtl OF lms_pcore_addr_decoder IS
-- Signals
SIGNAL enb : std_logic;
SIGNAL addr_sel_unsigned : unsigned(13 DOWNTO 0); -- ufix14
SIGNAL decode_sel_cop_out_ready : std_logic; -- ufix1
SIGNAL const_1 : std_logic; -- ufix1
SIGNAL read_e_k_signed : signed(15 DOWNTO 0); -- sfix16_En14
SIGNAL cop_reg_strobe_1 : std_logic; -- ufix1
SIGNAL decode_sel_e_k : std_logic; -- ufix1
SIGNAL const_0 : unsigned(31 DOWNTO 0); -- ufix32
SIGNAL read_reg_cop_out_ready : std_logic; -- ufix1
SIGNAL data_in_cop_out_ready : unsigned(31 DOWNTO 0); -- ufix32
SIGNAL decode_rd_cop_out_ready : unsigned(31 DOWNTO 0); -- ufix32
SIGNAL sync_reg_e_k : signed(15 DOWNTO 0); -- sfix16_En14
SIGNAL data_in_e_k : unsigned(31 DOWNTO 0); -- ufix32
SIGNAL decode_rd_e_k : unsigned(31 DOWNTO 0); -- ufix32
SIGNAL data_write_unsigned : unsigned(31 DOWNTO 0); -- ufix32
SIGNAL data_in_axi_enable : std_logic; -- ufix1
SIGNAL decode_sel_axi_enable : std_logic; -- ufix1
SIGNAL reg_enb_axi_enable : std_logic; -- ufix1
SIGNAL write_reg_axi_enable : std_logic; -- ufix1
SIGNAL decode_sel_cop_in_strobe : std_logic; -- ufix1
SIGNAL strobe_sel_cop_in_strobe : std_logic; -- ufix1
SIGNAL const_zero : std_logic; -- ufix1
SIGNAL strobe_in_cop_in_strobe : std_logic; -- ufix1
SIGNAL strobe_sw_cop_in_strobe : std_logic; -- ufix1
SIGNAL strobe_reg_cop_in_strobe : std_logic; -- ufix1
SIGNAL data_in_x_k : signed(15 DOWNTO 0); -- sfix16_En14
SIGNAL decode_sel_x_k : std_logic; -- ufix1
SIGNAL reg_enb_x_k : std_logic; -- ufix1
SIGNAL write_reg_x_k : signed(15 DOWNTO 0); -- sfix16_En14
SIGNAL data_in_d_k : signed(15 DOWNTO 0); -- sfix16_En14
SIGNAL decode_sel_d_k : std_logic; -- ufix1
SIGNAL reg_enb_d_k : std_logic; -- ufix1
SIGNAL write_reg_d_k : signed(15 DOWNTO 0); -- sfix16_En14
BEGIN
addr_sel_unsigned <= unsigned(addr_sel);
decode_sel_cop_out_ready <= '1' WHEN addr_sel_unsigned = to_unsigned(16#0003#, 14) ELSE
'0';
const_1 <= '1';
enb <= const_1;
read_e_k_signed <= signed(read_e_k);
cop_reg_strobe_1 <= cop_reg_strobe;
decode_sel_e_k <= '1' WHEN addr_sel_unsigned = to_unsigned(16#0042#, 14) ELSE
'0';
const_0 <= to_unsigned(0, 32);
reg_cop_out_ready_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
read_reg_cop_out_ready <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
read_reg_cop_out_ready <= read_cop_out_ready;
END IF;
END IF;
END PROCESS reg_cop_out_ready_process;
data_in_cop_out_ready <= '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & read_reg_cop_out_ready;
decode_rd_cop_out_ready <= const_0 WHEN decode_sel_cop_out_ready = '0' ELSE
data_in_cop_out_ready;
reg_e_k_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
sync_reg_e_k <= to_signed(16#0000#, 16);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' AND cop_reg_strobe_1 = '1' THEN
sync_reg_e_k <= read_e_k_signed;
END IF;
END IF;
END PROCESS reg_e_k_process;
data_in_e_k <= unsigned(resize(sync_reg_e_k, 32));
decode_rd_e_k <= decode_rd_cop_out_ready WHEN decode_sel_e_k = '0' ELSE
data_in_e_k;
data_read <= std_logic_vector(decode_rd_e_k);
data_write_unsigned <= unsigned(data_write);
data_in_axi_enable <= data_write_unsigned(0);
decode_sel_axi_enable <= '1' WHEN addr_sel_unsigned = to_unsigned(16#0001#, 14) ELSE
'0';
reg_enb_axi_enable <= decode_sel_axi_enable AND wr_enb;
reg_axi_enable_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
write_reg_axi_enable <= '1';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' AND reg_enb_axi_enable = '1' THEN
write_reg_axi_enable <= data_in_axi_enable;
END IF;
END IF;
END PROCESS reg_axi_enable_process;
write_axi_enable <= write_reg_axi_enable;
decode_sel_cop_in_strobe <= '1' WHEN addr_sel_unsigned = to_unsigned(16#0002#, 14) ELSE
'0';
strobe_sel_cop_in_strobe <= decode_sel_cop_in_strobe AND wr_enb;
const_zero <= '0';
strobe_in_cop_in_strobe <= data_write_unsigned(0);
strobe_sw_cop_in_strobe <= const_zero WHEN strobe_sel_cop_in_strobe = '0' ELSE
strobe_in_cop_in_strobe;
reg_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
strobe_reg_cop_in_strobe <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
strobe_reg_cop_in_strobe <= strobe_sw_cop_in_strobe;
END IF;
END IF;
END PROCESS reg_process;
strobe_cop_in_strobe <= strobe_reg_cop_in_strobe;
data_in_x_k <= signed(data_write_unsigned(15 DOWNTO 0));
decode_sel_x_k <= '1' WHEN addr_sel_unsigned = to_unsigned(16#0040#, 14) ELSE
'0';
reg_enb_x_k <= decode_sel_x_k AND wr_enb;
reg_x_k_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
write_reg_x_k <= to_signed(16#0000#, 16);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' AND reg_enb_x_k = '1' THEN
write_reg_x_k <= data_in_x_k;
END IF;
END IF;
END PROCESS reg_x_k_process;
write_x_k <= std_logic_vector(write_reg_x_k);
data_in_d_k <= signed(data_write_unsigned(15 DOWNTO 0));
decode_sel_d_k <= '1' WHEN addr_sel_unsigned = to_unsigned(16#0041#, 14) ELSE
'0';
reg_enb_d_k <= decode_sel_d_k AND wr_enb;
reg_d_k_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
write_reg_d_k <= to_signed(16#0000#, 16);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' AND reg_enb_d_k = '1' THEN
write_reg_d_k <= data_in_d_k;
END IF;
END IF;
END PROCESS reg_d_k_process;
write_d_k <= std_logic_vector(write_reg_d_k);
END rtl;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1196.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s01b00x00p05n01i01196ent IS
END c08s01b00x00p05n01i01196ent;
ARCHITECTURE c08s01b00x00p05n01i01196arch OF c08s01b00x00p05n01i01196ent IS
signal k : integer := 0;
BEGIN
TESTING: PROCESS
variable j : integer := 0;
BEGIN
k <= 5 after 5 ns;
j := 5;
wait until j;
assert FALSE
report "***FAILED TEST: c08s01b00x00p05n01i01196 - Reserved word 'until' must be followed by a boolean expression."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s01b00x00p05n01i01196arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1196.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s01b00x00p05n01i01196ent IS
END c08s01b00x00p05n01i01196ent;
ARCHITECTURE c08s01b00x00p05n01i01196arch OF c08s01b00x00p05n01i01196ent IS
signal k : integer := 0;
BEGIN
TESTING: PROCESS
variable j : integer := 0;
BEGIN
k <= 5 after 5 ns;
j := 5;
wait until j;
assert FALSE
report "***FAILED TEST: c08s01b00x00p05n01i01196 - Reserved word 'until' must be followed by a boolean expression."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s01b00x00p05n01i01196arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1196.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s01b00x00p05n01i01196ent IS
END c08s01b00x00p05n01i01196ent;
ARCHITECTURE c08s01b00x00p05n01i01196arch OF c08s01b00x00p05n01i01196ent IS
signal k : integer := 0;
BEGIN
TESTING: PROCESS
variable j : integer := 0;
BEGIN
k <= 5 after 5 ns;
j := 5;
wait until j;
assert FALSE
report "***FAILED TEST: c08s01b00x00p05n01i01196 - Reserved word 'until' must be followed by a boolean expression."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s01b00x00p05n01i01196arch;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 14:09:07 11/20/2016
-- Design Name:
-- Module Name: twosComp - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity twosComp is
Port ( operand : in STD_LOGIC_VECTOR (3 downto 0);
O : out STD_LOGIC_VECTOR (3 downto 0));
end twosComp;
architecture Behavioral of twosComp is
begin
main: process
begin
if (operand(3)='1') then
O <= "1110" and (not operand);
else
O <= operand;
end if;
end process;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer: Peter Fall
--
-- Create Date: 12:00:04 05/31/2011
-- Design Name:
-- Module Name: arp - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
-- handle simple IP lookup in cache
-- request cache fill through ARP protocol if required
-- cache is simple 1 deep
-- Handle ARP protocol
-- Respond to ARP requests and replies
-- Ignore pkts that are not ARP
-- Ignore pkts that are not addressed to us
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Revision 0.02 - Added req for mac tx and wait for grant
-- Revision 0.03 - Added data_out_first
-- Revision 0.04 - Added arp response timeout
-- Revision 0.05 - Added arp cache reset control
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.arp_types.all;
entity arp is
generic (
CLOCK_FREQ : integer := 125000000; -- freq of data_in_clk -- needed to timout cntr
ARP_TIMEOUT : integer := 60; -- ARP response timeout (s)
ARP_MAX_PKT_TMO : integer := 1; -- (added for compatibility with arpv2. this value not used in this impl)
MAX_ARP_ENTRIES : integer := 1 -- (added for compatibility with arpv2. this value not used in this impl)
);
Port (
-- lookup request signals
arp_req_req : in arp_req_req_type;
arp_req_rslt : out arp_req_rslt_type;
-- MAC layer RX signals
data_in_clk : in STD_LOGIC;
reset : in STD_LOGIC;
data_in : in STD_LOGIC_VECTOR (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame)
data_in_valid : in STD_LOGIC; -- indicates data_in valid on clock
data_in_last : in STD_LOGIC; -- indicates last data in frame
-- MAC layer TX signals
mac_tx_req : out std_logic; -- indicates that ip wants access to channel (stays up for as long as tx)
mac_tx_granted : in std_logic; -- indicates that access to channel has been granted
data_out_clk : in std_logic;
data_out_ready : in std_logic; -- indicates system ready to consume data
data_out_valid : out std_logic; -- indicates data out is valid
data_out_first : out std_logic; -- with data out valid indicates the first byte of a frame
data_out_last : out std_logic; -- with data out valid indicates the last byte of a frame
data_out : out std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame)
-- system signals
our_mac_address : in STD_LOGIC_VECTOR (47 downto 0);
our_ip_address : in STD_LOGIC_VECTOR (31 downto 0);
control : in arp_control_type;
req_count : out STD_LOGIC_VECTOR(7 downto 0) -- count of arp pkts received
);
end arp;
architecture Behavioral of arp is
type req_state_type is (IDLE,LOOKUP,REQUEST,WAIT_REPLY,PAUSE1,PAUSE2,PAUSE3);
type rx_state_type is (IDLE,PARSE,PROCESS_ARP,WAIT_END);
type rx_event_type is (NO_EVENT,DATA);
type count_mode_type is (RST,INCR,HOLD);
type arp_oper_type is (NOP,REQUEST,REPLY);
type set_clr_type is (SET, CLR, HOLD);
type tx_state_type is (IDLE,WAIT_MAC,SEND);
type arp_entry_type is record
ip : std_logic_vector (31 downto 0);
mac : std_logic_vector (47 downto 0);
is_valid : std_logic;
reply_required : std_logic;
end record;
-- state variables
signal req_state : req_state_type;
signal req_ip_addr : std_logic_vector (31 downto 0); -- IP address to lookup
signal mac_addr_found : STD_LOGIC_VECTOR (47 downto 0); -- mac address found
signal mac_addr_valid_reg: std_logic;
signal send_request_needed : std_logic;
signal tx_mac_chn_reqd : std_logic;
signal freq_scaler : unsigned (31 downto 0); -- scales data_in_clk downto 1Hz
signal timer : unsigned (7 downto 0); -- counts seconds timeout
signal timeout_reg : std_logic;
signal rx_state : rx_state_type;
signal rx_count : unsigned (7 downto 0);
signal arp_operation : arp_oper_type;
signal arp_req_count : unsigned (7 downto 0);
signal arp_entry : arp_entry_type; -- arp entry store
signal new_arp_entry : arp_entry_type;
signal tx_state : tx_state_type;
signal tx_count : unsigned (7 downto 0);
-- FIXME - remove these debug state signals
signal arp_err_data : std_logic_vector (7 downto 0);
signal set_err_data : std_logic;
attribute keep : string;
attribute keep of arp_err_data : signal is "true";
-- requester control signals
signal next_req_state : req_state_type;
signal set_req_state : std_logic;
signal set_req_ip : std_logic;
signal set_mac_addr : std_logic;
signal set_mac_addr_invalid : std_logic;
signal set_send_req : std_logic;
signal clear_send_req : std_logic;
signal set_timer : count_mode_type; -- timer reset, count, hold control
signal timer_enable : std_logic; -- enable the timer counting
signal set_timeout : set_clr_type; -- control the timeout register
-- rx control signals
signal next_rx_state : rx_state_type;
signal set_rx_state : std_logic;
signal rx_event : rx_event_type;
signal rx_count_mode : count_mode_type;
signal set_arp_oper : std_logic;
signal arp_oper_set_val : arp_oper_type;
signal dataval : std_logic_vector (7 downto 0);
signal set_arp_entry_request : std_logic;
signal set_mac5 : std_logic;
signal set_mac4 : std_logic;
signal set_mac3 : std_logic;
signal set_mac2 : std_logic;
signal set_mac1 : std_logic;
signal set_mac0 : std_logic;
signal set_ip3 : std_logic;
signal set_ip2 : std_logic;
signal set_ip1 : std_logic;
signal set_ip0 : std_logic;
-- tx control signals
signal next_tx_state : tx_state_type;
signal set_tx_state : std_logic;
signal tx_count_mode : count_mode_type;
signal clear_reply_req : std_logic;
signal set_chn_reqd : set_clr_type;
signal kill_data_out_valid : std_logic;
-- function to determine whether the rx pkt is an arp pkt and whether we want to process it
-- Returns 1 if we should discard
-- The following will make us ignore the frame (all values hexadecimal):
-- PDU type /= 0806
-- Protocol Type /= 0800
-- Hardware Type /= 1
-- Hardware Length /= 6
-- Protocol Length /= 4
-- Operation /= 1 or 2
-- Target IP /= our IP (i.er. message is not meant for us)
--
function not_our_arp(data : STD_LOGIC_VECTOR; count : unsigned; our_ip : std_logic_vector) return std_logic is
begin
if
(count = 12 and data /= x"08") or -- PDU type 0806 : ARP
(count = 13 and data /= x"06") or
(count = 14 and data /= x"00") or -- HW type 1 : eth
(count = 15 and data /= x"01") or
(count = 16 and data /= x"08") or -- Protocol 0800 : IP
(count = 17 and data /= x"00") or
(count = 18 and data /= x"06") or -- HW Length 6
(count = 19 and data /= x"04") or -- protocol length 4
(count = 20 and data /= x"00") or -- operation 1 or 2 (req or reply)
(count = 21 and data /= x"01" and data /= x"02") or
(count = 38 and data /= our_ip(31 downto 24)) or -- target IP is ours
(count = 39 and data /= our_ip(23 downto 16)) or
(count = 40 and data /= our_ip(15 downto 8)) or
(count = 41 and data /= our_ip(7 downto 0))
then
return '1';
else
return '0';
end if;
end function not_our_arp;
begin
req_combinatorial : process (
-- input signals
arp_req_req,
-- state variables
req_state, req_ip_addr, mac_addr_found, mac_addr_valid_reg, send_request_needed, arp_entry,
freq_scaler, timer, timeout_reg,
-- control signals
next_req_state, set_req_state, set_req_ip, set_mac_addr, control,
set_mac_addr_invalid,set_send_req, clear_send_req, set_timer, timer_enable, set_timeout
)
begin
-- set output followers
if arp_req_req.lookup_req = '1' then
arp_req_rslt.got_err <= '0';
else
arp_req_rslt.got_err <= timeout_reg;
end if;
-- zero time response to lookup request if already in cache
if arp_req_req.lookup_req = '1' and arp_req_req.ip = arp_entry.ip and arp_entry.is_valid = '1' then
arp_req_rslt.got_mac <= '1';
arp_req_rslt.mac <= arp_entry.mac;
elsif arp_req_req.lookup_req = '1' then
arp_req_rslt.got_mac <= '0'; -- hold off got_mac while req is there as arp_entry will not be correct yet
arp_req_rslt.mac <= arp_entry.mac;
else
arp_req_rslt.got_mac <= mac_addr_valid_reg;
arp_req_rslt.mac <= mac_addr_found;
end if;
-- set signal defaults
next_req_state <= IDLE;
set_req_state <= '0';
set_req_ip <= '0';
set_mac_addr <= '0';
set_mac_addr_invalid <= '0';
set_send_req <= '0';
clear_send_req <= '0';
set_timer <= INCR; -- default is timer running, unless we hold or reset it
set_timeout <= HOLD;
timer_enable <= '0';
-- combinatorial logic
if freq_scaler = x"00000000" then
timer_enable <= '1';
end if;
-- REQ FSM
case req_state is
when IDLE =>
set_timer <= RST;
if arp_req_req.lookup_req = '1' then
-- check if we already have the info in cache
if arp_req_req.ip = arp_entry.ip and arp_entry.is_valid = '1' then
-- already have this IP
set_mac_addr <= '1';
else
set_timeout <= CLR;
next_req_state <= LOOKUP;
set_req_state <= '1';
set_req_ip <= '1';
set_mac_addr_invalid <= '1';
end if;
end if;
when LOOKUP =>
if arp_entry.ip = req_ip_addr and arp_entry.is_valid = '1' then
-- already have this IP
next_req_state <= IDLE;
set_req_state <= '1';
set_mac_addr <= '1';
else
-- need to request mac for this IP
set_send_req <= '1';
set_timer <= RST;
next_req_state <= REQUEST;
set_req_state <= '1';
end if;
when REQUEST =>
clear_send_req <= '1';
next_req_state <= WAIT_REPLY;
set_req_state <= '1';
when WAIT_REPLY =>
if arp_entry.is_valid = '1' then
-- have reply, go back to LOOKUP state to see if it is the right one
next_req_state <= LOOKUP;
set_req_state <= '1';
end if;
if timer >= ARP_TIMEOUT then
set_timeout <= SET;
next_req_state <= PAUSE1;
set_req_state <= '1';
end if;
when PAUSE1 =>
next_req_state <= PAUSE2;
set_req_state <= '1';
when PAUSE2 =>
next_req_state <= PAUSE3;
set_req_state <= '1';
when PAUSE3 =>
next_req_state <= IDLE;
set_req_state <= '1';
end case;
end process;
req_sequential : process (data_in_clk,reset)
begin
if rising_edge(data_in_clk) then
if reset = '1' then
-- reset state variables
req_state <= IDLE;
req_ip_addr <= (others => '0');
mac_addr_found <= (others => '0');
mac_addr_valid_reg <= '0';
send_request_needed <= '0';
freq_scaler <= to_unsigned(CLOCK_FREQ,32);
timer <= (others => '0');
timeout_reg <= '0';
else
-- Next req_state processing
if set_req_state = '1' then
req_state <= next_req_state;
else
req_state <= req_state;
end if;
-- Latch the requested IP address
if set_req_ip = '1' then
req_ip_addr <= arp_req_req.ip;
else
req_ip_addr <= req_ip_addr;
end if;
-- send request to TX&RX FSMs to send an ARP request
if set_send_req = '1' then
send_request_needed <= '1';
elsif clear_send_req = '1' then
send_request_needed <= '0';
else
send_request_needed <= send_request_needed;
end if;
-- Set the found mac address
if set_mac_addr = '1' then
mac_addr_found <= arp_entry.mac;
mac_addr_valid_reg <= '1';
elsif set_mac_addr_invalid = '1' then
mac_addr_found <= (others => '0');
mac_addr_valid_reg <= '0';
else
mac_addr_found <= mac_addr_found;
mac_addr_valid_reg <= mac_addr_valid_reg;
end if;
-- freq scaling and 1-sec timer
if freq_scaler = x"00000000" then
freq_scaler <= to_unsigned(CLOCK_FREQ,32);
else
freq_scaler <= freq_scaler - 1;
end if;
-- timer processing
case set_timer is
when RST =>
timer <= x"00";
when INCR =>
if timer_enable = '1' then
timer <= timer + 1;
else
timer <= timer;
end if;
when HOLD =>
timer <= timer;
end case;
-- timeout latching
case set_timeout is
when CLR => timeout_reg <= '0';
when SET => timeout_reg <= '1';
when HOLD => timeout_reg <= timeout_reg;
end case;
end if;
end if;
end process;
rx_combinatorial : process (
-- input signals
data_in, data_in_valid, data_in_last, our_ip_address,
-- state variables
rx_state, rx_count, arp_operation, arp_req_count, arp_err_data,
-- control signals
next_rx_state, set_rx_state, rx_event, rx_count_mode, set_arp_oper, arp_oper_set_val,
dataval,set_mac5,set_mac4,set_mac3,set_mac2,set_mac1,set_mac0,set_ip3,set_ip2,set_ip1,set_ip0, set_err_data,
set_arp_entry_request)
begin
-- set output followers
req_count <= STD_LOGIC_VECTOR(arp_req_count);
-- set signal defaults
next_rx_state <= IDLE;
set_rx_state <= '0';
rx_event <= NO_EVENT;
rx_count_mode <= HOLD;
set_arp_oper <= '0';
arp_oper_set_val <= NOP;
dataval <= (others => '0');
set_mac5 <= '0';
set_mac4 <= '0';
set_mac3 <= '0';
set_mac2 <= '0';
set_mac1 <= '0';
set_mac0 <= '0';
set_ip3 <= '0';
set_ip2 <= '0';
set_ip1 <= '0';
set_ip0 <= '0';
set_arp_entry_request <= '0';
set_err_data <= '0';
-- determine event (if any)
if data_in_valid = '1' then
rx_event <= DATA;
end if;
-- RX FSM
case rx_state is
when IDLE =>
rx_count_mode <= RST;
case rx_event is
when NO_EVENT => -- (nothing to do)
when DATA =>
next_rx_state <= PARSE;
set_rx_state <= '1';
rx_count_mode <= INCR;
end case;
when PARSE =>
case rx_event is
when NO_EVENT => -- (nothing to do)
when DATA =>
rx_count_mode <= INCR;
-- handle early frame termination
if data_in_last = '1' then
next_rx_state <= IDLE;
set_rx_state <= '1';
else
-- check for end of frame. Also, detect and discard if not our frame
if rx_count = 42 then
next_rx_state <= PROCESS_ARP;
set_rx_state <= '1';
elsif not_our_arp(data_in,rx_count,our_ip_address) = '1' then
dataval <= data_in;
set_err_data <= '1';
next_rx_state <= WAIT_END;
set_rx_state <= '1';
elsif rx_count = 21 then
-- capture ARP operation
case data_in is
when x"01" =>
arp_oper_set_val <= REQUEST;
set_arp_oper <= '1';
when x"02" =>
arp_oper_set_val <= REPLY;
set_arp_oper <= '1';
when others => -- ignore other values
end case;
-- capture source mac addr
elsif rx_count = 22 then
set_mac5 <= '1';
dataval <= data_in;
elsif rx_count = 23 then
set_mac4 <= '1';
dataval <= data_in;
elsif rx_count = 24 then
set_mac3 <= '1';
dataval <= data_in;
elsif rx_count = 25 then
set_mac2 <= '1';
dataval <= data_in;
elsif rx_count = 26 then
set_mac1 <= '1';
dataval <= data_in;
elsif rx_count = 27 then
set_mac0 <= '1';
dataval <= data_in;
-- capture source ip addr
elsif rx_count = 28 then
set_ip3 <= '1';
dataval <= data_in;
elsif rx_count = 29 then
set_ip2 <= '1';
dataval <= data_in;
elsif rx_count = 30 then
set_ip1 <= '1';
dataval <= data_in;
elsif rx_count = 31 then
set_ip0 <= '1';
dataval <= data_in;
end if;
end if;
end case;
when PROCESS_ARP =>
next_rx_state <= WAIT_END;
set_rx_state <= '1';
case arp_operation is
when NOP => -- (nothing to do)
when REQUEST =>
set_arp_entry_request <= '1';
arp_oper_set_val <= NOP;
set_arp_oper <= '1';
when REPLY =>
set_arp_entry_request <= '1';
arp_oper_set_val <= NOP;
set_arp_oper <= '1';
end case;
when WAIT_END =>
case rx_event is
when NO_EVENT => -- (nothing to do)
when DATA =>
if data_in_last = '1' then
next_rx_state <= IDLE;
set_rx_state <= '1';
end if;
end case;
end case;
end process;
rx_sequential : process (data_in_clk)
begin
if rising_edge(data_in_clk) then
if reset = '1' then
-- reset state variables
rx_state <= IDLE;
rx_count <= x"00";
arp_operation <= NOP;
arp_req_count <= x"00";
-- reset arp entry store
arp_entry.ip <= x"00000000";
arp_entry.mac <= x"000000000000";
arp_entry.is_valid <= '0';
arp_entry.reply_required <= '0';
arp_err_data <= (others => '0');
else
-- Next rx_state processing
if set_rx_state = '1' then
rx_state <= next_rx_state;
else
rx_state <= rx_state;
end if;
-- rx_count processing
case rx_count_mode is
when RST =>
rx_count <= x"00";
when INCR =>
rx_count <= rx_count + 1;
when HOLD =>
rx_count <= rx_count;
end case;
-- err data
if set_err_data = '1' then
arp_err_data <= data_in;
else
arp_err_data <= arp_err_data;
end if;
-- arp operation processing
if set_arp_oper = '1' then
arp_operation <= arp_oper_set_val;
else
arp_operation <= arp_operation;
end if;
-- source mac capture
if (set_mac5 = '1') then new_arp_entry.mac(47 downto 40) <= dataval; end if;
if (set_mac4 = '1') then new_arp_entry.mac(39 downto 32) <= dataval; end if;
if (set_mac3 = '1') then new_arp_entry.mac(31 downto 24) <= dataval; end if;
if (set_mac2 = '1') then new_arp_entry.mac(23 downto 16) <= dataval; end if;
if (set_mac1 = '1') then new_arp_entry.mac(15 downto 8) <= dataval; end if;
if (set_mac0 = '1') then new_arp_entry.mac(7 downto 0) <= dataval; end if;
-- source ip capture
if (set_ip3 = '1') then new_arp_entry.ip(31 downto 24) <= dataval; end if;
if (set_ip2 = '1') then new_arp_entry.ip(23 downto 16) <= dataval; end if;
if (set_ip1 = '1') then new_arp_entry.ip(15 downto 8) <= dataval; end if;
if (set_ip0 = '1') then new_arp_entry.ip(7 downto 0) <= dataval; end if;
-- set arp entry request
if control.clear_cache = '1' then
arp_entry.ip <= x"00000000";
arp_entry.mac <= x"000000000000";
arp_entry.is_valid <= '0';
arp_entry.reply_required <= '0';
elsif set_arp_entry_request = '1' then
-- copy info from new entry to arp_entry and set reply required
arp_entry.mac <= new_arp_entry.mac;
arp_entry.ip <= new_arp_entry.ip;
arp_entry.is_valid <= '1';
if arp_operation = REQUEST then
arp_entry.reply_required <= '1';
else
arp_entry.reply_required <= '0';
end if;
-- count another ARP pkt received
arp_req_count <= arp_req_count + 1;
elsif clear_reply_req = '1' then
-- note: clear_reply_req is set by tx logic, but handled in the clk domain of the rx
-- maintain arp entry state, but reset the reply required flag
arp_entry.mac <= arp_entry.mac;
arp_entry.ip <= arp_entry.ip;
arp_entry.is_valid <= arp_entry.is_valid;
arp_entry.reply_required <= '0';
arp_req_count <= arp_req_count;
elsif send_request_needed = '1' then
-- set up the arp entry to take the request to be transmitted out by the TX FSM
arp_entry.ip <= req_ip_addr;
arp_entry.mac <= (others => '0');
arp_entry.is_valid <= '0';
arp_entry.reply_required <= '0';
else
arp_entry <= arp_entry;
arp_req_count <= arp_req_count;
end if;
end if;
end if;
end process;
tx_combinatorial : process (
-- input signals
data_out_ready, send_request_needed, mac_tx_granted, our_mac_address, our_ip_address,
-- state variables
tx_state, tx_count, tx_mac_chn_reqd, arp_entry,
-- control signals
next_rx_state, set_rx_state, tx_count_mode, kill_data_out_valid,
set_chn_reqd, clear_reply_req)
begin
-- set output followers
mac_tx_req <= tx_mac_chn_reqd;
-- set initial values for combinatorial outputs
data_out_first <= '0';
case tx_state is
when SEND =>
if data_out_ready = '1' and kill_data_out_valid = '0' then
data_out_valid <= '1';
else
data_out_valid <= '0';
end if;
when OTHERS => data_out_valid <= '0';
end case;
-- set signal defaults
next_tx_state <= IDLE;
set_tx_state <= '0';
tx_count_mode <= HOLD;
data_out <= x"00";
data_out_last <= '0';
clear_reply_req <= '0';
set_chn_reqd <= HOLD;
kill_data_out_valid <= '0';
-- TX FSM
case tx_state is
when IDLE =>
tx_count_mode <= RST;
if arp_entry.reply_required = '1' then
set_chn_reqd <= SET;
next_tx_state <= WAIT_MAC;
set_tx_state <= '1';
elsif send_request_needed = '1' then
set_chn_reqd <= SET;
next_tx_state <= WAIT_MAC;
set_tx_state <= '1';
else
set_chn_reqd <= CLR;
end if;
when WAIT_MAC =>
tx_count_mode <= RST;
if mac_tx_granted = '1' then
next_tx_state <= SEND;
set_tx_state <= '1';
end if;
-- TODO - should handle timeout here
when SEND =>
if data_out_ready = '1' then
tx_count_mode <= INCR;
end if;
case tx_count is
when x"00" =>
data_out_first <= data_out_ready;
data_out <= x"ff"; -- dst = broadcast
when x"01" => data_out <= x"ff";
when x"02" => data_out <= x"ff";
when x"03" => data_out <= x"ff";
when x"04" => data_out <= x"ff";
when x"05" => data_out <= x"ff";
when x"06" => data_out <= our_mac_address (47 downto 40); -- src = our mac
when x"07" => data_out <= our_mac_address (39 downto 32);
when x"08" => data_out <= our_mac_address (31 downto 24);
when x"09" => data_out <= our_mac_address (23 downto 16);
when x"0a" => data_out <= our_mac_address (15 downto 8);
when x"0b" => data_out <= our_mac_address (7 downto 0);
when x"0c" => data_out <= x"08"; -- pkt type = 0806 : ARP
when x"0d" => data_out <= x"06";
when x"0e" => data_out <= x"00"; -- HW type = 0001 : eth
when x"0f" => data_out <= x"01";
when x"10" => data_out <= x"08"; -- protocol = 0800 : ip
when x"11" => data_out <= x"00";
when x"12" => data_out <= x"06"; -- HW size = 06
when x"13" => data_out <= x"04"; -- prot size = 04
when x"14" => data_out <= x"00"; -- opcode =
when x"15" =>
if arp_entry.is_valid = '1' then
data_out <= x"02"; -- 02 : REPLY if arp_entry valid
else
data_out <= x"01"; -- 01 : REQ if arp_entry invalid
end if;
when x"16" => data_out <= our_mac_address (47 downto 40); -- sender mac
when x"17" => data_out <= our_mac_address (39 downto 32);
when x"18" => data_out <= our_mac_address (31 downto 24);
when x"19" => data_out <= our_mac_address (23 downto 16);
when x"1a" => data_out <= our_mac_address (15 downto 8);
when x"1b" => data_out <= our_mac_address (7 downto 0);
when x"1c" => data_out <= our_ip_address (31 downto 24); -- sender ip
when x"1d" => data_out <= our_ip_address (23 downto 16);
when x"1e" => data_out <= our_ip_address (15 downto 8);
when x"1f" => data_out <= our_ip_address (7 downto 0);
when x"20" => data_out <= arp_entry.mac (47 downto 40); -- target mac
when x"21" => data_out <= arp_entry.mac (39 downto 32);
when x"22" => data_out <= arp_entry.mac (31 downto 24);
when x"23" => data_out <= arp_entry.mac (23 downto 16);
when x"24" => data_out <= arp_entry.mac (15 downto 8);
when x"25" => data_out <= arp_entry.mac (7 downto 0);
when x"26" => data_out <= arp_entry.ip (31 downto 24); -- target ip
when x"27" => data_out <= arp_entry.ip (23 downto 16);
when x"28" => data_out <= arp_entry.ip (15 downto 8);
when x"29" =>
data_out <= arp_entry.ip(7 downto 0);
data_out_last <= '1';
when x"2a" =>
clear_reply_req <= '1'; -- reset the reply request (done in the rx clk process domain)
kill_data_out_valid <= '1'; -- data is no longer valid
next_tx_state <= IDLE;
set_tx_state <= '1';
when others =>
next_tx_state <= IDLE;
set_tx_state <= '1';
end case;
end case;
end process;
tx_sequential : process (data_out_clk,reset)
begin
if rising_edge(data_out_clk) then
if reset = '1' then
-- reset state variables
tx_state <= IDLE;
tx_mac_chn_reqd <= '0';
else
-- Next rx_state processing
if set_tx_state = '1' then
tx_state <= next_tx_state;
else
tx_state <= tx_state;
end if;
-- tx_count processing
case tx_count_mode is
when RST =>
tx_count <= x"00";
when INCR =>
tx_count <= tx_count + 1;
when HOLD =>
tx_count <= tx_count;
end case;
-- control access request to mac tx chn
case set_chn_reqd is
when SET => tx_mac_chn_reqd <= '1';
when CLR => tx_mac_chn_reqd <= '0';
when HOLD => tx_mac_chn_reqd <= tx_mac_chn_reqd;
end case;
end if;
end if;
end process;
end Behavioral;
|
-- -------------------------------------------------------------
--
-- File Name: hdl_prj\hdlsrc\lms\lms_pcore_axi_lite_module.vhd
-- Created: 2015-06-19 16:39:46
--
-- Generated by MATLAB 8.5 and HDL Coder 3.6
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: lms_pcore_axi_lite_module
-- Source Path: lms_pcore/lms_pcore_axi_lite/lms_pcore_axi_lite_module
-- Hierarchy Level: 2
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
ENTITY lms_pcore_axi_lite_module IS
PORT( clk : IN std_logic;
AXI4_Lite_ARESETN : IN std_logic; -- ufix1
AXI4_Lite_AWADDR : IN std_logic_vector(15 DOWNTO 0); -- ufix16
AXI4_Lite_AWVALID : IN std_logic; -- ufix1
AXI4_Lite_WDATA : IN std_logic_vector(31 DOWNTO 0); -- ufix32
AXI4_Lite_WSTRB : IN std_logic_vector(3 DOWNTO 0); -- ufix4
AXI4_Lite_WVALID : IN std_logic; -- ufix1
AXI4_Lite_BREADY : IN std_logic; -- ufix1
AXI4_Lite_ARADDR : IN std_logic_vector(15 DOWNTO 0); -- ufix16
AXI4_Lite_ARVALID : IN std_logic; -- ufix1
AXI4_Lite_RREADY : IN std_logic; -- ufix1
data_read : IN std_logic_vector(31 DOWNTO 0); -- ufix32
AXI4_Lite_AWREADY : OUT std_logic; -- ufix1
AXI4_Lite_WREADY : OUT std_logic; -- ufix1
AXI4_Lite_BRESP : OUT std_logic_vector(1 DOWNTO 0); -- ufix2
AXI4_Lite_BVALID : OUT std_logic; -- ufix1
AXI4_Lite_ARREADY : OUT std_logic; -- ufix1
AXI4_Lite_RDATA : OUT std_logic_vector(31 DOWNTO 0); -- ufix32
AXI4_Lite_RRESP : OUT std_logic_vector(1 DOWNTO 0); -- ufix2
AXI4_Lite_RVALID : OUT std_logic; -- ufix1
data_write : OUT std_logic_vector(31 DOWNTO 0); -- ufix32
addr_sel : OUT std_logic_vector(13 DOWNTO 0); -- ufix14
wr_enb : OUT std_logic; -- ufix1
rd_enb : OUT std_logic; -- ufix1
reset_internal : OUT std_logic -- ufix1
);
END lms_pcore_axi_lite_module;
ARCHITECTURE rtl OF lms_pcore_axi_lite_module IS
-- Signals
SIGNAL reset : std_logic;
SIGNAL enb : std_logic;
SIGNAL const_1 : std_logic; -- ufix1
SIGNAL axi_lite_wstate : unsigned(7 DOWNTO 0); -- uint8
SIGNAL axi_lite_rstate : unsigned(7 DOWNTO 0); -- uint8
SIGNAL axi_lite_wstate_next : unsigned(7 DOWNTO 0); -- uint8
SIGNAL axi_lite_rstate_next : unsigned(7 DOWNTO 0); -- uint8
SIGNAL aw_transfer : std_logic; -- ufix1
SIGNAL w_transfer : std_logic; -- ufix1
SIGNAL ar_transfer : std_logic; -- ufix1
SIGNAL const_0_2 : unsigned(1 DOWNTO 0); -- ufix2
SIGNAL data_read_unsigned : unsigned(31 DOWNTO 0); -- ufix32
SIGNAL AXI4_Lite_RDATA_tmp : unsigned(31 DOWNTO 0); -- ufix32
SIGNAL AXI4_Lite_WDATA_unsigned : unsigned(31 DOWNTO 0); -- ufix32
SIGNAL wdata : unsigned(31 DOWNTO 0); -- ufix32
SIGNAL AXI4_Lite_AWADDR_unsigned : unsigned(15 DOWNTO 0); -- ufix16
SIGNAL waddr : unsigned(15 DOWNTO 0); -- ufix16
SIGNAL waddr_sel : unsigned(13 DOWNTO 0); -- ufix14
SIGNAL AXI4_Lite_ARADDR_unsigned : unsigned(15 DOWNTO 0); -- ufix16
SIGNAL raddr_sel : unsigned(13 DOWNTO 0); -- ufix14
SIGNAL addr_sel_tmp : unsigned(13 DOWNTO 0); -- ufix14
SIGNAL wr_enb_1 : std_logic; -- ufix1
SIGNAL strobe_addr : std_logic; -- ufix1
SIGNAL strobe_sel : std_logic; -- ufix1
SIGNAL const_zero : std_logic; -- ufix1
SIGNAL strobe_in : std_logic; -- ufix1
SIGNAL strobe_sw : std_logic; -- ufix1
SIGNAL soft_reset : std_logic; -- ufix1
BEGIN
const_1 <= '1';
enb <= const_1;
reset <= NOT AXI4_Lite_ARESETN;
axi_lite_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
axi_lite_wstate <= to_unsigned(16#00#, 8);
axi_lite_rstate <= to_unsigned(16#00#, 8);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
axi_lite_wstate <= axi_lite_wstate_next;
axi_lite_rstate <= axi_lite_rstate_next;
END IF;
END IF;
END PROCESS axi_lite_process;
axi_lite_output : PROCESS (axi_lite_wstate, axi_lite_rstate, AXI4_Lite_AWVALID, AXI4_Lite_WVALID,
AXI4_Lite_BREADY, AXI4_Lite_ARVALID, AXI4_Lite_RREADY)
VARIABLE out0 : std_logic;
VARIABLE out1 : std_logic;
VARIABLE out3 : std_logic;
VARIABLE awvalid : std_logic;
VARIABLE wvalid : std_logic;
VARIABLE arvalid : std_logic;
BEGIN
axi_lite_wstate_next <= axi_lite_wstate;
axi_lite_rstate_next <= axi_lite_rstate;
IF AXI4_Lite_AWVALID /= '0' THEN
awvalid := '1';
ELSE
awvalid := '0';
END IF;
IF AXI4_Lite_WVALID /= '0' THEN
wvalid := '1';
ELSE
wvalid := '0';
END IF;
IF AXI4_Lite_ARVALID /= '0' THEN
arvalid := '1';
ELSE
arvalid := '0';
END IF;
CASE axi_lite_wstate IS
WHEN "00000000" =>
out0 := '1';
out1 := '0';
AXI4_Lite_BVALID <= '0';
IF awvalid = '1' THEN
axi_lite_wstate_next <= to_unsigned(16#01#, 8);
ELSE
axi_lite_wstate_next <= to_unsigned(16#00#, 8);
END IF;
WHEN "00000001" =>
out0 := '0';
out1 := '1';
AXI4_Lite_BVALID <= '0';
IF wvalid = '1' THEN
axi_lite_wstate_next <= to_unsigned(16#02#, 8);
ELSE
axi_lite_wstate_next <= to_unsigned(16#01#, 8);
END IF;
WHEN "00000010" =>
out0 := '0';
out1 := '0';
AXI4_Lite_BVALID <= '1';
IF AXI4_Lite_BREADY /= '0' THEN
axi_lite_wstate_next <= to_unsigned(16#00#, 8);
ELSE
axi_lite_wstate_next <= to_unsigned(16#02#, 8);
END IF;
WHEN OTHERS =>
out0 := '0';
out1 := '0';
AXI4_Lite_BVALID <= '0';
axi_lite_wstate_next <= to_unsigned(16#00#, 8);
END CASE;
CASE axi_lite_rstate IS
WHEN "00000000" =>
out3 := '1';
AXI4_Lite_RVALID <= '0';
IF arvalid = '1' THEN
axi_lite_rstate_next <= to_unsigned(16#01#, 8);
ELSE
axi_lite_rstate_next <= to_unsigned(16#00#, 8);
END IF;
WHEN "00000001" =>
out3 := '0';
AXI4_Lite_RVALID <= '1';
IF AXI4_Lite_RREADY /= '0' THEN
axi_lite_rstate_next <= to_unsigned(16#00#, 8);
ELSE
axi_lite_rstate_next <= to_unsigned(16#01#, 8);
END IF;
WHEN OTHERS =>
out3 := '0';
AXI4_Lite_RVALID <= '0';
axi_lite_rstate_next <= to_unsigned(16#00#, 8);
END CASE;
AXI4_Lite_AWREADY <= out0;
AXI4_Lite_WREADY <= out1;
AXI4_Lite_ARREADY <= out3;
aw_transfer <= awvalid AND out0;
w_transfer <= wvalid AND out1;
ar_transfer <= arvalid AND out3;
END PROCESS axi_lite_output;
const_0_2 <= to_unsigned(16#0#, 2);
AXI4_Lite_BRESP <= std_logic_vector(const_0_2);
data_read_unsigned <= unsigned(data_read);
reg_rdata_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
AXI4_Lite_RDATA_tmp <= to_unsigned(0, 32);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' AND ar_transfer = '1' THEN
AXI4_Lite_RDATA_tmp <= data_read_unsigned;
END IF;
END IF;
END PROCESS reg_rdata_process;
AXI4_Lite_RDATA <= std_logic_vector(AXI4_Lite_RDATA_tmp);
AXI4_Lite_RRESP <= std_logic_vector(const_0_2);
AXI4_Lite_WDATA_unsigned <= unsigned(AXI4_Lite_WDATA);
reg_wdata_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
wdata <= to_unsigned(0, 32);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' AND w_transfer = '1' THEN
wdata <= AXI4_Lite_WDATA_unsigned;
END IF;
END IF;
END PROCESS reg_wdata_process;
data_write <= std_logic_vector(wdata);
AXI4_Lite_AWADDR_unsigned <= unsigned(AXI4_Lite_AWADDR);
reg_waddr_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
waddr <= to_unsigned(16#0000#, 16);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' AND aw_transfer = '1' THEN
waddr <= AXI4_Lite_AWADDR_unsigned;
END IF;
END IF;
END PROCESS reg_waddr_process;
waddr_sel <= waddr(15 DOWNTO 2);
AXI4_Lite_ARADDR_unsigned <= unsigned(AXI4_Lite_ARADDR);
raddr_sel <= AXI4_Lite_ARADDR_unsigned(15 DOWNTO 2);
addr_sel_tmp <= waddr_sel WHEN AXI4_Lite_ARVALID = '0' ELSE
raddr_sel;
addr_sel <= std_logic_vector(addr_sel_tmp);
reg_wr_enb_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
wr_enb_1 <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
wr_enb_1 <= w_transfer;
END IF;
END IF;
END PROCESS reg_wr_enb_process;
rd_enb <= ar_transfer;
strobe_addr <= '1' WHEN waddr_sel = to_unsigned(16#0000#, 14) ELSE
'0';
strobe_sel <= strobe_addr AND wr_enb_1;
const_zero <= '0';
strobe_in <= wdata(0);
strobe_sw <= const_zero WHEN strobe_sel = '0' ELSE
strobe_in;
reg_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
soft_reset <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
soft_reset <= strobe_sw;
END IF;
END IF;
END PROCESS reg_process;
reset_internal <= reset OR soft_reset;
wr_enb <= wr_enb_1;
END rtl;
|
Subsets and Splits
No saved queries yet
Save your SQL queries to embed, download, and access them later. Queries will appear here once saved.