content
stringlengths
1
1.04M
---------------------------------------------------------------------------------- -- Company:LAAS-CNRS -- Author:Jonathan Piat <[email protected]> -- -- Create Date: 15:37:36 06/18/2012 -- Design Name: -- Module Name: dp_fifo - Behavioral -- Project Name: -- Target Devices: Spartan 6 -- Tool versions: ISE 14.1 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; library work ; use work.logi_primitive_pack.all ; use work.logi_utils_pack.all ; --! dual port ram based fifo for fast logic to logic communication entity dp_fifo is generic(N : natural := 128 ; --! depth of the fifo W : positive := 16; --! width of the fifo SYNC_WR : boolean := false; SYNC_RD : boolean := false ); port( clk, resetn, sraz : in std_logic; --! system clock, asynchronous and synchronous reset wr, rd : in std_logic; --! fifo write and read signal empty, full : out std_logic ; --! fifo stat signals data_out : out std_logic_vector((W - 1) downto 0 ); --! data output of fifo data_in : in std_logic_vector((W - 1) downto 0 ); --! data input of fifo nb_available : out unsigned(nbit(N) downto 0 ) --! number of available tokens in fifo ); end dp_fifo; architecture Behavioral of dp_fifo is constant std_fifo_size : std_logic_vector(nbit(N) downto 0 ) := std_logic_vector(to_unsigned(N, nbit(N) + 1)); signal rd_addr, rd_addr_adv, wr_addr: std_logic_vector((nbit(N) - 1) downto 0) ; signal nb_free_t, nb_available_t : unsigned(nbit(N) downto 0 ) ; signal slv_nb_available_t : std_logic_vector(nbit(N) downto 0 ) ; signal fifo_out, fifo_in : std_logic_vector((W - 1 ) downto 0) ; signal rd_old, wr_old, wr_data, rd_data, one_turn, latch_data : std_logic ; signal rd_rising_edge, wr_rising_edge : std_logic ; signal rd_falling_edge, wr_falling_edge : std_logic ; signal en_available_counter, up_downn_available_counter : std_logic ; signal en_free_counter, up_downn_free_counter, counter_load : std_logic ; signal fifo_wr, fifo_rd : std_logic ; begin dp_ram0 : dpram_NxN generic map(SIZE => N , NBIT => W, ADDR_WIDTH => nbit(N)) port map( clk => clk , we => wr_data , di => data_in , a => wr_addr, dpra => rd_addr_adv , dpo => fifo_out ); data_out <= fifo_out ; gen_async_rd : if NOT SYNC_RD generate process(resetn, clk) begin if resetn = '0' then rd_old <= '0' ; elsif clk'event and clk = '1' then rd_old <= rd ; end if ; end process ; rd_falling_edge <= ((NOT rd) AND rd_old); fifo_rd <= rd_falling_edge ; rd_addr_adv <= rd_addr ; end generate ; gen_sync_rd : if SYNC_RD generate fifo_rd <= rd; rd_addr_adv <= (rd_addr + 1) when fifo_rd = '1' else rd_addr ; end generate ; gen_async_wr : if NOT SYNC_WR generate process(resetn, clk) begin if resetn = '0' then wr_old <= '0' ; elsif clk'event and clk = '1' then wr_old <= wr ; end if ; end process ; wr_falling_edge <= ((NOT wr) AND wr_old) ; fifo_wr <= wr_falling_edge ; end generate ; gen_sync_wr : if SYNC_WR generate fifo_wr <= wr ; end generate ; --rd process process(clk, resetn) begin if resetn = '0' then rd_addr <= (others => '0') ; elsif clk'event and clk = '1' then if sraz = '1' then rd_addr <= (others => '0'); elsif fifo_rd = '1' and nb_available_t /= 0 then rd_addr <= rd_addr + 1; end if ; end if ; end process ; -- wr process process(clk, resetn) begin if resetn = '0' then wr_addr <= (others => '0') ; elsif clk'event and clk = '1' then if sraz = '1' then wr_addr <= (others => '0'); elsif fifo_wr = '1' and nb_available_t /= N then wr_addr <= wr_addr + 1; end if ; end if ; end process ; -- nb available process process(clk, resetn) begin if resetn = '0' then nb_available_t <= (others => '0') ; elsif clk'event and clk = '1' then if sraz = '1' then nb_available_t <= (others => '0') ; elsif fifo_wr = '1' and fifo_rd = '0' and nb_available_t /= N then nb_available_t <= nb_available_t + 1 ; elsif fifo_rd = '1' and fifo_wr = '0' and nb_available_t /= 0 then nb_available_t <= nb_available_t - 1 ; end if ; end if ; end process ; nb_available <= nb_available_t ; empty <= '1' when nb_available_t = 0 else '1' when nb_available_t = 1 and fifo_rd = '1' else -- must check if its useful ... '0' ; full <= '1' when nb_available_t = N else '1' when nb_available_t = N - 1 and fifo_wr = '1' else -- must check if its useful ... '0' ; wr_data <= fifo_wr ; end Behavioral;
---------------------------------------------------------------------------------- -- Company:LAAS-CNRS -- Author:Jonathan Piat <[email protected]> -- -- Create Date: 15:37:36 06/18/2012 -- Design Name: -- Module Name: dp_fifo - Behavioral -- Project Name: -- Target Devices: Spartan 6 -- Tool versions: ISE 14.1 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; library work ; use work.logi_primitive_pack.all ; use work.logi_utils_pack.all ; --! dual port ram based fifo for fast logic to logic communication entity dp_fifo is generic(N : natural := 128 ; --! depth of the fifo W : positive := 16; --! width of the fifo SYNC_WR : boolean := false; SYNC_RD : boolean := false ); port( clk, resetn, sraz : in std_logic; --! system clock, asynchronous and synchronous reset wr, rd : in std_logic; --! fifo write and read signal empty, full : out std_logic ; --! fifo stat signals data_out : out std_logic_vector((W - 1) downto 0 ); --! data output of fifo data_in : in std_logic_vector((W - 1) downto 0 ); --! data input of fifo nb_available : out unsigned(nbit(N) downto 0 ) --! number of available tokens in fifo ); end dp_fifo; architecture Behavioral of dp_fifo is constant std_fifo_size : std_logic_vector(nbit(N) downto 0 ) := std_logic_vector(to_unsigned(N, nbit(N) + 1)); signal rd_addr, rd_addr_adv, wr_addr: std_logic_vector((nbit(N) - 1) downto 0) ; signal nb_free_t, nb_available_t : unsigned(nbit(N) downto 0 ) ; signal slv_nb_available_t : std_logic_vector(nbit(N) downto 0 ) ; signal fifo_out, fifo_in : std_logic_vector((W - 1 ) downto 0) ; signal rd_old, wr_old, wr_data, rd_data, one_turn, latch_data : std_logic ; signal rd_rising_edge, wr_rising_edge : std_logic ; signal rd_falling_edge, wr_falling_edge : std_logic ; signal en_available_counter, up_downn_available_counter : std_logic ; signal en_free_counter, up_downn_free_counter, counter_load : std_logic ; signal fifo_wr, fifo_rd : std_logic ; begin dp_ram0 : dpram_NxN generic map(SIZE => N , NBIT => W, ADDR_WIDTH => nbit(N)) port map( clk => clk , we => wr_data , di => data_in , a => wr_addr, dpra => rd_addr_adv , dpo => fifo_out ); data_out <= fifo_out ; gen_async_rd : if NOT SYNC_RD generate process(resetn, clk) begin if resetn = '0' then rd_old <= '0' ; elsif clk'event and clk = '1' then rd_old <= rd ; end if ; end process ; rd_falling_edge <= ((NOT rd) AND rd_old); fifo_rd <= rd_falling_edge ; rd_addr_adv <= rd_addr ; end generate ; gen_sync_rd : if SYNC_RD generate fifo_rd <= rd; rd_addr_adv <= (rd_addr + 1) when fifo_rd = '1' else rd_addr ; end generate ; gen_async_wr : if NOT SYNC_WR generate process(resetn, clk) begin if resetn = '0' then wr_old <= '0' ; elsif clk'event and clk = '1' then wr_old <= wr ; end if ; end process ; wr_falling_edge <= ((NOT wr) AND wr_old) ; fifo_wr <= wr_falling_edge ; end generate ; gen_sync_wr : if SYNC_WR generate fifo_wr <= wr ; end generate ; --rd process process(clk, resetn) begin if resetn = '0' then rd_addr <= (others => '0') ; elsif clk'event and clk = '1' then if sraz = '1' then rd_addr <= (others => '0'); elsif fifo_rd = '1' and nb_available_t /= 0 then rd_addr <= rd_addr + 1; end if ; end if ; end process ; -- wr process process(clk, resetn) begin if resetn = '0' then wr_addr <= (others => '0') ; elsif clk'event and clk = '1' then if sraz = '1' then wr_addr <= (others => '0'); elsif fifo_wr = '1' and nb_available_t /= N then wr_addr <= wr_addr + 1; end if ; end if ; end process ; -- nb available process process(clk, resetn) begin if resetn = '0' then nb_available_t <= (others => '0') ; elsif clk'event and clk = '1' then if sraz = '1' then nb_available_t <= (others => '0') ; elsif fifo_wr = '1' and fifo_rd = '0' and nb_available_t /= N then nb_available_t <= nb_available_t + 1 ; elsif fifo_rd = '1' and fifo_wr = '0' and nb_available_t /= 0 then nb_available_t <= nb_available_t - 1 ; end if ; end if ; end process ; nb_available <= nb_available_t ; empty <= '1' when nb_available_t = 0 else '1' when nb_available_t = 1 and fifo_rd = '1' else -- must check if its useful ... '0' ; full <= '1' when nb_available_t = N else '1' when nb_available_t = N - 1 and fifo_wr = '1' else -- must check if its useful ... '0' ; wr_data <= fifo_wr ; end Behavioral;
-------------------------------------------------------------------- -- Entity: MultiIO_APB -- File: MultiIO_APB.vhd -- Author: Thomas Ameseder, Gleichmann Electronics -- Based on an orginal version by [email protected] -- -- Description: APB Multiple digital I/O for minimal User Interface -------------------------------------------------------------------- -- Functionality: -- 8 LEDs, active low or high, r/w -- dual 7Segment, active low or high, w only -- 8 DIL Switches, active low or high, r only -- 8 Buttons, active low or high, r only, with IRQ enables -------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library gleichmann; use gleichmann.spi.all; use gleichmann.i2c.all; use gleichmann.miscellaneous.all; use gleichmann.multiio.all; -- pragma translate_off use std.textio.all; -- pragma translate_on entity MultiIO_APB is generic ( hpe_version: integer := 0; -- adapt multiplexing for different boards pindex : integer := 0; -- Leon-Index paddr : integer := 0; -- Leon-Address pmask : integer := 16#FFF#; -- Leon-Mask pirq : integer := 0; -- Leon-IRQ clk_freq_in : integer := 25_000_000; -- Leons clock to calculate timings led7act : std_logic := '0'; -- active level for 7Segment ledact : std_logic := '0'; -- active level for LEDs switchact : std_logic := '1'; -- active level for LED's buttonact : std_logic := '1'; -- active level for LED's n_switches : integer := 8; -- number of switches that are driven n_leds : integer := 8 -- number of LEDs that are driven ); port ( rst_n : in std_ulogic; -- global Reset, active low clk : in std_ulogic; -- global Clock apbi : in apb_slv_in_type; -- APB-Input apbo : out apb_slv_out_type; -- APB-Output MultiIO_in : in MultiIO_in_type; -- MultIO-Inputs MultiIO_out : out MultiIO_out_type -- MultiIO-Outputs ); end entity; architecture Implementation of MultiIO_APB is ---------------------- constant VERSION : std_logic_vector(31 downto 0) := x"EA_07_12_06"; constant REVISION : integer := 1; constant MUXMAX : integer := 7; constant VCC : std_logic_vector(31 downto 0) := (others => '1'); constant GND : std_logic_vector(31 downto 0) := (others => '0'); signal Enable1ms : boolean; signal MUXCounter : integer range 0 to MUXMAX-1; signal clkgen_mclk : std_ulogic; signal clkgen_bclk : std_ulogic; signal clkgen_sclk : std_ulogic; signal clkgen_lrclk : std_ulogic; type state_t is (WAIT_FOR_SYNC,READY,WAIT_FOR_ACK); signal state,next_state : state_t; signal Strobe,next_Strobe : std_ulogic; -- status signals of the i2s core for upper-level state machine signal SampleAck, WaitForSample : std_ulogic; signal samplereg : std_ulogic_vector(N_CODECI2SBITS-1 downto 0); constant pconfig : apb_config_type := ( 0 => ahb_device_reg (VENDOR_GLEICHMANN, GLEICHMANN_HIFC, 0, REVISION, pirq), 1 => apb_iobar(paddr, pmask) ); type MultiIOregisters is record ledreg : std_logic_vector(31 downto 0); -- LEDs led7reg : std_logic_vector(31 downto 0); -- Dual 7Segment LEDs codecreg : std_logic_vector(31 downto 0); codecreg2 : std_logic_vector(31 downto 0); -- Switches in sw_inreg : std_logic_vector(31 downto 0); -- ASCII value of input button btn_inreg : std_logic_vector(31 downto 0); irqenareg : std_logic_vector(31 downto 0); -- IRQ enables for Buttons btn_irqs : std_logic_vector(31 downto 0); -- IRQs from each Button new_data : std_ulogic; -- new_data_valid : std_ulogic; lcdreg : std_logic_vector(31 downto 0); -- LCD instruction --cb1_in_reg : std_logic_vector(31 downto 0); --cb1_out_reg : std_logic_vector(31 downto 0); -- cb3_in_reg : std_logic_vector(31 downto 0); --cb4_in2_reg : std_logic_vector(31 downto 0); -- cb3_out_reg : std_logic_vector(31 downto 0); --cb4_out2_reg : std_logic_vector(31 downto 0); exp_in_reg : std_logic_vector(31 downto 0); exp_out_reg : std_logic_vector(31 downto 0); hsc_out_reg : std_logic_vector(31 downto 0); hsc_in_reg : std_logic_vector(31 downto 0); end record; signal r, rin : MultiIOregisters; -- register sets signal Key : std_logic_vector(7 downto 0); -- ASCII value of button -- character representation of the key (for simulation purposes) signal KeyVal : character; signal OldColumnRow1 : std_logic_vector(6 downto 0); -- for key debounce signal OldColumnRow2 : std_logic_vector(6 downto 0); -- for key debounce begin reg_rw : process(MUXCounter, MultiIO_in, apbi, key, r, rst_n) variable readdata : std_logic_vector(31 downto 0); -- system bus width variable irqs : std_logic_vector(31 downto 0); -- system IRQs width variable v : MultiIOregisters; -- register set begin v := r; -- reset registers if rst_n = '0' then -- lower half of LEDs on v.ledreg := (others => '0'); v.ledreg(3 downto 0) := "1111"; v.led7reg := (others => '0'); v.led7reg(15 downto 0) := X"38_4F"; -- show "L3" Leon3 on 7Segments v.codecreg := (others => '0'); v.codecreg2 := (others => '0'); v.irqenareg := (others => '0'); -- IRQs disable v.btn_inreg := (others => '0'); v.sw_inreg := (others => '0'); -- new data flag off v.new_data := '0'; -- v.new_data_valid := '0'; v.lcdreg := (others => '0'); -- v.cb3_in_reg := (others => '0'); --v.cb4_in2_reg := (others => '0'); -- v.cb3_out_reg := (others => '0'); --v.cb4_out2_reg := (others => '0'); v.exp_in_reg := (others => '0'); v.exp_out_reg := (others => '0'); v.hsc_in_reg := (others => '0'); v.hsc_out_reg := (others => '0'); end if; -- get switches and buttons if switchact = '1' then v.sw_inreg(N_SWITCHES-1 downto 0) := MultiIO_in.switch_in; else v.sw_inreg(N_SWITCHES-1 downto 0) := not MultiIO_in.switch_in; end if; v.btn_inreg(7 downto 0) := key; v.btn_irqs := (others => '0'); --------------------------------------------------------------------------- -- TO BE ALTERED --------------------------------------------------------------------------- -- set local button-IRQs for i in 0 to v.btn_irqs'left loop -- detect low-to-high transition if (v.btn_inreg(i) = '1') and (r.btn_inreg(i) = '0') then -- set local IRQs if IRQ enabled v.btn_irqs(i) := v.btn_inreg(i) and r.irqenareg(i); else -- clear local IRQs v.btn_irqs(i) := '0'; end if; end loop; --------------------------------------------------------------------------- -- read registers readdata := (others => 'X'); case conv_integer(apbi.paddr(6 downto 2)) is when 0 => readdata := r.ledreg; -- LEDs when 1 => readdata := r.led7reg; -- seven segment when 2 => readdata := r.codecreg; -- codec command register when 3 => readdata := r.codecreg2; -- codec i2s register when 4 => readdata := r.sw_inreg; -- switches when 5 => readdata := r.btn_inreg; -- buttons when 6 => readdata := r.irqenareg; -- IRQ enables when 7 => readdata := conv_std_logic_vector(pirq, 32); -- IRQ# when 8 => readdata := version; -- version when 9 => readdata := r.lcdreg; -- LCD data when 10 => readdata := r.exp_out_reg; -- expansion connector out when 11 => readdata := r.exp_in_reg; -- expansion connector in when 12 => readdata := r.hsc_out_reg; when 13 => readdata := r.hsc_in_reg; --when 14 => readdata := r.cb4_out1_reg; -- childboard4 connector out --when 15 => readdata := r.cb4_out2_reg; -- childboard4 connector out -- when 14 => readdata := r.cb3_in_reg; -- childboard3 connector in -- when 15 => readdata := r.cb3_out_reg; -- childboard3 connector out --when 14 => readdata := r.cb1_out_reg; -- childboard1 connector out --when 15 => readdata := r.cb1_in_reg; -- childboard1 connector in when others => null; end case; -- write registers if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then case conv_integer(apbi.paddr(6 downto 2)) is when 0 => v.ledreg := GND(31 downto N_LEDS) & apbi.pwdata(N_LEDS-1 downto 0); -- write LEDs when 1 => v.led7reg := GND(31 downto N_SEVSEGBITS) & apbi.pwdata(N_SEVSEGBITS-1 downto 0); -- write 7Segment when 2 => v.codecreg := GND(31 downto N_CODECBITS) & apbi.pwdata(N_CODECBITS-1 downto 0); when 3 => v.codecreg2 := GND(31 downto N_CODECI2SBITS) & apbi.pwdata(N_CODECI2SBITS-1 downto 0); when 6 => v.irqenareg := GND(31 downto N_BUTTONS) & apbi.pwdata(N_BUTTONS-1 downto 0); when 9 => v.lcdreg := GND(31 downto N_LCDBITS) & apbi.pwdata(N_LCDBITS-1 downto 0); -- signal that new data has arrived -- v.new_data_valid := '0'; v.new_data := '1'; when 10 => v.exp_out_reg := GND(31 downto N_EXPBITS/2) & -- bit(N_EXPBITS) holds enable signal apbi.pwdata(N_EXPBITS/2-1 downto 0); when 12 => v.hsc_out_reg := GND(31 downto N_HSCBITS) & apbi.pwdata(N_HSCBITS-1 downto 0); --when 14 => v.cb4_out1_reg := -- apbi.pwdata(31 downto 0); -- when 15 => v.cb3_out_reg := -- apbi.pwdata(31 downto 0); --when 14 => v.exp_out_reg := -- GND(31 downto 13) & -- -- bit(N_EXPBITS) holds enable signal -- apbi.pwdata(12 downto 0); when others => null; end case; end if; -- set PIRQ irqs := (others => '0'); for i in 0 to v.btn_irqs'left loop -- set IRQ if button-i pressed and IRQ enabled irqs(pirq) := irqs(pirq) or r.btn_irqs(i); end loop; if ledact = '1' then MultiIO_out.led_out <= r.ledreg(N_LEDS-1 downto 0); -- not inverted else MultiIO_out.led_out <= not r.ledreg(N_LEDS-1 downto 0); -- inverted end if; -- disable seven segment and LC display by default -- MultiIO_out.lcd_enable <= '0'; MultiIO_out.lcd_rw <= r.lcdreg(8); MultiIO_out.lcd_regsel <= r.lcdreg(9); -- reset new lcd data flag -- will be enabled when new data are written to the LCD register if MUXCounter = 4 then v.new_data := '0'; -- v.serviced := '1'; end if; -- register inputs from expansion connector v.exp_in_reg(N_EXPBITS/2-1 downto 0) := MultiIO_in.exp_in; MultiIO_out.exp_out <= r.exp_out_reg(N_EXPBITS/2-1 downto 0); -- high-speed connector v.hsc_in_reg(N_HSCBITS-1 downto 0) := MultiIO_in.hsc_in; MultiIO_out.hsc_out <= r.hsc_out_reg(N_HSCBITS-1 downto 0); -- configure control port of audio codec for SPI mode MultiIO_out.codec_mode <= '1'; apbo.prdata <= readdata; -- output data to Leon apbo.pirq <= irqs; -- output IRQs to Leon apbo.pindex <= pindex; -- output index to Leon rin <= v; -- update registers end process; apbo.pconfig <= pconfig; -- output config to Leon regs : process(clk) -- update registers begin if rising_edge(clk) then r <= rin; end if; end process; KeyBoard : process(clk, rst_n) variable ColumnStrobe : std_logic_vector(2 downto 0); variable FirstTime : boolean; variable NewColumnRow : std_logic_vector(6 downto 0); begin if rst_n = '0' then MultiIO_out.column_out <= (others => '0'); -- all column off Key <= X"40"; -- default '@' after Reset and no key pressed OldColumnRow1 <= "1111111"; OldColumnRow2 <= "1110011"; ColumnStrobe := "001"; FirstTime := true; elsif rising_edge(clk) then if Enable1ms then if MultiIO_in.row_in = "0000" then -- no key pressed ColumnStrobe := ColumnStrobe(1) & ColumnStrobe(0) & ColumnStrobe(2); -- rotate column MultiIO_out.column_out <= ColumnStrobe; if not FirstTime then Key <= X"3F"; -- no key pressed '?' end if; else -- key pressed OldColumnRow2 <= OldColumnRow1; -- check whether button inputs produce a high or a -- low level, then assign these inputs in order that -- they can be decoded into ASCII format if buttonact = '1' then NewColumnRow := ColumnStrobe & MultiIO_in.row_in; else NewColumnRow := ColumnStrobe & not MultiIO_in.row_in; end if; OldColumnRow1 <= NewColumnRow; if (ColumnStrobe & MultiIO_in.row_in = OldColumnRow1) and (OldColumnRow1 = OldColumnRow2) then -- debounced FirstTime := false; -- 1st valid key pressed case OldColumnRow2 is -- decode keys into ascii characters when "0010001" => Key <= x"31"; -- 1 when "0010010" => Key <= x"34"; -- 4 when "0010100" => Key <= x"37"; -- 7 when "0011000" => Key <= x"43"; -- C when "0100001" => Key <= x"32"; -- 2 when "0100010" => Key <= x"35"; -- 5 when "0100100" => Key <= x"38"; -- 8 when "0101000" => Key <= x"30"; -- 0 when "1000001" => Key <= x"33"; -- 3 when "1000010" => Key <= x"36"; -- 6 when "1000100" => Key <= x"39"; -- 9 when "1001000" => Key <= x"45"; -- E when others => Key <= x"39"; -- ? -- more than one key pressed end case; else Key <= x"3D"; -- '=' -- bouncing end if; -- debounce end if; -- MultiIO_in.row_in end if; -- Enable1ms end if; -- rst_n end process KeyBoard; Multiplex3Sources : if hpe_version = midi generate Multiplex : process(MUXCounter, r) begin -- disable LED output by default MultiIO_out.led_enable <= '0' xnor ledact; -- disable 7-segment display by default MultiIO_out.led_ca_out <= "00" xnor (led7act & led7act); -- set enable signal in the middle of LCD timeslots if MUXCounter = 3 then MultiIO_out.lcd_enable <= '1'; else MultiIO_out.lcd_enable <= '0'; end if; case MUXCounter is when 0 | 1 => -- output logical value according to active level of the 7segment display MultiIO_out.led_a_out <= r.led7reg(MUXCounter*8 + 0) xnor led7act; MultiIO_out.led_b_out <= r.led7reg(MUXCounter*8 + 1) xnor led7act; MultiIO_out.led_c_out <= r.led7reg(MUXCounter*8 + 2) xnor led7act; MultiIO_out.led_d_out <= r.led7reg(MUXCounter*8 + 3) xnor led7act; MultiIO_out.led_e_out <= r.led7reg(MUXCounter*8 + 4) xnor led7act; MultiIO_out.led_f_out <= r.led7reg(MUXCounter*8 + 5) xnor led7act; MultiIO_out.led_g_out <= r.led7reg(MUXCounter*8 + 6) xnor led7act; MultiIO_out.led_dp_out <= r.led7reg(MUXCounter*8 + 7) xnor led7act; -- selectively enable the current digit for i in 0 to 1 loop if i = MUXCounter then MultiIO_out.led_ca_out(i) <= '1' xnor led7act; else MultiIO_out.led_ca_out(i) <= '0' xnor led7act; end if; end loop; -- i when 2 | 3 | 4 => MultiIO_out.led_a_out <= r.lcdreg(0); MultiIO_out.led_b_out <= r.lcdreg(1); MultiIO_out.led_c_out <= r.lcdreg(2); MultiIO_out.led_d_out <= r.lcdreg(3); MultiIO_out.led_e_out <= r.lcdreg(4); MultiIO_out.led_f_out <= r.lcdreg(5); MultiIO_out.led_g_out <= r.lcdreg(6); MultiIO_out.led_dp_out <= r.lcdreg(7); when 5 | 6 => MultiIO_out.led_enable <= '1' xnor ledact; MultiIO_out.led_a_out <= r.ledreg(0) xnor ledact; MultiIO_out.led_b_out <= r.ledreg(1) xnor ledact; MultiIO_out.led_c_out <= r.ledreg(2) xnor ledact; MultiIO_out.led_d_out <= r.ledreg(3) xnor ledact; MultiIO_out.led_e_out <= r.ledreg(4) xnor ledact; MultiIO_out.led_f_out <= r.ledreg(5) xnor ledact; MultiIO_out.led_g_out <= r.ledreg(6) xnor ledact; MultiIO_out.led_dp_out <= r.ledreg(7) xnor ledact; when others => null; end case; end process Multiplex; end generate Multiplex3Sources; Multiplex2Sources : if hpe_version /= midi generate Multiplex : process(MUXCounter, r) begin -- disable LED output by default MultiIO_out.led_enable <= '0' xnor ledact; -- disable 7-segment display by default MultiIO_out.led_ca_out <= "00" xnor (led7act & led7act); -- set enable signal in the middle of LCD timeslots if MUXCounter = 3 then MultiIO_out.lcd_enable <= '1'; else MultiIO_out.lcd_enable <= '0'; end if; case MUXCounter is when 0 | 1 => -- output logical value according to active level of the 7segment display MultiIO_out.led_a_out <= r.led7reg(MUXCounter*8 + 0) xnor led7act; MultiIO_out.led_b_out <= r.led7reg(MUXCounter*8 + 1) xnor led7act; MultiIO_out.led_c_out <= r.led7reg(MUXCounter*8 + 2) xnor led7act; MultiIO_out.led_d_out <= r.led7reg(MUXCounter*8 + 3) xnor led7act; MultiIO_out.led_e_out <= r.led7reg(MUXCounter*8 + 4) xnor led7act; MultiIO_out.led_f_out <= r.led7reg(MUXCounter*8 + 5) xnor led7act; MultiIO_out.led_g_out <= r.led7reg(MUXCounter*8 + 6) xnor led7act; MultiIO_out.led_dp_out <= r.led7reg(MUXCounter*8 + 7) xnor led7act; -- selectively enable the current digit for i in 0 to 1 loop if i = MUXCounter then MultiIO_out.led_ca_out(i) <= '1' xnor led7act; else MultiIO_out.led_ca_out(i) <= '0' xnor led7act; end if; end loop; -- i when others => MultiIO_out.led_a_out <= r.lcdreg(0); MultiIO_out.led_b_out <= r.lcdreg(1); MultiIO_out.led_c_out <= r.lcdreg(2); MultiIO_out.led_d_out <= r.lcdreg(3); MultiIO_out.led_e_out <= r.lcdreg(4); MultiIO_out.led_f_out <= r.lcdreg(5); MultiIO_out.led_g_out <= r.lcdreg(6); MultiIO_out.led_dp_out <= r.lcdreg(7); end case; end process Multiplex; end generate Multiplex2Sources; -- generate prescaler signal every 100 ms -- control MUXCounter according to input and board type Count1ms : process(clk, rst_n) constant divider100ms : integer := clk_freq_in / 10_000; variable frequency_counter : integer range 0 to Divider100ms; begin if rst_n = '0' then frequency_counter := Divider100ms; Enable1ms <= false; MUXCounter <= 0; elsif rising_edge(clk) then if frequency_counter = 0 then -- 1-ms counter has expired frequency_counter := Divider100ms; Enable1ms <= true; if (hpe_version = midi) then -- skip LCD control sequence and go to -- LED control if (MUXCounter = 1 and r.new_data = '0') then MUXCounter <= 5; -- overflow at maximum counter value for Hpe_midi elsif MUXCounter = MUXMAX-1 then MUXCounter <= 0; else MUXCounter <= MUXCounter + 1; end if; elsif (hpe_version /= midi) then -- skip LCD control sequence and go back to -- 7-segment control if (MUXCounter = 1 and r.new_data = '0') then MUXCounter <= 0; -- overflow at maximum counter value for Hpe_mini elsif MUXCounter = MUXMAX-3 then MUXCounter <= 0; else MUXCounter <= MUXCounter + 1; end if; end if; else frequency_counter := frequency_counter - 1; Enable1ms <= false; end if; end if; end process; --------------------------------------------------------------------------------------- -- AUDIO CODEC SECTION --------------------------------------------------------------------------------------- tlv320aic23b_audio : if hpe_version = mini_altera generate -- audio clock generation clk_gen : ClockGenerator port map ( Clk => clk, Reset => rst_n, omclk => clkgen_mclk, obclk => clkgen_bclk, osclk => clkgen_sclk, olrcout => clkgen_lrclk); -- drive clock signals by clock generator MultiIO_out.CODEC_SCLK <= clkgen_sclk; MultiIO_out.CODEC_MCLK <= clkgen_mclk; MultiIO_out.CODEC_BCLK <= clkgen_bclk; MultiIO_out.CODEC_LRCIN <= clkgen_lrclk; MultiIO_out.CODEC_LRCOUT <= clkgen_lrclk; -- SPI control interface spi_xmit_1 : spi_xmit generic map ( data_width => N_CODECBITS) port map ( clk_i => clkgen_SCLK, rst_i => rst_n, data_i => r.codecreg(N_CODECBITS-1 downto 0), CODEC_SDIN => MultiIO_out.CODEC_SDIN, CODEC_CS => MultiIO_out.CODEC_CS); -- I2C data interface ParToI2s_1 : ParToI2s generic map ( SampleSize_g => N_CODECI2SBITS) port map ( Clk_i => clk, Reset_i => rst_n, SampleLeft_i => SampleReg, SampleRight_i => SampleReg, StrobeLeft_i => Strobe, StrobeRight_i => Strobe, SampleAck_o => SampleAck, WaitForSample_o => WaitForSample, SClk_i => clkgen_sclk, LRClk_i => clkgen_lrclk, SdnyData_o => MultiIO_out.CODEC_DIN); audio_ctrl_sm : process(SampleAck, WaitForSample, state) begin next_state <= state; next_Strobe <= '0'; case state is when WAIT_FOR_SYNC => if WaitForSample = '1' then next_state <= READY; end if; when READY => next_state <= WAIT_FOR_ACK; next_Strobe <= '1'; when WAIT_FOR_ACK => if SampleAck = '1' then next_state <= READY; end if; when others => next_state <= WAIT_FOR_SYNC; end case; end process; audio_ctrl_reg : process(clk, rst_n) begin if rst_n = '0' then -- asynchronous reset state <= WAIT_FOR_SYNC; Strobe <= '0'; SampleReg <= (others => '0'); elsif clk'event and clk = '1' then state <= next_state; Strobe <= next_Strobe; if (next_Strobe) = '1' then -- if Mode = '0' then -- SampleReg <= std_ulogic_vector(unsigned(AudioSample)- X"80"); -- else -- SampleReg <= AudioSample; -- end if; SampleReg <= std_ulogic_vector(r.codecreg2(N_CODECI2SBITS-1 downto 0)); end if; end if; end process; end generate tlv320aic23b_audio; --------------------------------------------------------------------------------------- -- DEBUG SECTION --------------------------------------------------------------------------------------- -- pragma translate_off KeyVal <= ascii2char(conv_integer(Key)) when (conv_integer(Key) >= 16#30#) and (conv_integer(Key) <= 16#46#) else 'U'; bootmsg : report_version generic map ("MultiIO_APB6:" & tost(pindex) & ", Human Interface Controller rev " & tost(REVISION) & ", IRQ " & tost(pirq)); -- pragma translate_on end architecture;
-------------------------------------------------------------------- -- Entity: MultiIO_APB -- File: MultiIO_APB.vhd -- Author: Thomas Ameseder, Gleichmann Electronics -- Based on an orginal version by [email protected] -- -- Description: APB Multiple digital I/O for minimal User Interface -------------------------------------------------------------------- -- Functionality: -- 8 LEDs, active low or high, r/w -- dual 7Segment, active low or high, w only -- 8 DIL Switches, active low or high, r only -- 8 Buttons, active low or high, r only, with IRQ enables -------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library gleichmann; use gleichmann.spi.all; use gleichmann.i2c.all; use gleichmann.miscellaneous.all; use gleichmann.multiio.all; -- pragma translate_off use std.textio.all; -- pragma translate_on entity MultiIO_APB is generic ( hpe_version: integer := 0; -- adapt multiplexing for different boards pindex : integer := 0; -- Leon-Index paddr : integer := 0; -- Leon-Address pmask : integer := 16#FFF#; -- Leon-Mask pirq : integer := 0; -- Leon-IRQ clk_freq_in : integer := 25_000_000; -- Leons clock to calculate timings led7act : std_logic := '0'; -- active level for 7Segment ledact : std_logic := '0'; -- active level for LEDs switchact : std_logic := '1'; -- active level for LED's buttonact : std_logic := '1'; -- active level for LED's n_switches : integer := 8; -- number of switches that are driven n_leds : integer := 8 -- number of LEDs that are driven ); port ( rst_n : in std_ulogic; -- global Reset, active low clk : in std_ulogic; -- global Clock apbi : in apb_slv_in_type; -- APB-Input apbo : out apb_slv_out_type; -- APB-Output MultiIO_in : in MultiIO_in_type; -- MultIO-Inputs MultiIO_out : out MultiIO_out_type -- MultiIO-Outputs ); end entity; architecture Implementation of MultiIO_APB is ---------------------- constant VERSION : std_logic_vector(31 downto 0) := x"EA_07_12_06"; constant REVISION : integer := 1; constant MUXMAX : integer := 7; constant VCC : std_logic_vector(31 downto 0) := (others => '1'); constant GND : std_logic_vector(31 downto 0) := (others => '0'); signal Enable1ms : boolean; signal MUXCounter : integer range 0 to MUXMAX-1; signal clkgen_mclk : std_ulogic; signal clkgen_bclk : std_ulogic; signal clkgen_sclk : std_ulogic; signal clkgen_lrclk : std_ulogic; type state_t is (WAIT_FOR_SYNC,READY,WAIT_FOR_ACK); signal state,next_state : state_t; signal Strobe,next_Strobe : std_ulogic; -- status signals of the i2s core for upper-level state machine signal SampleAck, WaitForSample : std_ulogic; signal samplereg : std_ulogic_vector(N_CODECI2SBITS-1 downto 0); constant pconfig : apb_config_type := ( 0 => ahb_device_reg (VENDOR_GLEICHMANN, GLEICHMANN_HIFC, 0, REVISION, pirq), 1 => apb_iobar(paddr, pmask) ); type MultiIOregisters is record ledreg : std_logic_vector(31 downto 0); -- LEDs led7reg : std_logic_vector(31 downto 0); -- Dual 7Segment LEDs codecreg : std_logic_vector(31 downto 0); codecreg2 : std_logic_vector(31 downto 0); -- Switches in sw_inreg : std_logic_vector(31 downto 0); -- ASCII value of input button btn_inreg : std_logic_vector(31 downto 0); irqenareg : std_logic_vector(31 downto 0); -- IRQ enables for Buttons btn_irqs : std_logic_vector(31 downto 0); -- IRQs from each Button new_data : std_ulogic; -- new_data_valid : std_ulogic; lcdreg : std_logic_vector(31 downto 0); -- LCD instruction --cb1_in_reg : std_logic_vector(31 downto 0); --cb1_out_reg : std_logic_vector(31 downto 0); -- cb3_in_reg : std_logic_vector(31 downto 0); --cb4_in2_reg : std_logic_vector(31 downto 0); -- cb3_out_reg : std_logic_vector(31 downto 0); --cb4_out2_reg : std_logic_vector(31 downto 0); exp_in_reg : std_logic_vector(31 downto 0); exp_out_reg : std_logic_vector(31 downto 0); hsc_out_reg : std_logic_vector(31 downto 0); hsc_in_reg : std_logic_vector(31 downto 0); end record; signal r, rin : MultiIOregisters; -- register sets signal Key : std_logic_vector(7 downto 0); -- ASCII value of button -- character representation of the key (for simulation purposes) signal KeyVal : character; signal OldColumnRow1 : std_logic_vector(6 downto 0); -- for key debounce signal OldColumnRow2 : std_logic_vector(6 downto 0); -- for key debounce begin reg_rw : process(MUXCounter, MultiIO_in, apbi, key, r, rst_n) variable readdata : std_logic_vector(31 downto 0); -- system bus width variable irqs : std_logic_vector(31 downto 0); -- system IRQs width variable v : MultiIOregisters; -- register set begin v := r; -- reset registers if rst_n = '0' then -- lower half of LEDs on v.ledreg := (others => '0'); v.ledreg(3 downto 0) := "1111"; v.led7reg := (others => '0'); v.led7reg(15 downto 0) := X"38_4F"; -- show "L3" Leon3 on 7Segments v.codecreg := (others => '0'); v.codecreg2 := (others => '0'); v.irqenareg := (others => '0'); -- IRQs disable v.btn_inreg := (others => '0'); v.sw_inreg := (others => '0'); -- new data flag off v.new_data := '0'; -- v.new_data_valid := '0'; v.lcdreg := (others => '0'); -- v.cb3_in_reg := (others => '0'); --v.cb4_in2_reg := (others => '0'); -- v.cb3_out_reg := (others => '0'); --v.cb4_out2_reg := (others => '0'); v.exp_in_reg := (others => '0'); v.exp_out_reg := (others => '0'); v.hsc_in_reg := (others => '0'); v.hsc_out_reg := (others => '0'); end if; -- get switches and buttons if switchact = '1' then v.sw_inreg(N_SWITCHES-1 downto 0) := MultiIO_in.switch_in; else v.sw_inreg(N_SWITCHES-1 downto 0) := not MultiIO_in.switch_in; end if; v.btn_inreg(7 downto 0) := key; v.btn_irqs := (others => '0'); --------------------------------------------------------------------------- -- TO BE ALTERED --------------------------------------------------------------------------- -- set local button-IRQs for i in 0 to v.btn_irqs'left loop -- detect low-to-high transition if (v.btn_inreg(i) = '1') and (r.btn_inreg(i) = '0') then -- set local IRQs if IRQ enabled v.btn_irqs(i) := v.btn_inreg(i) and r.irqenareg(i); else -- clear local IRQs v.btn_irqs(i) := '0'; end if; end loop; --------------------------------------------------------------------------- -- read registers readdata := (others => 'X'); case conv_integer(apbi.paddr(6 downto 2)) is when 0 => readdata := r.ledreg; -- LEDs when 1 => readdata := r.led7reg; -- seven segment when 2 => readdata := r.codecreg; -- codec command register when 3 => readdata := r.codecreg2; -- codec i2s register when 4 => readdata := r.sw_inreg; -- switches when 5 => readdata := r.btn_inreg; -- buttons when 6 => readdata := r.irqenareg; -- IRQ enables when 7 => readdata := conv_std_logic_vector(pirq, 32); -- IRQ# when 8 => readdata := version; -- version when 9 => readdata := r.lcdreg; -- LCD data when 10 => readdata := r.exp_out_reg; -- expansion connector out when 11 => readdata := r.exp_in_reg; -- expansion connector in when 12 => readdata := r.hsc_out_reg; when 13 => readdata := r.hsc_in_reg; --when 14 => readdata := r.cb4_out1_reg; -- childboard4 connector out --when 15 => readdata := r.cb4_out2_reg; -- childboard4 connector out -- when 14 => readdata := r.cb3_in_reg; -- childboard3 connector in -- when 15 => readdata := r.cb3_out_reg; -- childboard3 connector out --when 14 => readdata := r.cb1_out_reg; -- childboard1 connector out --when 15 => readdata := r.cb1_in_reg; -- childboard1 connector in when others => null; end case; -- write registers if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then case conv_integer(apbi.paddr(6 downto 2)) is when 0 => v.ledreg := GND(31 downto N_LEDS) & apbi.pwdata(N_LEDS-1 downto 0); -- write LEDs when 1 => v.led7reg := GND(31 downto N_SEVSEGBITS) & apbi.pwdata(N_SEVSEGBITS-1 downto 0); -- write 7Segment when 2 => v.codecreg := GND(31 downto N_CODECBITS) & apbi.pwdata(N_CODECBITS-1 downto 0); when 3 => v.codecreg2 := GND(31 downto N_CODECI2SBITS) & apbi.pwdata(N_CODECI2SBITS-1 downto 0); when 6 => v.irqenareg := GND(31 downto N_BUTTONS) & apbi.pwdata(N_BUTTONS-1 downto 0); when 9 => v.lcdreg := GND(31 downto N_LCDBITS) & apbi.pwdata(N_LCDBITS-1 downto 0); -- signal that new data has arrived -- v.new_data_valid := '0'; v.new_data := '1'; when 10 => v.exp_out_reg := GND(31 downto N_EXPBITS/2) & -- bit(N_EXPBITS) holds enable signal apbi.pwdata(N_EXPBITS/2-1 downto 0); when 12 => v.hsc_out_reg := GND(31 downto N_HSCBITS) & apbi.pwdata(N_HSCBITS-1 downto 0); --when 14 => v.cb4_out1_reg := -- apbi.pwdata(31 downto 0); -- when 15 => v.cb3_out_reg := -- apbi.pwdata(31 downto 0); --when 14 => v.exp_out_reg := -- GND(31 downto 13) & -- -- bit(N_EXPBITS) holds enable signal -- apbi.pwdata(12 downto 0); when others => null; end case; end if; -- set PIRQ irqs := (others => '0'); for i in 0 to v.btn_irqs'left loop -- set IRQ if button-i pressed and IRQ enabled irqs(pirq) := irqs(pirq) or r.btn_irqs(i); end loop; if ledact = '1' then MultiIO_out.led_out <= r.ledreg(N_LEDS-1 downto 0); -- not inverted else MultiIO_out.led_out <= not r.ledreg(N_LEDS-1 downto 0); -- inverted end if; -- disable seven segment and LC display by default -- MultiIO_out.lcd_enable <= '0'; MultiIO_out.lcd_rw <= r.lcdreg(8); MultiIO_out.lcd_regsel <= r.lcdreg(9); -- reset new lcd data flag -- will be enabled when new data are written to the LCD register if MUXCounter = 4 then v.new_data := '0'; -- v.serviced := '1'; end if; -- register inputs from expansion connector v.exp_in_reg(N_EXPBITS/2-1 downto 0) := MultiIO_in.exp_in; MultiIO_out.exp_out <= r.exp_out_reg(N_EXPBITS/2-1 downto 0); -- high-speed connector v.hsc_in_reg(N_HSCBITS-1 downto 0) := MultiIO_in.hsc_in; MultiIO_out.hsc_out <= r.hsc_out_reg(N_HSCBITS-1 downto 0); -- configure control port of audio codec for SPI mode MultiIO_out.codec_mode <= '1'; apbo.prdata <= readdata; -- output data to Leon apbo.pirq <= irqs; -- output IRQs to Leon apbo.pindex <= pindex; -- output index to Leon rin <= v; -- update registers end process; apbo.pconfig <= pconfig; -- output config to Leon regs : process(clk) -- update registers begin if rising_edge(clk) then r <= rin; end if; end process; KeyBoard : process(clk, rst_n) variable ColumnStrobe : std_logic_vector(2 downto 0); variable FirstTime : boolean; variable NewColumnRow : std_logic_vector(6 downto 0); begin if rst_n = '0' then MultiIO_out.column_out <= (others => '0'); -- all column off Key <= X"40"; -- default '@' after Reset and no key pressed OldColumnRow1 <= "1111111"; OldColumnRow2 <= "1110011"; ColumnStrobe := "001"; FirstTime := true; elsif rising_edge(clk) then if Enable1ms then if MultiIO_in.row_in = "0000" then -- no key pressed ColumnStrobe := ColumnStrobe(1) & ColumnStrobe(0) & ColumnStrobe(2); -- rotate column MultiIO_out.column_out <= ColumnStrobe; if not FirstTime then Key <= X"3F"; -- no key pressed '?' end if; else -- key pressed OldColumnRow2 <= OldColumnRow1; -- check whether button inputs produce a high or a -- low level, then assign these inputs in order that -- they can be decoded into ASCII format if buttonact = '1' then NewColumnRow := ColumnStrobe & MultiIO_in.row_in; else NewColumnRow := ColumnStrobe & not MultiIO_in.row_in; end if; OldColumnRow1 <= NewColumnRow; if (ColumnStrobe & MultiIO_in.row_in = OldColumnRow1) and (OldColumnRow1 = OldColumnRow2) then -- debounced FirstTime := false; -- 1st valid key pressed case OldColumnRow2 is -- decode keys into ascii characters when "0010001" => Key <= x"31"; -- 1 when "0010010" => Key <= x"34"; -- 4 when "0010100" => Key <= x"37"; -- 7 when "0011000" => Key <= x"43"; -- C when "0100001" => Key <= x"32"; -- 2 when "0100010" => Key <= x"35"; -- 5 when "0100100" => Key <= x"38"; -- 8 when "0101000" => Key <= x"30"; -- 0 when "1000001" => Key <= x"33"; -- 3 when "1000010" => Key <= x"36"; -- 6 when "1000100" => Key <= x"39"; -- 9 when "1001000" => Key <= x"45"; -- E when others => Key <= x"39"; -- ? -- more than one key pressed end case; else Key <= x"3D"; -- '=' -- bouncing end if; -- debounce end if; -- MultiIO_in.row_in end if; -- Enable1ms end if; -- rst_n end process KeyBoard; Multiplex3Sources : if hpe_version = midi generate Multiplex : process(MUXCounter, r) begin -- disable LED output by default MultiIO_out.led_enable <= '0' xnor ledact; -- disable 7-segment display by default MultiIO_out.led_ca_out <= "00" xnor (led7act & led7act); -- set enable signal in the middle of LCD timeslots if MUXCounter = 3 then MultiIO_out.lcd_enable <= '1'; else MultiIO_out.lcd_enable <= '0'; end if; case MUXCounter is when 0 | 1 => -- output logical value according to active level of the 7segment display MultiIO_out.led_a_out <= r.led7reg(MUXCounter*8 + 0) xnor led7act; MultiIO_out.led_b_out <= r.led7reg(MUXCounter*8 + 1) xnor led7act; MultiIO_out.led_c_out <= r.led7reg(MUXCounter*8 + 2) xnor led7act; MultiIO_out.led_d_out <= r.led7reg(MUXCounter*8 + 3) xnor led7act; MultiIO_out.led_e_out <= r.led7reg(MUXCounter*8 + 4) xnor led7act; MultiIO_out.led_f_out <= r.led7reg(MUXCounter*8 + 5) xnor led7act; MultiIO_out.led_g_out <= r.led7reg(MUXCounter*8 + 6) xnor led7act; MultiIO_out.led_dp_out <= r.led7reg(MUXCounter*8 + 7) xnor led7act; -- selectively enable the current digit for i in 0 to 1 loop if i = MUXCounter then MultiIO_out.led_ca_out(i) <= '1' xnor led7act; else MultiIO_out.led_ca_out(i) <= '0' xnor led7act; end if; end loop; -- i when 2 | 3 | 4 => MultiIO_out.led_a_out <= r.lcdreg(0); MultiIO_out.led_b_out <= r.lcdreg(1); MultiIO_out.led_c_out <= r.lcdreg(2); MultiIO_out.led_d_out <= r.lcdreg(3); MultiIO_out.led_e_out <= r.lcdreg(4); MultiIO_out.led_f_out <= r.lcdreg(5); MultiIO_out.led_g_out <= r.lcdreg(6); MultiIO_out.led_dp_out <= r.lcdreg(7); when 5 | 6 => MultiIO_out.led_enable <= '1' xnor ledact; MultiIO_out.led_a_out <= r.ledreg(0) xnor ledact; MultiIO_out.led_b_out <= r.ledreg(1) xnor ledact; MultiIO_out.led_c_out <= r.ledreg(2) xnor ledact; MultiIO_out.led_d_out <= r.ledreg(3) xnor ledact; MultiIO_out.led_e_out <= r.ledreg(4) xnor ledact; MultiIO_out.led_f_out <= r.ledreg(5) xnor ledact; MultiIO_out.led_g_out <= r.ledreg(6) xnor ledact; MultiIO_out.led_dp_out <= r.ledreg(7) xnor ledact; when others => null; end case; end process Multiplex; end generate Multiplex3Sources; Multiplex2Sources : if hpe_version /= midi generate Multiplex : process(MUXCounter, r) begin -- disable LED output by default MultiIO_out.led_enable <= '0' xnor ledact; -- disable 7-segment display by default MultiIO_out.led_ca_out <= "00" xnor (led7act & led7act); -- set enable signal in the middle of LCD timeslots if MUXCounter = 3 then MultiIO_out.lcd_enable <= '1'; else MultiIO_out.lcd_enable <= '0'; end if; case MUXCounter is when 0 | 1 => -- output logical value according to active level of the 7segment display MultiIO_out.led_a_out <= r.led7reg(MUXCounter*8 + 0) xnor led7act; MultiIO_out.led_b_out <= r.led7reg(MUXCounter*8 + 1) xnor led7act; MultiIO_out.led_c_out <= r.led7reg(MUXCounter*8 + 2) xnor led7act; MultiIO_out.led_d_out <= r.led7reg(MUXCounter*8 + 3) xnor led7act; MultiIO_out.led_e_out <= r.led7reg(MUXCounter*8 + 4) xnor led7act; MultiIO_out.led_f_out <= r.led7reg(MUXCounter*8 + 5) xnor led7act; MultiIO_out.led_g_out <= r.led7reg(MUXCounter*8 + 6) xnor led7act; MultiIO_out.led_dp_out <= r.led7reg(MUXCounter*8 + 7) xnor led7act; -- selectively enable the current digit for i in 0 to 1 loop if i = MUXCounter then MultiIO_out.led_ca_out(i) <= '1' xnor led7act; else MultiIO_out.led_ca_out(i) <= '0' xnor led7act; end if; end loop; -- i when others => MultiIO_out.led_a_out <= r.lcdreg(0); MultiIO_out.led_b_out <= r.lcdreg(1); MultiIO_out.led_c_out <= r.lcdreg(2); MultiIO_out.led_d_out <= r.lcdreg(3); MultiIO_out.led_e_out <= r.lcdreg(4); MultiIO_out.led_f_out <= r.lcdreg(5); MultiIO_out.led_g_out <= r.lcdreg(6); MultiIO_out.led_dp_out <= r.lcdreg(7); end case; end process Multiplex; end generate Multiplex2Sources; -- generate prescaler signal every 100 ms -- control MUXCounter according to input and board type Count1ms : process(clk, rst_n) constant divider100ms : integer := clk_freq_in / 10_000; variable frequency_counter : integer range 0 to Divider100ms; begin if rst_n = '0' then frequency_counter := Divider100ms; Enable1ms <= false; MUXCounter <= 0; elsif rising_edge(clk) then if frequency_counter = 0 then -- 1-ms counter has expired frequency_counter := Divider100ms; Enable1ms <= true; if (hpe_version = midi) then -- skip LCD control sequence and go to -- LED control if (MUXCounter = 1 and r.new_data = '0') then MUXCounter <= 5; -- overflow at maximum counter value for Hpe_midi elsif MUXCounter = MUXMAX-1 then MUXCounter <= 0; else MUXCounter <= MUXCounter + 1; end if; elsif (hpe_version /= midi) then -- skip LCD control sequence and go back to -- 7-segment control if (MUXCounter = 1 and r.new_data = '0') then MUXCounter <= 0; -- overflow at maximum counter value for Hpe_mini elsif MUXCounter = MUXMAX-3 then MUXCounter <= 0; else MUXCounter <= MUXCounter + 1; end if; end if; else frequency_counter := frequency_counter - 1; Enable1ms <= false; end if; end if; end process; --------------------------------------------------------------------------------------- -- AUDIO CODEC SECTION --------------------------------------------------------------------------------------- tlv320aic23b_audio : if hpe_version = mini_altera generate -- audio clock generation clk_gen : ClockGenerator port map ( Clk => clk, Reset => rst_n, omclk => clkgen_mclk, obclk => clkgen_bclk, osclk => clkgen_sclk, olrcout => clkgen_lrclk); -- drive clock signals by clock generator MultiIO_out.CODEC_SCLK <= clkgen_sclk; MultiIO_out.CODEC_MCLK <= clkgen_mclk; MultiIO_out.CODEC_BCLK <= clkgen_bclk; MultiIO_out.CODEC_LRCIN <= clkgen_lrclk; MultiIO_out.CODEC_LRCOUT <= clkgen_lrclk; -- SPI control interface spi_xmit_1 : spi_xmit generic map ( data_width => N_CODECBITS) port map ( clk_i => clkgen_SCLK, rst_i => rst_n, data_i => r.codecreg(N_CODECBITS-1 downto 0), CODEC_SDIN => MultiIO_out.CODEC_SDIN, CODEC_CS => MultiIO_out.CODEC_CS); -- I2C data interface ParToI2s_1 : ParToI2s generic map ( SampleSize_g => N_CODECI2SBITS) port map ( Clk_i => clk, Reset_i => rst_n, SampleLeft_i => SampleReg, SampleRight_i => SampleReg, StrobeLeft_i => Strobe, StrobeRight_i => Strobe, SampleAck_o => SampleAck, WaitForSample_o => WaitForSample, SClk_i => clkgen_sclk, LRClk_i => clkgen_lrclk, SdnyData_o => MultiIO_out.CODEC_DIN); audio_ctrl_sm : process(SampleAck, WaitForSample, state) begin next_state <= state; next_Strobe <= '0'; case state is when WAIT_FOR_SYNC => if WaitForSample = '1' then next_state <= READY; end if; when READY => next_state <= WAIT_FOR_ACK; next_Strobe <= '1'; when WAIT_FOR_ACK => if SampleAck = '1' then next_state <= READY; end if; when others => next_state <= WAIT_FOR_SYNC; end case; end process; audio_ctrl_reg : process(clk, rst_n) begin if rst_n = '0' then -- asynchronous reset state <= WAIT_FOR_SYNC; Strobe <= '0'; SampleReg <= (others => '0'); elsif clk'event and clk = '1' then state <= next_state; Strobe <= next_Strobe; if (next_Strobe) = '1' then -- if Mode = '0' then -- SampleReg <= std_ulogic_vector(unsigned(AudioSample)- X"80"); -- else -- SampleReg <= AudioSample; -- end if; SampleReg <= std_ulogic_vector(r.codecreg2(N_CODECI2SBITS-1 downto 0)); end if; end if; end process; end generate tlv320aic23b_audio; --------------------------------------------------------------------------------------- -- DEBUG SECTION --------------------------------------------------------------------------------------- -- pragma translate_off KeyVal <= ascii2char(conv_integer(Key)) when (conv_integer(Key) >= 16#30#) and (conv_integer(Key) <= 16#46#) else 'U'; bootmsg : report_version generic map ("MultiIO_APB6:" & tost(pindex) & ", Human Interface Controller rev " & tost(REVISION) & ", IRQ " & tost(pirq)); -- pragma translate_on end architecture;
entity tb_repro_rng1 is end tb_repro_rng1; library ieee; use ieee.std_logic_1164.all; architecture behav of tb_repro_rng1 is signal clk : std_logic; signal a : natural range 0 to 7; signal b : natural range 0 to 7; begin dut: entity work.repro_rng1 port map ( clk => clk, a => a, b => b); process procedure pulse is begin clk <= '0'; wait for 1 ns; clk <= '1'; wait for 1 ns; end pulse; begin a <= 1; pulse; assert b = 1 severity failure; a <= 6; pulse; assert b = 6 severity failure; wait; end process; end behav;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: FiFo512Core32W32R_tb.vhd -- -- Description: -- This is the demo testbench top file for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; LIBRARY std; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.std_logic_misc.ALL; USE ieee.numeric_std.ALL; USE ieee.std_logic_textio.ALL; USE std.textio.ALL; LIBRARY work; USE work.FiFo512Core32W32R_pkg.ALL; ENTITY FiFo512Core32W32R_tb IS END ENTITY; ARCHITECTURE FiFo512Core32W32R_arch OF FiFo512Core32W32R_tb IS SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; SIGNAL wr_clk : STD_LOGIC; SIGNAL rd_clk : STD_LOGIC; SIGNAL reset : STD_LOGIC; SIGNAL sim_done : STD_LOGIC := '0'; SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); -- Write and Read clock periods CONSTANT wr_clk_period_by_2 : TIME := 100 ns; CONSTANT rd_clk_period_by_2 : TIME := 200 ns; -- Procedures to display strings PROCEDURE disp_str(CONSTANT str:IN STRING) IS variable dp_l : line := null; BEGIN write(dp_l,str); writeline(output,dp_l); END PROCEDURE; PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS variable dp_lx : line := null; BEGIN hwrite(dp_lx,hex); writeline(output,dp_lx); END PROCEDURE; BEGIN -- Generation of clock PROCESS BEGIN WAIT FOR 200 ns; -- Wait for global reset WHILE 1 = 1 LOOP wr_clk <= '0'; WAIT FOR wr_clk_period_by_2; wr_clk <= '1'; WAIT FOR wr_clk_period_by_2; END LOOP; END PROCESS; PROCESS BEGIN WAIT FOR 400 ns;-- Wait for global reset WHILE 1 = 1 LOOP rd_clk <= '0'; WAIT FOR rd_clk_period_by_2; rd_clk <= '1'; WAIT FOR rd_clk_period_by_2; END LOOP; END PROCESS; -- Generation of Reset PROCESS BEGIN reset <= '1'; WAIT FOR 4200 ns; reset <= '0'; WAIT; END PROCESS; -- Error message printing based on STATUS signal from FiFo512Core32W32R_synth PROCESS(status) BEGIN IF(status /= "0" AND status /= "1") THEN disp_str("STATUS:"); disp_hex(status); END IF; IF(status(7) = '1') THEN assert false report "Data mismatch found" severity error; END IF; IF(status(1) = '1') THEN END IF; IF(status(5) = '1') THEN assert false report "Empty flag Mismatch/timeout" severity error; END IF; IF(status(6) = '1') THEN assert false report "Full Flag Mismatch/timeout" severity error; END IF; END PROCESS; PROCESS BEGIN wait until sim_done = '1'; IF(status /= "0" AND status /= "1") THEN assert false report "Simulation failed" severity failure; ELSE assert false report "Test Completed Successfully" severity failure; END IF; END PROCESS; PROCESS BEGIN wait for 400 ms; assert false report "Test bench timed out" severity failure; END PROCESS; -- Instance of FiFo512Core32W32R_synth FiFo512Core32W32R_synth_inst:FiFo512Core32W32R_synth GENERIC MAP( FREEZEON_ERROR => 0, TB_STOP_CNT => 2, TB_SEED => 32 ) PORT MAP( WR_CLK => wr_clk, RD_CLK => rd_clk, RESET => reset, SIM_DONE => sim_done, STATUS => status ); END ARCHITECTURE;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity U232CRecv is generic ( wTime : std_logic_vector(15 downto 0) := x"1B17"); port ( clk : in std_logic; ok : in std_logic; rx_pin : in std_logic; data : out std_logic_vector (7 downto 0); recf : out std_logic); end U232CRecv; architecture statemachine of U232CRecv is signal countdown : std_logic_vector(15 downto 0); signal buf : std_logic_vector(8 downto 0) := (others => '0'); signal state : integer range 0 to 11 := 11; signal recf_i : std_logic; begin recf <= recf_i; recf_i <= '1' when state = 0 else '0'; buf(8) <= rx_pin; everyClock : process(clk) begin if rising_edge(clk) then case state is when 11 => if buf(8) = '1' then -- read start bit at half of wTime countdown <= "0"&wTime(15 downto 1); state <= 10; end if; when 10 => if buf(8) = '0' then if countdown = 0 then countdown <= wTime; state <= state-1; else countdown <= countdown-1; end if; else countdown <= "0"&wTime(15 downto 1); end if; when 1 => if countdown = 0 then if buf(8) = '1' then state <= 0; data <= buf(7 downto 0); else state <= 11; end if; else countdown <= countdown-1; end if; when 0 => if ok = '1' then state <= 11; end if; when others => if countdown = 0 then buf(7 downto 0) <= buf(8 downto 1); countdown <= wTime; state <= state-1; else countdown <= countdown-1; end if; end case; end if; end process; end statemachine;
-- libraries --------------------------------------------------------------------------------- {{{ library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.ALL; use ieee.std_logic_textio.all; use std.textio.all; ------------------------------------------------------------------------------------------------- }}} package FGPU_definitions is constant N_CU_W : natural := 2; --0 to 3 -- Bitwidth of # of CUs constant LMEM_ADDR_W : natural := 10; -- bitwidth of local memory address for a single PE constant N_AXI_W : natural := 1; -- Bitwidth of # of AXI data ports constant SUB_INTEGER_IMPLEMENT : natural := 0; -- implement sub-integer store operations constant N_STATIONS_ALU : natural := 8; -- # stations to store memory requests sourced by a single ALU constant ATOMIC_IMPLEMENT : natural := 0; -- implement global atomic operations constant LMEM_IMPLEMENT : natural := 1; -- implement local scratchpad constant N_TAG_MANAGERS_W : natural := N_CU_W+1; -- 0 to 1 -- Bitwidth of # tag controllers per CU constant RD_CACHE_N_WORDS_W : natural := 0; constant RD_CACHE_FIFO_PORTB_ADDR_W : natural := 6; constant FLOAT_IMPLEMENT : natural := 0; constant FADD_IMPLEMENT : integer := 1; constant FMUL_IMPLEMENT : integer := 1; constant FDIV_IMPLEMENT : integer := 0; constant FSQRT_IMPLEMENT : integer := 1; constant UITOFP_IMPLEMENT : integer := 1; constant FSLT_IMPLEMENT : integer := 0; constant FRSQRT_IMPLEMENT : integer := 0; constant FADD_DELAY : integer := 11; constant UITOFP_DELAY : integer := 5; constant FMUL_DELAY : integer := 8; constant FDIV_DELAY : integer := 28; constant FSQRT_DELAY : integer := 28; constant FRSQRT_DELAY : integer := 28; constant FSLT_DELAY : integer := 2; constant MAX_FPU_DELAY : integer := FDIV_DELAY; constant CACHE_N_BANKS_W : natural := 3; -- Bitwidth of # words within a cache line. Minimum is 2 constant N_RECEIVERS_CU_W : natural := 6-N_CU_W; -- Bitwidth of # of receivers inside the global memory controller per CU. (6-N_CU_W) will lead to 64 receivers whatever the # of CU is. constant BURST_WORDS_W : natural := 5; -- Bitwidth # of words within a single AXI burst constant ENABLE_READ_PRIORIRY_PIPE : boolean := false; constant FIFO_ADDR_W : natural := 3; -- Bitwidth of the fifo size to store outgoing memory requests from a CU constant N_RD_FIFOS_TAG_MANAGER_W : natural := 0; constant FINISH_FIFO_ADDR_W : natural := 3; -- Bitwidth of the fifo depth to mark dirty cache lines to be cleared at the end -- constant CRAM_BLOCKS : natural := 1; -- # of CRAM replicates. Each replicate will serve some CUs (1 or 2 supported only) constant CV_W : natural := 3; -- bitwidth of # of PEs within a CV constant CV_TO_CACHE_SLICE : natural := 3; constant INSTR_READ_SLICE : boolean := true; constant RTM_WRITE_SLICE : boolean := true; constant WRITE_PHASE_W : natural := 1; -- # of MSBs of the receiver index in the global memory controller which will be selected to write. These bits increments always. -- This incrmenetation should help to balance serving the receivers constant RCV_PRIORITY_W : natural := 3; constant N_WF_CU_W : natural := 3; -- bitwidth of # of WFs that can be simultaneously managed within a CU constant AADD_ATOMIC : natural := 1; constant AMAX_ATOMIC : natural := 1; constant GMEM_N_BANK_W : natural := 1; constant ID_WIDTH : natural := 6; constant PHASE_W : natural := 3; constant CV_SIZE : natural := 2**CV_W; constant RD_CACHE_N_WORDS : natural := 2**RD_CACHE_N_WORDS_W; constant WF_SIZE_W : natural := PHASE_W + CV_W; -- A WF will be executed on the PEs of a single CV withen PAHSE_LEN cycels constant WG_SIZE_W : natural := WF_SIZE_W + N_WF_CU_W; -- A WG must be executed on a single CV. It contains a number of WFs which is at maximum the amount that can be managed within a CV constant RTM_ADDR_W : natural := 1+2+N_WF_CU_W+PHASE_W; -- 1+2+3+3 = 9bit -- The MSB if select between local indcs or other information -- The lower 2 MSBs for d0, d1 or d2. The middle N_WF_CU_W are for the WF index with the CV. The lower LSBs are for the phase index constant RTM_DATA_W : natural := CV_SIZE*WG_SIZE_W; -- Bitwidth of RTM data ports constant BURST_W : natural := BURST_WORDS_W - GMEM_N_BANK_W; -- burst width in number of transfers on the axi bus constant RD_FIFO_N_BURSTS_W : natural := 1; constant RD_FIFO_W : natural := BURST_W + RD_FIFO_N_BURSTS_W; constant N_TAG_MANAGERS : natural := 2**N_TAG_MANAGERS_W; constant N_AXI : natural := 2**N_AXI_W; constant N_WR_FIFOS_AXI_W : natural := N_TAG_MANAGERS_W-N_AXI_W; constant INTERFCE_W_ADDR_W : natural := 14; constant CRAM_ADDR_W : natural := 12; -- TODO constant DATA_W : natural := 32; constant BRAM18kb32b_ADDR_W : natural := 9; constant BRAM36kb64b_ADDR_W : natural := 9; constant BRAM36kb_ADDR_W : natural := 10; constant INST_FIFO_PRE_LEN : natural := 8; constant CV_INST_FIFO_W : natural := 3; constant LOC_MEM_W : natural := BRAM18kb32b_ADDR_W; constant N_PARAMS_W : natural := 4; constant GMEM_ADDR_W : natural := 32; constant WI_REG_ADDR_W : natural := 5; constant N_REG_BLOCKS_W : natural := 2; constant REG_FILE_BLOCK_W : natural := PHASE_W+WI_REG_ADDR_W+N_WF_CU_W-N_REG_BLOCKS_W; -- default=3+5+3-2=9 constant N_WR_FIFOS_W : natural := N_WR_FIFOS_AXI_W + N_AXI_W; constant N_WR_FIFOS_AXI : natural := 2**N_WR_FIFOS_AXI_W; constant N_WR_FIFOS : natural := 2**N_WR_FIFOS_W; constant STAT : natural := 1; constant STAT_LOAD : natural := 0; -- cache & gmem controller constants constant BRMEM_ADDR_W : natural := BRAM36kb_ADDR_W; -- default=10 constant N_RD_PORTS : natural := 4; constant N : natural := CACHE_N_BANKS_W; -- max. 3 constant L : natural := BURST_WORDS_W-N; -- min. 2 constant M : natural := BRMEM_ADDR_W - L; -- max. 8 -- L+M = BMEM_ADDR_W = 10 = #address bits of a BRAM -- cache size = 2^(N+L+M) words; max.=8*4KB=32KB constant N_RECEIVERS_CU : natural := 2**N_RECEIVERS_CU_W; constant N_RECEIVERS_W : natural := N_CU_W + N_RECEIVERS_CU_W; constant N_RECEIVERS : natural := 2**N_RECEIVERS_W; constant N_CU_STATIONS_W : natural := 6; constant GMEM_WORD_ADDR_W : natural := GMEM_ADDR_W - 2; constant TAG_W : natural := GMEM_WORD_ADDR_W -M -L -N; constant GMEM_N_BANK : natural := 2**GMEM_N_BANK_W; constant CACHE_N_BANKS : natural := 2**CACHE_N_BANKS_W; constant REG_FILE_W : natural := N_REG_BLOCKS_W+REG_FILE_BLOCK_W; constant N_REG_BLOCKS : natural := 2**N_REG_BLOCKS_W; constant REG_ADDR_W : natural := BRAM18kb32b_ADDR_W+BRAM18kb32b_ADDR_W; constant REG_FILE_SIZE : natural := 2**REG_ADDR_W; constant REG_FILE_BLOCK_SIZE : natural := 2**REG_FILE_BLOCK_W; constant GMEM_DATA_W : natural := GMEM_N_BANK * DATA_W; constant N_PARAMS : natural := 2**N_PARAMS_W; constant LOC_MEM_SIZE : natural := 2**LOC_MEM_W; constant PHASE_LEN : natural := 2**PHASE_W; constant CV_INST_FIFO_SIZE : natural := 2**CV_INST_FIFO_W; constant N_CU : natural := 2**N_CU_W; constant N_WF_CU : natural := 2**N_WF_CU_W; constant WF_SIZE : natural := 2**WF_SIZE_W; constant CRAM_SIZE : natural := 2**CRAM_ADDR_W; constant RTM_SIZE : natural := 2**RTM_ADDR_W; constant BRAM18kb_SIZE : natural := 2**BRAM18kb32b_ADDR_W; constant regFile_addr : natural := 2**(INTERFCE_W_ADDR_W-1); -- "10" of the address msbs to choose the register file constant Rstat_addr : natural := regFile_addr + 0; --address of status register in the register file constant Rstart_addr : natural := regFile_addr + 1; --address of stat register in the register file constant RcleanCache_addr : natural := regFile_addr + 2; --address of cleanCache register in the register file constant RInitiate_addr : natural := regFile_addr + 3; --address of cleanCache register in the register file constant Rstat_regFile_addr : natural := 0; --address of status register in the register file constant Rstart_regFile_addr : natural := 1; --address of stat register in the register file constant RcleanCache_regFile_addr : natural := 2; --address of cleanCache register in the register file constant RInitiate_regFile_addr : natural := 3; --address of initiate register in the register file constant N_REG_W : natural := 2; constant PARAMS_ADDR_LOC_MEM_OFFSET : natural := LOC_MEM_SIZE - N_PARAMS; -- constant GMEM_RQST_BUS_W : natural := GMEM_DATA_W; -- new kernel descriptor ---------------------------------------------------------------- constant NEW_KRNL_DESC_W : natural := 5; -- length of the kernel's descripto constant NEW_KRNL_INDX_W : natural := 4; -- bitwidth of number of kernels that can be started constant NEW_KRNL_DESC_LEN : natural := 12; constant WG_MAX_SIZE : natural := 2**WG_SIZE_W; constant NEW_KRNL_DESC_MAX_LEN : natural := 2**NEW_KRNL_DESC_W; constant NEW_KRNL_MAX_INDX : natural := 2**NEW_KRNL_INDX_W; constant KRNL_SCH_ADDR_W : natural := NEW_KRNL_DESC_W + NEW_KRNL_INDX_W; constant NEW_KRNL_DESC_N_WF : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 0; constant NEW_KRNL_DESC_ID0_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 1; constant NEW_KRNL_DESC_ID1_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 2; constant NEW_KRNL_DESC_ID2_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 3; constant NEW_KRNL_DESC_ID0_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 4; constant NEW_KRNL_DESC_ID1_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 5; constant NEW_KRNL_DESC_ID2_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 6; constant NEW_KRNL_DESC_WG_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 7; constant NEW_KRNL_DESC_N_WG_0 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 8; constant NEW_KRNL_DESC_N_WG_1 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 9; constant NEW_KRNL_DESC_N_WG_2 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 10; constant NEW_KRNL_DESC_N_PARAMS : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 11; constant PARAMS_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 16; constant WG_SIZE_0_OFFSET : natural := 0; constant WG_SIZE_1_OFFSET : natural := 10; constant WG_SIZE_2_OFFSET : natural := 20; constant N_DIM_OFFSET : natural := 30; constant ADDR_FIRST_INST_OFFSET : natural := 0; constant ADDR_LAST_INST_OFFSET : natural := 14; constant N_WF_OFFSET : natural := 28; constant N_WG_0_OFFSET : natural := 16; constant N_WG_1_OFFSET : natural := 0; constant N_WG_2_OFFSET : natural := 16; constant WG_SIZE_OFFSET : natural := 0; constant N_PARAMS_OFFSET : natural := 28; type cram_type is array (2**CRAM_ADDR_W-1 downto 0) of std_logic_vector (DATA_W-1 downto 0); type slv32_array is array (natural range<>) of std_logic_vector(DATA_W-1 downto 0); type krnl_scheduler_ram_TYPE is array (2**KRNL_SCH_ADDR_W-1 downto 0) of std_logic_vector (DATA_W-1 downto 0); type cram_addr_array is array (natural range <>) of unsigned(CRAM_ADDR_W-1 downto 0); -- range 0 to CRAM_SIZE-1; type rtm_ram_type is array (natural range <>) of unsigned(RTM_DATA_W-1 downto 0); type gmem_addr_array is array (natural range<>) of unsigned(GMEM_ADDR_W-1 downto 0); type op_arith_shift_type is (op_add, op_lw, op_mult, op_bra, op_shift, op_slt, op_mov, op_ato, op_lmem); type op_logical_type is (op_andi, op_and, op_ori, op_or, op_xor, op_xori, op_nor); type be_array is array(natural range <>) of std_logic_vector(DATA_W/8-1 downto 0); type gmem_be_array is array(natural range <>) of std_logic_vector(GMEM_N_BANK*DATA_W/8-1 downto 0); type sl_array is array(natural range <>) of std_logic; type nat_array is array(natural range <>) of natural; type nat_2d_array is array(natural range <>, natural range <>) of natural; type reg_addr_array is array (natural range <>) of unsigned(REG_FILE_W-1 downto 0); type gmem_word_addr_array is array(natural range <>) of unsigned(GMEM_WORD_ADDR_W-1 downto 0); type gmem_addr_array_no_bank is array (natural range <>) of unsigned(GMEM_WORD_ADDR_W-CACHE_N_BANKS_W-1 downto 0); type alu_en_vec_type is array(natural range <>) of std_logic_vector(CV_SIZE-1 downto 0); type alu_en_rdAddr_type is array(natural range <>) of unsigned(PHASE_W+N_WF_CU_W-1 downto 0); type tag_array is array (natural range <>) of unsigned(TAG_W-1 downto 0); type gmem_word_array is array (natural range <>) of std_logic_vector(DATA_W*GMEM_N_BANK-1 downto 0); type wf_active_array is array (natural range <>) of std_logic_vector(N_WF_CU-1 downto 0); type cache_addr_array is array(natural range <>) of unsigned(M+L-1 downto 0); type cache_word_array is array(natural range <>) of std_logic_vector(CACHE_N_BANKS*DATA_W-1 downto 0); type tag_addr_array is array(natural range <>) of unsigned(M-1 downto 0); type reg_file_block_array is array(natural range<>) of unsigned(REG_FILE_BLOCK_W-1 downto 0); type id_array is array(natural range<>) of std_logic_vector(ID_WIDTH-1 downto 0); type real_array is array (natural range <>) of real; type atomic_sgntr_array is array (natural range <>) of std_logic_vector(N_CU_STATIONS_W-1 downto 0); attribute max_fanout: integer; attribute keep: string; attribute mark_debug : string; impure function init_krnl_ram(file_name : in string) return KRNL_SCHEDULER_RAM_type; impure function init_SLV32_ARRAY_from_file(file_name : in string; len: in natural; file_len: in natural) return SLV32_ARRAY; impure function init_CRAM(file_name : in string; file_len: in natural) return cram_type; function pri_enc(datain: in std_logic_vector) return integer; function max (LEFT, RIGHT: integer) return integer; function min_int (LEFT, RIGHT: integer) return integer; function clogb2 (bit_depth : integer) return integer; --- ISA -------------------------------------------------------------------------------------- constant FAMILY_W : natural := 4; constant CODE_W : natural := 4; constant IMM_ARITH_W : natural := 14; constant IMM_W : natural := 16; constant BRANCH_ADDR_W : natural := 14; constant FAMILY_POS : natural := 28; constant CODE_POS : natural := 24; constant RD_POS : natural := 0; constant RS_POS : natural := 5; constant RT_POS : natural := 10; constant IMM_POS : natural := 10; constant DIM_POS : natural := 5; constant PARAM_POS : natural := 5; constant BRANCH_ADDR_POS : natural := 10; --------------- families constant ADD_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"1"; constant SHF_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"2"; constant LGK_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"3"; constant MOV_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"4"; constant MUL_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"5"; constant BRA_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"6"; constant GLS_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"7"; constant ATO_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"8"; constant CTL_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"9"; constant RTM_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"A"; constant CND_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"B"; constant FLT_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"C"; constant LSI_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"D"; --------------- codes --RTM constant LID : std_logic_vector(CODE_W-1 downto 0) := X"0"; --upper two MSBs indicate if the operation is localdx or offsetdx constant WGOFF : std_logic_vector(CODE_W-1 downto 0) := X"1"; constant SIZE : std_logic_vector(CODE_W-1 downto 0) := X"2"; constant WGID : std_logic_vector(CODE_W-1 downto 0) := X"3"; constant WGSIZE : std_logic_vector(CODE_W-1 downto 0) := X"4"; constant LP : std_logic_vector(CODE_W-1 downto 0) := X"8"; --ADD constant ADD : std_logic_vector(CODE_W-1 downto 0) := "0000"; constant SUB : std_logic_vector(CODE_W-1 downto 0) := "0010"; constant ADDI : std_logic_vector(CODE_W-1 downto 0) := "0001"; constant LI : std_logic_vector(CODE_W-1 downto 0) := "1001"; constant LUI : std_logic_vector(CODE_W-1 downto 0) := "1101"; --MUL constant MACC : std_logic_vector(CODE_W-1 downto 0) := "1000"; --BRA constant BEQ : std_logic_vector(CODE_W-1 downto 0) := "0010"; constant BNE : std_logic_vector(CODE_W-1 downto 0) := "0011"; constant JSUB : std_logic_vector(CODE_W-1 downto 0) := "0100"; --GLS constant LW : std_logic_vector(CODE_W-1 downto 0) := "0100"; constant SW : std_logic_vector(CODE_W-1 downto 0) := "1100"; --CTL constant RET : std_logic_vector(CODE_W-1 downto 0) := "0010"; --SHF constant SLLI : std_logic_vector(CODE_W-1 downto 0) := "0001"; --LGK constant CODE_AND : std_logic_vector(CODE_W-1 downto 0) := "0000"; constant CODE_ANDI : std_logic_vector(CODE_W-1 downto 0) := "0001"; constant CODE_OR : std_logic_vector(CODE_W-1 downto 0) := "0010"; constant CODE_ORI : std_logic_vector(CODE_W-1 downto 0) := "0011"; constant CODE_XOR : std_logic_vector(CODE_W-1 downto 0) := "0100"; constant CODE_XORI : std_logic_vector(CODE_W-1 downto 0) := "0101"; constant CODE_NOR : std_logic_vector(CODE_W-1 downto 0) := "1000"; --ATO constant CODE_AMAX : std_logic_vector(CODE_W-1 downto 0) := "0010"; constant CODE_AADD : std_logic_vector(CODE_W-1 downto 0) := "0001"; type branch_distance_vec is array(natural range <>) of unsigned(BRANCH_ADDR_W-1 downto 0); type code_vec_type is array(natural range <>) of std_logic_vector(CODE_W-1 downto 0); type atomic_type_vec_type is array(natural range <>) of std_logic_vector(2 downto 0); end FGPU_definitions; package body FGPU_definitions is -- function called clogb2 that returns an integer which has the --value of the ceiling of the log base 2 function clogb2 (bit_depth : integer) return integer is variable depth : integer := bit_depth; variable count : integer := 1; begin for clogb2 in 1 to bit_depth loop -- Works for up to 32 bit integers if (bit_depth <= 2) then count := 1; else if(depth <= 1) then count := count; else depth := depth / 2; count := count + 1; end if; end if; end loop; return(count); end; impure function init_krnl_ram(file_name : in string) return KRNL_SCHEDULER_RAM_type is file init_file : text open read_mode is file_name; variable init_line : line; variable temp_bv : bit_vector(DATA_W-1 downto 0); variable temp_mem : KRNL_SCHEDULER_RAM_type; begin for i in 0 to 16*32-1 loop readline(init_file, init_line); hread(init_line, temp_mem(i)); -- read(init_line, temp_bv); -- temp_mem(i) := to_stdlogicvector(temp_bv); end loop; return temp_mem; end function; function max (LEFT, RIGHT: integer) return integer is begin if LEFT > RIGHT then return LEFT; else return RIGHT; end if; end max; function min_int (LEFT, RIGHT: integer) return integer is begin if LEFT > RIGHT then return RIGHT; else return LEFT; end if; end min_int; impure function init_CRAM(file_name : in string; file_len : in natural) return cram_type is file init_file : text open read_mode is file_name; variable init_line : line; variable cram : cram_type; -- variable tmp: std_logic_vector(DATA_W-1 downto 0); begin for i in 0 to file_len-1 loop readline(init_file, init_line); hread(init_line, cram(i)); -- vivado breaks when synthesizing hread(init_line, cram(0)(i)) without giving any indication about the error -- cram(i) := tmp; -- if CRAM_BLOCKS > 1 then -- for j in 1 to max(1,CRAM_BLOCKS-1) loop -- cram(j)(i) := cram(0)(i); -- end loop; -- end if; end loop; return cram; end function; impure function init_SLV32_ARRAY_from_file(file_name : in string; len : in natural; file_len : in natural) return SLV32_ARRAY is file init_file : text open read_mode is file_name; variable init_line : line; variable temp_mem : SLV32_ARRAY(len-1 downto 0); begin for i in 0 to file_len-1 loop readline(init_file, init_line); hread(init_line, temp_mem(i)); end loop; return temp_mem; end function; function pri_enc(datain: in std_logic_vector) return integer is variable res : integer range 0 to datain'high; begin res := 0; for i in datain'high downto 1 loop if datain(i) = '1' then res := i; end if; end loop; return res; end function; end FGPU_definitions;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: fifo_rx_synth.vhd -- -- Description: -- This is the demo testbench for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.STD_LOGIC_1164.ALL; USE ieee.STD_LOGIC_unsigned.ALL; USE IEEE.STD_LOGIC_arith.ALL; USE ieee.numeric_std.ALL; USE ieee.STD_LOGIC_misc.ALL; LIBRARY std; USE std.textio.ALL; LIBRARY work; USE work.fifo_rx_pkg.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY fifo_rx_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE simulation_arch OF fifo_rx_synth IS -- FIFO interface signal declarations SIGNAL clk_i : STD_LOGIC; SIGNAL srst : STD_LOGIC; SIGNAL wr_en : STD_LOGIC; SIGNAL rd_en : STD_LOGIC; SIGNAL din : STD_LOGIC_VECTOR(9-1 DOWNTO 0); SIGNAL dout : STD_LOGIC_VECTOR(9-1 DOWNTO 0); SIGNAL full : STD_LOGIC; SIGNAL empty : STD_LOGIC; -- TB Signals SIGNAL wr_data : STD_LOGIC_VECTOR(9-1 DOWNTO 0); SIGNAL dout_i : STD_LOGIC_VECTOR(9-1 DOWNTO 0); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL full_i : STD_LOGIC := '0'; SIGNAL empty_i : STD_LOGIC := '0'; SIGNAL almost_full_i : STD_LOGIC := '0'; SIGNAL almost_empty_i : STD_LOGIC := '0'; SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL dout_chk_i : STD_LOGIC := '0'; SIGNAL rst_int_rd : STD_LOGIC := '0'; SIGNAL rst_int_wr : STD_LOGIC := '0'; SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL rst_s_wr3 : STD_LOGIC := '0'; SIGNAL rst_s_rd : STD_LOGIC := '0'; SIGNAL reset_en : STD_LOGIC := '0'; SIGNAL rst_async_rd1 : STD_LOGIC := '0'; SIGNAL rst_async_rd2 : STD_LOGIC := '0'; SIGNAL rst_async_rd3 : STD_LOGIC := '0'; SIGNAL rst_sync_rd1 : STD_LOGIC := '0'; SIGNAL rst_sync_rd2 : STD_LOGIC := '0'; SIGNAL rst_sync_rd3 : STD_LOGIC := '0'; BEGIN ---- Reset generation logic ----- rst_int_wr <= rst_async_rd3 OR rst_s_rd; rst_int_rd <= rst_async_rd3 OR rst_s_rd; --Testbench reset synchronization PROCESS(clk_i,RESET) BEGIN IF(RESET = '1') THEN rst_async_rd1 <= '1'; rst_async_rd2 <= '1'; rst_async_rd3 <= '1'; ELSIF(clk_i'event AND clk_i='1') THEN rst_async_rd1 <= RESET; rst_async_rd2 <= rst_async_rd1; rst_async_rd3 <= rst_async_rd2; END IF; END PROCESS; --Synchronous reset generation for FIFO core PROCESS(clk_i) BEGIN IF(clk_i'event AND clk_i='1') THEN rst_sync_rd1 <= RESET; rst_sync_rd2 <= rst_sync_rd1; rst_sync_rd3 <= rst_sync_rd2; END IF; END PROCESS; --Soft reset for core and testbench PROCESS(clk_i) BEGIN IF(clk_i'event AND clk_i='1') THEN rst_gen_rd <= rst_gen_rd + "1"; IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN rst_s_rd <= '1'; assert false report "Reset applied..Memory Collision checks are not valid" severity note; ELSE IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN rst_s_rd <= '0'; assert false report "Reset removed..Memory Collision checks are valid" severity note; END IF; END IF; END IF; END PROCESS; ------------------ ---- Clock buffers for testbench ---- clk_i <= CLK; ------------------ srst <= rst_sync_rd3 OR rst_s_rd AFTER 100 ns; din <= wr_data; dout_i <= dout; wr_en <= wr_en_i; rd_en <= rd_en_i; full_i <= full; empty_i <= empty; fg_dg_nv: fifo_rx_dgen GENERIC MAP ( C_DIN_WIDTH => 9, C_DOUT_WIDTH => 9, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP ( -- Write Port RESET => rst_int_wr, WR_CLK => clk_i, PRC_WR_EN => prc_we_i, FULL => full_i, WR_EN => wr_en_i, WR_DATA => wr_data ); fg_dv_nv: fifo_rx_dverif GENERIC MAP ( C_DOUT_WIDTH => 9, C_DIN_WIDTH => 9, C_USE_EMBEDDED_REG => 0, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP( RESET => rst_int_rd, RD_CLK => clk_i, PRC_RD_EN => prc_re_i, RD_EN => rd_en_i, EMPTY => empty_i, DATA_OUT => dout_i, DOUT_CHK => dout_chk_i ); fg_pc_nv: fifo_rx_pctrl GENERIC MAP ( AXI_CHANNEL => "Native", C_APPLICATION_TYPE => 0, C_DOUT_WIDTH => 9, C_DIN_WIDTH => 9, C_WR_PNTR_WIDTH => 4, C_RD_PNTR_WIDTH => 4, C_CH_TYPE => 0, FREEZEON_ERROR => FREEZEON_ERROR, TB_SEED => TB_SEED, TB_STOP_CNT => TB_STOP_CNT ) PORT MAP( RESET_WR => rst_int_wr, RESET_RD => rst_int_rd, RESET_EN => reset_en, WR_CLK => clk_i, RD_CLK => clk_i, PRC_WR_EN => prc_we_i, PRC_RD_EN => prc_re_i, FULL => full_i, ALMOST_FULL => almost_full_i, ALMOST_EMPTY => almost_empty_i, DOUT_CHK => dout_chk_i, EMPTY => empty_i, DATA_IN => wr_data, DATA_OUT => dout, SIM_DONE => SIM_DONE, STATUS => STATUS ); fifo_rx_inst : fifo_rx_exdes PORT MAP ( CLK => clk_i, SRST => srst, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); END ARCHITECTURE;
--***************************************************************************** -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: 3.92 -- \ \ Application: MIG -- / / Filename: rd_bitslip.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:18:13 $ -- \ \ / \ Date Created: Aug 03 2009 -- \___\/\___\ -- --Device: Virtex-6 --Design Name: DDR3 SDRAM --Purpose: -- Shifts and delays data from ISERDES, in both memory clock and internal -- clock cycles. Used to uniquely shift/delay each byte to align all bytes -- in data word --Reference: --Revision History: --***************************************************************************** --****************************************************************************** --**$Id: rd_bitslip.vhd,v 1.1 2011/06/02 07:18:13 mishra Exp $ --**$Date: 2011/06/02 07:18:13 $ --**$Author: mishra $ --**$Revision: 1.1 $ --**$Source: /devl/xcs/repo/env/Databases/ip/src2/O/mig_v3_9/data/dlib/virtex6/ddr3_sdram/vhdl/rtl/phy/rd_bitslip.vhd,v $ --****************************************************************************** library unisim; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity rd_bitslip is generic ( TCQ : integer := 100 ); port ( clk : in std_logic; bitslip_cnt : in std_logic_vector(1 downto 0); clkdly_cnt : in std_logic_vector(1 downto 0); din : in std_logic_vector(5 downto 0); qout : out std_logic_vector(3 downto 0) ); end rd_bitslip; architecture trans_rd_bitslip of rd_bitslip is signal din2_r : std_logic; signal slip_out : std_logic_vector(3 downto 0); signal slip_out_r : std_logic_vector(3 downto 0); signal slip_out_r2 : std_logic_vector(3 downto 0); signal slip_out_r3 : std_logic_vector(3 downto 0); begin --*************************************************************************** process (clk) begin if (clk'event and clk = '1') then din2_r <= din(2) after (TCQ)*1 ps; end if; end process; --Can shift data from ISERDES from 0-3 fast clock cycles --NOTE: This is coded combinationally, in order to allow register to --occur after MUXing of delayed outputs. Timing may be difficult to --meet on this logic, if necessary, the register may need to be moved --here instead, or another register added. process (bitslip_cnt, din, din2_r) begin case bitslip_cnt is when "00" => -- No slip slip_out <= (din(3) & din(2) & din(1) & din(0)); when "01" => -- Slip = 0.5 cycle slip_out <= (din(4) & din(3) & din(2) & din(1)); when "10" => -- Slip = 1 cycle slip_out <= (din(5) & din(4) & din(3) & din(2)); when "11" => -- Slip = 1.5 cycle slip_out <= (din2_r & din(5) & din(4) & din(3)); when others => null; end case; end process; --Can delay up to 3 additional internal clock cycles - this accounts --not only for delays due to DRAM, PCB routing between different bytes, --but also differences within the FPGA - e.g. clock skew between different --I/O columns, and differences in latency between different circular --buffers or whatever synchronization method (FIFO) is used to get the --data into the global clock domain process (clk) begin if (clk'event and clk = '1') then slip_out_r <= slip_out after TCQ*1 ps; slip_out_r2 <= slip_out_r after TCQ*1 ps; slip_out_r3 <= slip_out_r2 after TCQ*1 ps; end if; end process; process (clk) begin if (clk'event and clk = '1') then case clkdly_cnt is when "00" => qout <= slip_out after (TCQ)*1 ps; when "01" => qout <= slip_out_r after (TCQ)*1 ps; when "10" => qout <= slip_out_r2 after (TCQ)*1 ps; when "11" => qout <= slip_out_r3 after (TCQ)*1 ps; when others => null; end case; end if; end process; end trans_rd_bitslip;
-- This file is not intended for synthesis. The entity described in this file -- is not directly instantiatable from HDL because its port list changes in a -- way which is too complex to describe in VHDL or Verilog. Please use a tool -- such as SOPC builder, DSP builder or the Megawizard plug-in manager to -- instantiate this entity. --altera translate_off entity alt_dspbuilder_bus_build is end entity alt_dspbuilder_bus_build; architecture rtl of alt_dspbuilder_bus_build is begin assert false report "This file is not intended for synthesis. Please remove it from your project" severity error; end architecture rtl; --altera translate_on
-- This file is not intended for synthesis. The entity described in this file -- is not directly instantiatable from HDL because its port list changes in a -- way which is too complex to describe in VHDL or Verilog. Please use a tool -- such as SOPC builder, DSP builder or the Megawizard plug-in manager to -- instantiate this entity. --altera translate_off entity alt_dspbuilder_bus_build is end entity alt_dspbuilder_bus_build; architecture rtl of alt_dspbuilder_bus_build is begin assert false report "This file is not intended for synthesis. Please remove it from your project" severity error; end architecture rtl; --altera translate_on
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.pkg_6502_defs.all; use work.tl_flat_memory_model_pkg.all; use work.pkg_6502_decode.all; entity tb_proc_core is generic ( test_file : string := "opcode_test"; test_base : integer := 16#02FE# ); end tb_proc_core; architecture tb of tb_proc_core is signal clock : std_logic := '0'; signal clock_en : std_logic; signal reset : std_logic; signal addr_out : std_logic_vector(19 downto 0) := X"00000"; signal data_in : std_logic_vector(7 downto 0) := X"AA"; signal data_out : std_logic_vector(7 downto 0); signal i_reg : std_logic_vector(7 downto 0); signal read_write_n : std_logic; signal nmi_n : std_logic := '1'; signal irq_n : std_logic := '1'; signal stop_clock : boolean := false; shared variable ram : h_mem_object; signal brk : std_logic := '0'; signal cmd : std_logic := '0'; signal s_is_absolute : boolean; signal s_is_abs_jump : boolean; signal s_is_immediate : boolean; signal s_is_implied : boolean; signal s_is_stack : boolean; signal s_is_push : boolean; signal s_is_zeropage : boolean; signal s_is_indirect : boolean; signal s_is_relative : boolean; signal s_is_load : boolean; signal s_is_store : boolean; signal s_is_shift : boolean; signal s_is_alu : boolean; signal s_is_rmw : boolean; signal s_is_jump : boolean; signal s_is_postindexed : boolean; signal s_is_illegal : boolean; signal s_select_index_y : boolean; signal s_store_a_from_alu : boolean; signal s_load_a : boolean; signal s_load_x : boolean; signal s_load_y : boolean; begin clock <= not clock after 50 ns when not stop_clock; clock_en <= '1'; reset <= '1', '0' after 300 ns; core: entity work.proc_core generic map ( support_bcd => true ) port map ( clock => clock, clock_en => clock_en, reset => reset, irq_n => irq_n, nmi_n => nmi_n, addr_out => addr_out(16 downto 0), inst_out => i_reg, data_in => data_in, data_out => data_out, read_write_n => read_write_n ); cmd <= '1' when addr_out = X"10391" else '0'; process(clock) variable addr : std_logic_vector(31 downto 0) := (others => '0'); begin if falling_edge(clock) then addr(15 downto 0) := addr_out(15 downto 0); data_in <= read_memory_8(ram, addr); if read_write_n = '0' then write_memory_8(ram, addr, data_out); -- if addr_out(15 downto 0) = X"FFF8" then -- stop_clock <= true; -- if data_out = X"55" then -- report "Test program completed successfully." severity note; -- else -- report "Test program failed." severity error; -- end if; -- elsif addr_out(15 downto 0) = X"FFF9" then -- case data_out is -- when X"01" => report "Break IRQ service routine." severity note; -- when X"02" => report "External IRQ service routine." severity note; -- when X"03" => report "NMI service routine." severity note; -- when others => report "Unknown event message." severity warning; -- end case; -- end if; else -- read if addr_out = X"1FFFE" then -- vector for BRK brk <= '1'; end if; end if; end if; end process; test: process begin register_mem_model(tb_proc_core'path_name, "6502 ram", ram); load_memory("bootstrap", ram, X"0000FFEE"); load_memory(test_file, ram, std_logic_vector(to_unsigned(test_base, 32))); wait until brk = '1'; save_memory("result", ram, X"00000000", 2048); stop_clock <= true; -- wait for 30 us; -- irq_n <= '0'; -- wait for 10 us; -- irq_n <= '1'; -- wait for 10 us; -- nmi_n <= '0'; -- wait for 10 us; -- nmi_n <= '1'; wait; end process; s_is_absolute <= is_absolute(i_reg); s_is_abs_jump <= is_abs_jump(i_reg); s_is_immediate <= is_immediate(i_reg); s_is_implied <= is_implied(i_reg); s_is_stack <= is_stack(i_reg); s_is_push <= is_push(i_reg); s_is_zeropage <= is_zeropage(i_reg); s_is_indirect <= is_indirect(i_reg); s_is_relative <= is_relative(i_reg); s_is_load <= is_load(i_reg); s_is_store <= is_store(i_reg); s_is_shift <= is_shift(i_reg); s_is_alu <= is_alu(i_reg); s_is_rmw <= is_rmw(i_reg); s_is_jump <= is_jump(i_reg); s_is_postindexed <= is_postindexed(i_reg); s_is_illegal <= is_illegal(i_reg); s_select_index_y <= select_index_y(i_reg); s_store_a_from_alu <= store_a_from_alu(i_reg); s_load_a <= load_a(i_reg); s_load_x <= load_x(i_reg); s_load_y <= load_y(i_reg); end tb;
---------------------------------------------------------------------------------- -- Engineer: [email protected] -- -- Create Date: 21:43:14 02/02/2015 -- Design Name: SPI driver for WS2801 led strings -- Module Name: spiout - Behavioral -- Project Name: Neppielight -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity spiout is Port ( clk50 : in STD_LOGIC; data : in std_logic_vector(0 to 24*25-1); -- MSB first MOSI : out STD_LOGIC; SCK : out STD_LOGIC); end spiout; architecture Behavioral of spiout is signal sck_counter : std_logic_vector(15 downto 0); signal sck_s : std_logic; signal sck_enable : std_logic := '1'; signal wrcnt: std_logic_vector(9 downto 0) := (others => '0'); begin process(clk50,sck_counter,sck_enable) begin if (rising_edge(clk50)) then sck_counter <= sck_counter + 1; end if; sck_s <= sck_counter(11); end process; process(sck_s, sck_enable) begin -- Assert MOSI on the falling edge -- So it can be sampled by the WS2801 on the rising edge. if (falling_edge(sck_s)) then if wrcnt <= 599 then MOSI <= data(to_integer(unsigned(wrcnt))); wrcnt <= (wrcnt + 1); sck_enable <= '1'; -- this will let the clock go high on the next rising edge. elsif wrcnt = 600 then MOSI <= '0'; sck_enable <= '0'; wrcnt <= (wrcnt + 1); elsif wrcnt = 640 then sck_enable <= '0'; MOSI <= '0'; wrcnt <= (others =>'0'); else sck_enable <= '0'; MOSI <= '0'; wrcnt <= (wrcnt + 1); end if; end if; if sck_enable = '1' then SCK <= sck_s; else SCK <= '0'; end if; end process; end Behavioral;
---------------------------------------------------------------------------------- -- Engineer: [email protected] -- -- Create Date: 21:43:14 02/02/2015 -- Design Name: SPI driver for WS2801 led strings -- Module Name: spiout - Behavioral -- Project Name: Neppielight -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity spiout is Port ( clk50 : in STD_LOGIC; data : in std_logic_vector(0 to 24*25-1); -- MSB first MOSI : out STD_LOGIC; SCK : out STD_LOGIC); end spiout; architecture Behavioral of spiout is signal sck_counter : std_logic_vector(15 downto 0); signal sck_s : std_logic; signal sck_enable : std_logic := '1'; signal wrcnt: std_logic_vector(9 downto 0) := (others => '0'); begin process(clk50,sck_counter,sck_enable) begin if (rising_edge(clk50)) then sck_counter <= sck_counter + 1; end if; sck_s <= sck_counter(11); end process; process(sck_s, sck_enable) begin -- Assert MOSI on the falling edge -- So it can be sampled by the WS2801 on the rising edge. if (falling_edge(sck_s)) then if wrcnt <= 599 then MOSI <= data(to_integer(unsigned(wrcnt))); wrcnt <= (wrcnt + 1); sck_enable <= '1'; -- this will let the clock go high on the next rising edge. elsif wrcnt = 600 then MOSI <= '0'; sck_enable <= '0'; wrcnt <= (wrcnt + 1); elsif wrcnt = 640 then sck_enable <= '0'; MOSI <= '0'; wrcnt <= (others =>'0'); else sck_enable <= '0'; MOSI <= '0'; wrcnt <= (wrcnt + 1); end if; end if; if sck_enable = '1' then SCK <= sck_s; else SCK <= '0'; end if; end process; end Behavioral;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.types.all; use work.interfaces.all; entity load_logic is port( clk : in std_logic; reset : in std_logic; input : in load_logic_in_if; output : out load_logic_out_if); end entity; architecture rtl of load_logic is type state_t is (load_idle, load_n_0, load_nn_0, load_r_0, load_indirect, load_have_b, load_n_1, load_nn_1, load_r_1, load_have_a); signal state : state_t := load_idle; signal a16 : word_t := (others => '0'); -- write address begin main: process(clk) variable d16 : word_t; -- write data begin if reset = '1' then output.mem.address <= (others => '0'); state <= load_idle; elsif rising_edge(clk) then output.mem.we <= '0'; output.reg.we <= '0'; output.done <= '0'; case state is when load_idle => if input.en = '1' then if input.r1 = register_d8 or input.r1 = register_d16 then state <= load_n_0; output.mem.address <= std_logic_vector(unsigned(input.reg.pc) + 1); else state <= load_r_0; output.reg.rsel0 <= input.r1; end if; else state <= load_idle; end if; when load_n_0 => d16(LO_BYTE) := input.mem.data; if input.r1 = register_d16 then state <= load_nn_0; output.mem.address <= std_logic_vector(unsigned(input.reg.pc) + 2); elsif input.indirect = "10" then state <= load_indirect; output.mem.address <= x"ff" & input.mem.data; else state <= load_have_b; end if; when load_nn_0 => d16(HI_BYTE) := input.mem.data; if input.indirect = "10" then state <= load_indirect; output.mem.address <= d16; else state <= load_have_b; end if; when load_r_0 => if input.indirect = "10" then state <= load_indirect; if input.r0(3) = '1' then -- 16bit register output.mem.address <= input.reg.d0; else output.mem.address <= x"ff" & input.reg.d0(LO_BYTE); end if; else state <= load_have_b; d16 := input.reg.d0; end if; when load_indirect => state <= load_have_b; d16(LO_BYTE) := input.mem.data; when load_have_b => if input.r0 = register_d8 or input.r0 = register_d16 then state <= load_n_1; output.mem.address <= std_logic_vector(unsigned(input.reg.pc) + 1); else state <= load_r_1; output.reg.rsel0 <= input.r0; end if; when load_n_1 => if input.r0 = register_d16 then state <= load_have_a; output.mem.address <= x"ff" & input.mem.data; else state <= load_nn_1; a16(LO_BYTE) <= input.mem.data; output.mem.address <= std_logic_vector(unsigned(input.reg.pc) + 2); end if; when load_nn_1 => state <= load_have_a; a16(HI_BYTE) <= input.mem.data; when load_r_1 => if input.indirect = "01" then state <= load_have_a; if input.r0(3) = '1' then -- 16bit output.mem.address <= input.reg.d0; else output.mem.address <= x"ff" & input.reg.d0(LO_BYTE); end if; else state <= load_idle; output.reg.we <= '1'; output.reg.wsel <= input.r0; output.reg.data <= d16; output.done <= '1'; end if; when load_have_a => state <= load_idle; output.mem.we <= '1'; output.mem.address <= a16; output.mem.data <= d16(LO_BYTE); output.done <= '1'; if input.inc_dec = "01" then output.reg.we <= '1'; output.reg.wsel <= input.r0; output.reg.data <= std_logic_vector(unsigned(input.reg.hl) + 1); elsif input.inc_dec = "10" then output.reg.we <= '1'; output.reg.wsel <= input.r0; output.reg.data <= std_logic_vector(unsigned(input.reg.hl) - 1); end if; end case; end if; end process; end architecture;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Patrick Lehmann -- Steffen Koehler -- -- Module: Synchronizes a command signal across clock-domain boundaries -- -- Description: -- ------------------------------------ -- This module synchronizes a vector of bits from clock-domain 'Clock1' to -- clock-domain 'Clock2'. The clock-domain boundary crossing is done by a -- change comparator, a T-FF, two synchronizer D-FFs and a reconstructive -- XOR indicating a value change on the input. This changed signal is used -- to capture the input for the new output. A busy flag is additionally -- calculated for the input clock-domain. The output has strobe character -- and is reset to it's INIT value after one clock cycle. -- -- CONSTRAINTS: -- General: -- This module uses sub modules which need to be constrained. Please -- attend to the notes of the instantiated sub modules. -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library PoC; use PoC.utils.all; entity sync_Command IS generic ( BITS : POSITIVE := 8; -- number of bit to be synchronized INIT : STD_LOGIC_VECTOR := x"00000000" -- ); PORT ( Clock1 : in STD_LOGIC; -- <Clock> input clock Clock2 : in STD_LOGIC; -- <Clock> output clock Input : in STD_LOGIC_VECTOR(BITS - 1 downto 0); -- @Clock1: input vector Output : out STD_LOGIC_VECTOR(BITS - 1 downto 0); -- @Clock2: output vector Busy : out STD_LOGIC; -- @Clock1: busy bit Changed : out STD_LOGIC -- @Clock2: changed bit ); end; architecture rtl OF sync_Command is attribute SHREG_EXTRACT : STRING; constant INIT_I : STD_LOGIC_VECTOR := descend(INIT)(BITS - 1 downto 0); signal D0 : STD_LOGIC := '0'; signal D1 : STD_LOGIC_VECTOR(BITS - 1 downto 0) := INIT_I; signal T2 : STD_LOGIC := '0'; signal D3 : STD_LOGIC := '0'; signal D4 : STD_LOGIC := '0'; signal D5 : STD_LOGIC_VECTOR(BITS - 1 downto 0) := INIT_I; signal IsCommand_Clk1 : STD_LOGIC; signal Changed_Clk1 : STD_LOGIC; signal Changed_Clk2 : STD_LOGIC; signal Busy_i : STD_LOGIC; -- Prevent XST from translating two FFs into SRL plus FF attribute SHREG_EXTRACT of D0 : signal IS "NO"; attribute SHREG_EXTRACT of T2 : signal IS "NO"; attribute SHREG_EXTRACT of D3 : signal IS "NO"; attribute SHREG_EXTRACT of D4 : signal IS "NO"; attribute SHREG_EXTRACT of D5 : signal IS "NO"; signal syncClk1_In : STD_LOGIC; signal syncClk1_Out : STD_LOGIC; signal syncClk2_In : STD_LOGIC; signal syncClk2_Out : STD_LOGIC; begin -- input D-FF @Clock1 -> changed detection process(Clock1) begin if rising_edge(Clock1) then if (Busy_i = '0') then D0 <= IsCommand_Clk1; -- delay detected IsCommand signal for rising edge detection; gated by busy flag D1 <= Input; T2 <= T2 xor Changed_Clk1; -- toggle T2 if input vector has changed end if; end if; end process; -- D-FF for level change detection (both edges) process(Clock2) begin if rising_edge(Clock2) then D3 <= syncClk2_Out; D4 <= Changed_Clk2; if (D4 = '1') then D5 <= INIT_I; elsif (Changed_Clk2 = '1') then D5 <= D1; end if; end if; end process; -- assign syncClk*_In signals syncClk2_In <= T2; syncClk1_In <= D3; IsCommand_Clk1 <= to_sl(Input /= INIT_I); -- input command detection Changed_Clk1 <= not D0 and IsCommand_Clk1; -- input rising edge detection Changed_Clk2 <= syncClk2_Out xor D3; -- level change detection; restore strobe signal from flag Busy_i <= T2 xor syncClk1_Out; -- calculate busy signal -- output signals Output <= D5; Busy <= Busy_i; Changed <= D4; syncClk2 : entity PoC.sync_Bits generic map ( BITS => 1 -- number of bit to be synchronized ) port map ( Clock => Clock2, -- <Clock> output clock domain Input(0) => syncClk2_In, -- @async: input bits Output(0) => syncClk2_Out -- @Clock: output bits ); syncClk1 : entity PoC.sync_Bits generic map ( BITS => 1 -- number of bit to be synchronized ) port map ( Clock => Clock1, -- <Clock> output clock domain Input(0) => syncClk1_In, -- @async: input bits Output(0) => syncClk1_Out -- @Clock: output bits ); end;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Patrick Lehmann -- Steffen Koehler -- -- Module: Synchronizes a command signal across clock-domain boundaries -- -- Description: -- ------------------------------------ -- This module synchronizes a vector of bits from clock-domain 'Clock1' to -- clock-domain 'Clock2'. The clock-domain boundary crossing is done by a -- change comparator, a T-FF, two synchronizer D-FFs and a reconstructive -- XOR indicating a value change on the input. This changed signal is used -- to capture the input for the new output. A busy flag is additionally -- calculated for the input clock-domain. The output has strobe character -- and is reset to it's INIT value after one clock cycle. -- -- CONSTRAINTS: -- General: -- This module uses sub modules which need to be constrained. Please -- attend to the notes of the instantiated sub modules. -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library PoC; use PoC.utils.all; entity sync_Command IS generic ( BITS : POSITIVE := 8; -- number of bit to be synchronized INIT : STD_LOGIC_VECTOR := x"00000000" -- ); PORT ( Clock1 : in STD_LOGIC; -- <Clock> input clock Clock2 : in STD_LOGIC; -- <Clock> output clock Input : in STD_LOGIC_VECTOR(BITS - 1 downto 0); -- @Clock1: input vector Output : out STD_LOGIC_VECTOR(BITS - 1 downto 0); -- @Clock2: output vector Busy : out STD_LOGIC; -- @Clock1: busy bit Changed : out STD_LOGIC -- @Clock2: changed bit ); end; architecture rtl OF sync_Command is attribute SHREG_EXTRACT : STRING; constant INIT_I : STD_LOGIC_VECTOR := descend(INIT)(BITS - 1 downto 0); signal D0 : STD_LOGIC := '0'; signal D1 : STD_LOGIC_VECTOR(BITS - 1 downto 0) := INIT_I; signal T2 : STD_LOGIC := '0'; signal D3 : STD_LOGIC := '0'; signal D4 : STD_LOGIC := '0'; signal D5 : STD_LOGIC_VECTOR(BITS - 1 downto 0) := INIT_I; signal IsCommand_Clk1 : STD_LOGIC; signal Changed_Clk1 : STD_LOGIC; signal Changed_Clk2 : STD_LOGIC; signal Busy_i : STD_LOGIC; -- Prevent XST from translating two FFs into SRL plus FF attribute SHREG_EXTRACT of D0 : signal IS "NO"; attribute SHREG_EXTRACT of T2 : signal IS "NO"; attribute SHREG_EXTRACT of D3 : signal IS "NO"; attribute SHREG_EXTRACT of D4 : signal IS "NO"; attribute SHREG_EXTRACT of D5 : signal IS "NO"; signal syncClk1_In : STD_LOGIC; signal syncClk1_Out : STD_LOGIC; signal syncClk2_In : STD_LOGIC; signal syncClk2_Out : STD_LOGIC; begin -- input D-FF @Clock1 -> changed detection process(Clock1) begin if rising_edge(Clock1) then if (Busy_i = '0') then D0 <= IsCommand_Clk1; -- delay detected IsCommand signal for rising edge detection; gated by busy flag D1 <= Input; T2 <= T2 xor Changed_Clk1; -- toggle T2 if input vector has changed end if; end if; end process; -- D-FF for level change detection (both edges) process(Clock2) begin if rising_edge(Clock2) then D3 <= syncClk2_Out; D4 <= Changed_Clk2; if (D4 = '1') then D5 <= INIT_I; elsif (Changed_Clk2 = '1') then D5 <= D1; end if; end if; end process; -- assign syncClk*_In signals syncClk2_In <= T2; syncClk1_In <= D3; IsCommand_Clk1 <= to_sl(Input /= INIT_I); -- input command detection Changed_Clk1 <= not D0 and IsCommand_Clk1; -- input rising edge detection Changed_Clk2 <= syncClk2_Out xor D3; -- level change detection; restore strobe signal from flag Busy_i <= T2 xor syncClk1_Out; -- calculate busy signal -- output signals Output <= D5; Busy <= Busy_i; Changed <= D4; syncClk2 : entity PoC.sync_Bits generic map ( BITS => 1 -- number of bit to be synchronized ) port map ( Clock => Clock2, -- <Clock> output clock domain Input(0) => syncClk2_In, -- @async: input bits Output(0) => syncClk2_Out -- @Clock: output bits ); syncClk1 : entity PoC.sync_Bits generic map ( BITS => 1 -- number of bit to be synchronized ) port map ( Clock => Clock1, -- <Clock> output clock domain Input(0) => syncClk1_In, -- @async: input bits Output(0) => syncClk1_Out -- @Clock: output bits ); end;
architecture rtl of fifo is constant c_zeros : std_logic_vector(7 downto 0) := (others => '0'); constant c_one : std_logic_vector(7 downto 0) := (0 => '1', (others => '0')); constant c_two : std_logic_vector(7 downto 0) := (1 => '1', (others => '0')); constant c_stimulus : t_stimulus_array := ((name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00")); constant c_stimulus : t_stimulus_array := ( (name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00")); constant c_stimulus : t_stimulus_array := ((name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00")); constant c_stimulus : t_stimulus_array := ((name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00") ); constant c_stimulus : t_stimulus_array := ( (name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00") ); constant c_stimulus : t_stimulus_array := ( (name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), (name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00") ); constant c_stimulus : t_stimulus_array := ( ( name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00"), ( name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00") ); constant c_stimulus : t_stimulus_array := ( ( name => "Hold in reset", clk_in => "01", rst_in => "11", cnt_en_in => "00", cnt_out => "00" ), ( name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00" ) ); constant c_stimulus : t_stimulus_array := ( name => "Not enabled", clk_in => "01", rst_in => "00", cnt_en_in => "00", cnt_out => "00"); -- Comment begin end architecture rtl;
--!----------------------------------------------------------------------------- --! -- --! Weizmann Institute of Science -- --! Electronics & Data Acquisition Group -- --! -- --!----------------------------------------------------------------------------- --! --! unit name: centralRouter package --! --! author: [email protected] --! --! date: $10/12/2014 $: created --! --! version: $Rev 0 $: --! --! description: package file for the centralRouter interface --! --!----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; package centralRouter_package is ------------------------------------------------------------------- -- general use type definitions ------------------------------------------------------------------- type array7_std_logic_vector_15 is array (0 to 6) of std_logic_vector(14 downto 0); type array8_std_logic_vector_15 is array (0 to 7) of std_logic_vector(14 downto 0); type array8_std_logic_vector_16 is array (0 to 7) of std_logic_vector(15 downto 0); type array7_std_logic_vector_8 is array (0 to 6) of std_logic_vector(7 downto 0); type array8_std_logic_vector_8 is array (0 to 7) of std_logic_vector(7 downto 0); type array15_std_logic_vector_7 is array (0 to 14) of std_logic_vector(6 downto 0); type array15_std_logic_vector_8 is array (0 to 14) of std_logic_vector(7 downto 0); type array15_std_logic_vector_6 is array (0 to 14) of std_logic_vector(5 downto 0); type array15_std_logic_vector_3 is array (0 to 14) of std_logic_vector(2 downto 0); ------------------------------------------------------------------- -- EPROC internal type definitions ------------------------------------------------------------------- type isk_2array_type is array (0 to 1) of std_logic_vector(1 downto 0); -- 2 words of 2bit type word8b_2array_type is array (0 to 1) of std_logic_vector(7 downto 0); -- 2 words of 8bit type word10b_2array_type is array (0 to 1) of std_logic_vector(9 downto 0); -- 2 words of 10bit type word10b_2array_4array_type is array (0 to 3) of word10b_2array_type; -- 4 groups of {2 words of 10bit}, one group per alignment -- type isk_4array_type is array (0 to 3) of std_logic_vector(1 downto 0); -- 4 words of 2bit type word8b_4array_type is array (0 to 3) of std_logic_vector(7 downto 0); -- 4 words of 8bit type word10b_4array_type is array (0 to 3) of std_logic_vector(9 downto 0); -- 4 words of 10bit type word10b_4array_8array_type is array (0 to 7) of word10b_4array_type; -- 8 groups of {4 words of 10bit}, one group per alignment -- type isk_8array_type is array (0 to 7) of std_logic_vector(1 downto 0); -- 8 words of 2bit type word8b_8array_type is array (0 to 7) of std_logic_vector(7 downto 0); -- 8 words of 8bit type word10b_8array_type is array (0 to 7) of std_logic_vector(9 downto 0); -- 8 words of 10bit type word10b_8array_16array_type is array (0 to 15) of word10b_8array_type; -- 16 groups of {8 words of 10bit}, one group per alignment ------------------------------------------------------------------- -- 7 and 5 entry arrays of 16 input lines, 16bit line per EGROUP ------------------------------------------------------------------- type from1GBTdata_array_type is array (0 to 6) of std_logic_vector(15 downto 0); type to1GBTdata_array_type is array (0 to 4) of std_logic_vector(15 downto 0); type to1GBTdataNcode_array_type is array (0 to 4) of std_logic_vector(17 downto 0); ------------------------------------------------------------------- -- N entry array of 16 output lines, 16bit output line per EGROUP ------------------------------------------------------------------- type GBTdata_array_type is array ( NATURAL RANGE <>) of std_logic_vector(15 downto 0); ------------------------------------------------------------------- -- GBT_NUM entry arrays ------------------------------------------------------------------- type ic_data_array_type is array ( NATURAL RANGE <>) of std_logic_vector(7 downto 0); type cr_DIN_array_type is array ( NATURAL RANGE <>) of from1GBTdata_array_type; type cr_DOUT_array_type is array ( NATURAL RANGE <>) of to1GBTdata_array_type; type cr_8MSbs_array_type is array ( NATURAL RANGE <>) of std_logic_vector(7 downto 0); type cr_4bit_array_type is array ( NATURAL RANGE <>) of std_logic_vector(3 downto 0); type TTCin_array_type is array ( NATURAL RANGE <>) of std_logic_vector(9 downto 0); type DownFifoFull_mon_array_type is array ( NATURAL RANGE <>) of std_logic_vector(58 downto 0); type fmch_monitor_array_type is array ( NATURAL RANGE <>) of std_logic_vector(7 downto 0); type busyOut_array_type is array ( NATURAL RANGE <>) of std_logic_vector(56 downto 0); ------------------------------------------------------------------- -- Central Router configuration register arrays ------------------------------------------------------------------- type crDownstreamConfig_type is array (0 to 7) of std_logic_vector(63 downto 0); type crUpstreamConfig_type is array (0 to 5) of std_logic_vector(63 downto 0); ------------------------------------------------------------------- -- 256-bit fifo out, one per GBT ------------------------------------------------------------------- type d256b_array_type is array (natural range <>) of std_logic_vector(255 downto 0); type txrx33b_type is array (natural range <>) of std_logic_vector(32 downto 0); type GBTdm_data_array_type is array ( NATURAL RANGE <>) of std_logic_vector(255 downto 0); type GBTdm_dsdata_array_type is array ( NATURAL RANGE <>) of std_logic_vector(31 downto 0); type d32bit_array_type is array (0 to 255) of std_logic_vector(31 downto 0); type d32bit_array32_type is array (0 to 31) of std_logic_vector(31 downto 0); ------------------------------------------------------------------- -- 8 entry array of 8bit input ------------------------------------------------------------------- type EPROC_FIFO_DIN_array_type is array (0 to 7) of std_logic_vector(7 downto 0); type EPROC_FIFO_DIN_CODE_array_type is array (0 to 7) of std_logic_vector(1 downto 0); ------------------------------------------------------------------- -- BLOCK size definition [in 16bit words] -- chunck can span on part of a BLOCK or on several BLOCKs ------------------------------------------------------------------- constant BLOCK_WORDn : std_logic_vector(9 downto 0) := "1000000000"; -- = 512 (number of 16-bit words in a block) constant BLOCK_WORD32n : std_logic_vector(8 downto 0) := "100000000"; -- = 256 (number of 32-bit words in a block) ------------------------------------------------------------------- -- 8b10b encoding / decoding parameters ------------------------------------------------------------------- constant COMMAp : std_logic_vector (9 downto 0) := "0011111010"; -- +K.28.5 constant COMMAn : std_logic_vector (9 downto 0) := "1100000101"; -- -K.28.5 --- start-of-chunk and end-of-chunk characters constant EOCp : std_logic_vector (9 downto 0) := "0011110110"; -- +K.28.6 constant EOCn : std_logic_vector (9 downto 0) := "1100001001"; -- -K.28.6 --constant SOCp : std_logic_vector (9 downto 0) := "0011111000"; -- +K.28.7 <---- discontinued --constant SOCn : std_logic_vector (9 downto 0) := "1100000111"; -- -K.28.7 <---- discontinued constant SOCp : std_logic_vector (9 downto 0) := "0011111001"; -- +K.28.1 constant SOCn : std_logic_vector (9 downto 0) := "1100000110"; -- -K.28.1 --- start-of-busy and end-of-busy characters constant SOBp : std_logic_vector (9 downto 0) := "0011110101"; -- +K.28.2 constant SOBn : std_logic_vector (9 downto 0) := "1100001010"; -- -K.28.2 constant EOBp : std_logic_vector (9 downto 0) := "0011110011"; -- +K.28.3 constant EOBn : std_logic_vector (9 downto 0) := "1100001100"; -- -K.28.3 constant Kchar_comma : std_logic_vector (7 downto 0) := "10111100"; -- K28.5 constant Kchar_eop : std_logic_vector (7 downto 0) := "11011100"; -- K28.6 --constant Kchar_sop : std_logic_vector (7 downto 0) := "11111100"; -- K28.7 <---- discontinued constant Kchar_sop : std_logic_vector (7 downto 0) := "00111100"; -- K28.1 constant Kchar_sob : std_logic_vector (7 downto 0) := "01011100"; -- K28.2 constant Kchar_eob : std_logic_vector (7 downto 0) := "01111100"; -- K28.3 ------------------------------------------------------------------- -- HDLC encoding / decoding parameters ------------------------------------------------------------------- constant HDLC_flag : std_logic_vector(7 downto 0) := "01111110"; ---------------------------------------------------------------------------------- -- 7 EGROUPs configuration parameters: ---------------------------------------------------------------------------------- -- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -- MATLAB generated parameters, consistent with GBT LINK DATA EMULATOR .coe files --<< begin -- -- 1. EPROC_ENA_bits 15 bit vector per EGROUP (15 EPROCs in one EGROUP) -- [EPROC_IN2 EPROC_IN2 EPROC_IN2 EPROC_IN2 EPROC_IN2 EPROC_IN2 EPROC_IN2 EPROC_IN2 EPROC_IN4 EPROC_IN4 EPROC_IN4 EPROC_IN4 EPROC_IN8 EPROC_IN8 EPROC_IN16] -- type EPROC_ENA_bits_array_type is array (0 to 7) of std_logic_vector(14 downto 0); constant EPROC_ENA_bits_array : EPROC_ENA_bits_array_type :=( "111111110000000", "000000000000110", "000000000000110", "000011110000100", "111111110000000", "000000000000000", "000000000000000", "100000000000000"); -- -- 2. PATH_ENCODING, 16 bit vector per EGROUP (2 bits per PATH, 8 PATHs in one EGROUP) -- for each of 8 output paths: "00"=non, "01"=8b10b, "10"=HDLC -- type EPROC_ENCODING_array_type is array (0 to 7) of std_logic_vector(15 downto 0); constant PATH_ENCODING_array : EPROC_ENCODING_array_type :=( "0101010101010101", "0101010101010101", "0101010101010101", "0101010101010101", "0101010101010101", "0101010101010101", "0101010101010101", "1000000000000000"); -- -- 3. Maximal valid CHUNK length for data truncation -- per GBT channel, 3MSBs per Eproc type -- constant MAX_CHUNK_LEN_array : std_logic_vector(11 downto 0) := "000000000000"; --<< end -- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -- 10 MS bits are used as an Egroup address in serial CR configuration mode (otherwise unused) constant broadcast17bits : std_logic_vector(16 downto 0) := (16=>'1', 15=>'1', others=>'0'); constant broadcast21bits : std_logic_vector(20 downto 0) := (20=>'1', 19=>'1', others => '0'); ------------------------------------------------------------------- -- initial conf. constants for the case of {TTC_test_mode = false} -- -- NOT a TTC test, initial configuration is generated using Matlab, -- according to the selected options in a gui. ------------------------------------------------------------------- constant CR_TH_EGROUP0_CTRL_C :std_logic_vector(63 downto 0) :=( broadcast21bits & -- 17 + 4 bit: (63 downto 43) MAX_CHUNK_LEN_array & -- 12 bit: (42 downto 31) PATH_ENCODING_array(0) & -- 16 bit: (30 downto 15) EPROC_ENA_bits_array(0)); -- 15 bit: (14 downto 0) constant CR_TH_EGROUP1_CTRL_C :std_logic_vector(63 downto 0) :=( broadcast21bits & -- 17 + 4 bit: (63 downto 43) MAX_CHUNK_LEN_array & -- 12 bit: (42 downto 31) PATH_ENCODING_array(1) & -- 16 bit: (30 downto 15) EPROC_ENA_bits_array(1)); -- 15 bit: (14 downto 0) constant CR_TH_EGROUP2_CTRL_C :std_logic_vector(63 downto 0) :=( broadcast21bits & -- 17 + 4 bit: (63 downto 43) MAX_CHUNK_LEN_array & -- 12 bit: (42 downto 31) PATH_ENCODING_array(2) & -- 16 bit: (30 downto 15) EPROC_ENA_bits_array(2)); -- 15 bit: (14 downto 0) constant CR_TH_EGROUP3_CTRL_C :std_logic_vector(63 downto 0) :=( broadcast21bits & -- 17 + 4 bit: (63 downto 43) MAX_CHUNK_LEN_array & -- 12 bit: (42 downto 31) PATH_ENCODING_array(3) & -- 16 bit: (30 downto 15) EPROC_ENA_bits_array(3)); -- 15 bit: (14 downto 0) constant CR_TH_EGROUP4_CTRL_C :std_logic_vector(63 downto 0) :=( broadcast21bits & -- 17 + 4 bit: (63 downto 43) MAX_CHUNK_LEN_array & -- 12 bit: (42 downto 31) PATH_ENCODING_array(4) & -- 16 bit: (30 downto 15) EPROC_ENA_bits_array(4)); -- 15 bit: (14 downto 0) constant CR_TH_EGROUP5_CTRL_C :std_logic_vector(63 downto 0) :=( broadcast21bits & -- 17 + 4 bit: (63 downto 43) MAX_CHUNK_LEN_array & -- 12 bit: (42 downto 31) PATH_ENCODING_array(5) & -- 16 bit: (30 downto 15) EPROC_ENA_bits_array(5)); -- 15 bit: (14 downto 0) constant CR_TH_EGROUP6_CTRL_C :std_logic_vector(63 downto 0) :=( broadcast21bits & -- 17 + 4 bit: (63 downto 43) MAX_CHUNK_LEN_array & -- 12 bit: (42 downto 31) PATH_ENCODING_array(6) & -- 16 bit: (30 downto 15) EPROC_ENA_bits_array(6)); -- 15 bit: (14 downto 0) constant CR_TH_EGROUP7_CTRL_C :std_logic_vector(63 downto 0) :=( broadcast21bits & -- 17 + 4 bit: (63 downto 43) MAX_CHUNK_LEN_array & -- 12 bit: (42 downto 31) PATH_ENCODING_array(7) & -- 16 bit: (30 downto 15) EPROC_ENA_bits_array(7)); -- 15 bit: (14 downto 0) ------------------------------------------------------------------- -- Initial configuration of the from-host path: -- matched the initial configuration of the to-host path -- (and the initial contents of the GBT data emulators) -- this allows for the loop-back test without reconfiguration ------------------------------------------------------------------- constant CR_FH_EGROUP0_CTRL_C : std_logic_vector(63 downto 0) := (broadcast17bits & "00" & PATH_ENCODING_array(0)(15 downto 14) & "00" & PATH_ENCODING_array(0)(13 downto 12) & "00" & PATH_ENCODING_array(0)(11 downto 10) & "00" & PATH_ENCODING_array(0)(9 downto 8) & "00" & PATH_ENCODING_array(0)(7 downto 6) & "00" & PATH_ENCODING_array(0)(5 downto 4) & "00" & PATH_ENCODING_array(0)(3 downto 2) & "00" & PATH_ENCODING_array(0)(1 downto 0) & EPROC_ENA_bits_array(0)); constant CR_FH_EGROUP1_CTRL_C : std_logic_vector(63 downto 0) := (broadcast17bits & "00" & PATH_ENCODING_array(1)(15 downto 14) & "00" & PATH_ENCODING_array(1)(13 downto 12) & "00" & PATH_ENCODING_array(1)(11 downto 10) & "00" & PATH_ENCODING_array(1)(9 downto 8) & "00" & PATH_ENCODING_array(1)(7 downto 6) & "00" & PATH_ENCODING_array(1)(5 downto 4) & "00" & PATH_ENCODING_array(1)(3 downto 2) & "00" & PATH_ENCODING_array(1)(1 downto 0) & EPROC_ENA_bits_array(1)); constant CR_FH_EGROUP2_CTRL_C : std_logic_vector(63 downto 0) := (broadcast17bits & "00" & PATH_ENCODING_array(2)(15 downto 14) & "00" & PATH_ENCODING_array(2)(13 downto 12) & "00" & PATH_ENCODING_array(2)(11 downto 10) & "00" & PATH_ENCODING_array(2)(9 downto 8) & "00" & PATH_ENCODING_array(2)(7 downto 6) & "00" & PATH_ENCODING_array(2)(5 downto 4) & "00" & PATH_ENCODING_array(2)(3 downto 2) & "00" & PATH_ENCODING_array(2)(1 downto 0) & EPROC_ENA_bits_array(2)); constant CR_FH_EGROUP3_CTRL_C : std_logic_vector(63 downto 0) := (broadcast17bits & "00" & PATH_ENCODING_array(3)(15 downto 14) & "00" & PATH_ENCODING_array(3)(13 downto 12) & "00" & PATH_ENCODING_array(3)(11 downto 10) & "00" & PATH_ENCODING_array(3)(9 downto 8) & "00" & PATH_ENCODING_array(3)(7 downto 6) & "00" & PATH_ENCODING_array(3)(5 downto 4) & "00" & PATH_ENCODING_array(3)(3 downto 2) & "00" & PATH_ENCODING_array(3)(1 downto 0) & EPROC_ENA_bits_array(3)); constant CR_FH_EGROUP4_CTRL_C : std_logic_vector(63 downto 0) := (broadcast17bits & "00" & PATH_ENCODING_array(4)(15 downto 14) & "00" & PATH_ENCODING_array(4)(13 downto 12) & "00" & PATH_ENCODING_array(4)(11 downto 10) & "00" & PATH_ENCODING_array(4)(9 downto 8) & "00" & PATH_ENCODING_array(4)(7 downto 6) & "00" & PATH_ENCODING_array(4)(5 downto 4) & "00" & PATH_ENCODING_array(4)(3 downto 2) & "00" & PATH_ENCODING_array(4)(1 downto 0) & EPROC_ENA_bits_array(4)); constant CR_FH_EGROUP5_CTRL_C : std_logic_vector(63 downto 0) := (broadcast17bits & "00" & PATH_ENCODING_array(7)(15 downto 14) & "00" & PATH_ENCODING_array(7)(13 downto 12) & "00" & PATH_ENCODING_array(7)(11 downto 10) & "00" & PATH_ENCODING_array(7)(9 downto 8) & "00" & PATH_ENCODING_array(7)(7 downto 6) & "00" & PATH_ENCODING_array(7)(5 downto 4) & "00" & PATH_ENCODING_array(7)(3 downto 2) & "00" & PATH_ENCODING_array(7)(1 downto 0) & EPROC_ENA_bits_array(7)); ------------------------------------------------------------------- -- initial configuration of the from- and to-host paths -- for the case of {TTC_test_mode = true} -- TTC test mode, normal GBT mode only! -- Central Router generic 'wideMode' has to be set false. -- Congifuration of TTC-from-host matches -- the direct-to-host congifuration. -- Trom-Host is TTC, to-Host is direct data. ------------------------------------------------------------------- -- -- egroup0: 8 x EPROCx2s. direct data: TTC-0 (2bit) [B-chan L1A] constant CR_FH_EGROUP0_CTRL_C_TTC_test : std_logic_vector(63 downto 0) := broadcast17bits & x"33333333" & "111111110000000"; -- TTC-0 constant CR_TH_EGROUP0_CTRL_C_TTC_test : std_logic_vector(63 downto 0) := broadcast21bits & "000000000000" & "0000000000000000" & "111111110000000"; -- egroup1: 4 x EPROCx4s. direct data: TTC-1 (4bit) [B-chan ECR BCR L1A] constant CR_FH_EGROUP1_CTRL_C_TTC_test : std_logic_vector(63 downto 0) := broadcast17bits & x"03030303" & "000000001111000"; -- TTC-1 constant CR_TH_EGROUP1_CTRL_C_TTC_test : std_logic_vector(63 downto 0) := broadcast21bits & "000000000000" & "0000000000000000" & "000000001111000"; -- egroup2: 4 x EPROCx4s. direct data: TTC-2 (4bit) [Brcst[2] ECR BCR L1A] constant CR_FH_EGROUP2_CTRL_C_TTC_test : std_logic_vector(63 downto 0) := broadcast17bits & x"04040404" & "000000001111000"; -- TTC-2 constant CR_TH_EGROUP2_CTRL_C_TTC_test : std_logic_vector(63 downto 0) := broadcast21bits & "000000000000" & "0000000000000000" & "000000001111000"; -- egroup3: 2 x EPROCx8s. direct data: TTC-3 (8bit) [B-chan Brcst[5] Brcst[4] Brcst[3] Brcst[2] ECR BCR L1A] constant CR_FH_EGROUP3_CTRL_C_TTC_test : std_logic_vector(63 downto 0) := broadcast17bits & x"00300030" & "000000000000110"; -- TTC-3 constant CR_TH_EGROUP3_CTRL_C_TTC_test : std_logic_vector(63 downto 0) := broadcast21bits & "000000000000" & "0000000000000000" & "000000000000110"; -- egroup4: 2 x EPROCx8s. direct data: TTC-4 (8bit) [Brcst[6] Brcst[5] Brcst[4] Brcst[3] Brcst[2] ECR BCR L1A] constant CR_FH_EGROUP4_CTRL_C_TTC_test : std_logic_vector(63 downto 0) := broadcast17bits & x"00400040" & "000000000000110"; -- TTC-4 constant CR_TH_EGROUP4_CTRL_C_TTC_test : std_logic_vector(63 downto 0) := broadcast21bits & "000000000000" & "0000000000000000" & "000000000000110"; -- egroup7: 8 x EPROCx2s. direct data: TTC-0 (2bit) [B-chan L1A] constant CR_FH_EGROUP5_CTRL_C_TTC_test : std_logic_vector(63 downto 0) := broadcast17bits & x"33333333" & "111111110000000"; -- TTC-0 constant CR_TH_EGROUP7_CTRL_C_TTC_test : std_logic_vector(63 downto 0) := broadcast21bits & "000000000000" & "0000000000000000" & "000000000000110"; -- -- constant CR_TH_EGROUP5_CTRL_C_TTC_test : std_logic_vector(63 downto 0) := (others=>'0'); constant CR_TH_EGROUP6_CTRL_C_TTC_test : std_logic_vector(63 downto 0) := (others=>'0'); -- -- end package centralRouter_package ;
LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY mult IS GENERIC(N:POSITIVE := 8); PORT( a,b : IN std_logic_vector(N-1 DOWNTO 0); S : OUT std_logic_vector((2*N)-1 DOWNTO 0) ); END mult; ARCHITECTURE behavior OF mult IS TYPE mem_ET IS array(0 TO N-1) OF std_logic_vector(N-1 DOWNTO 0); TYPE mem_S IS ARRAY(0 TO N-1) OF std_logic_vector(N DOWNTO 0); TYPE mem_C IS ARRAY(0 TO N-1) OF std_logic_vector(N DOWNTO 0); SIGNAL memS : mem_S; SIGNAL memET : mem_ET; SIGNAL memC : mem_C; COMPONENT fa IS PORT( a,b,Cin : IN std_logic; S,Cout : OUT std_logic ); END COMPONENT; BEGIN ligne : for i in 0 TO N GENERATE memC(i)(0) <= '0'; colonne : for j IN 0 TO N GENERATE memET(i)(j) <= (a(j) AND b(i)); prem_1 : IF i = 0 GENERATE memS(0)(j) <= memET(0)(j); memC(0)(4) <= '0'; END GENERATE prem_1; prem_2 : IF i > 0 GENERATE addN : fa PORT MAP(a => memS(i-1)(j), s => memS(i)(j),b => memET(i)(j),Cin => memC(i)(j),Cout => memC(i)(j+1)); END GENERATE prem_2; END GENERATE colonne; memS(i)(4) <= memC(i)(4); END GENERATE ligne; fin : FOR k in 0 TO N GENERATE S(k) <= memS(k)(0); END GENERATE fin; fin2 : FOR l IN 1 TO N+1 GENERATE S(N+l) <= memS(N)(l); END GENERATE fin2; END ARCHITECTURE;
library ieee; use ieee.std_logic_1164.all; entity arr01 is port ( a : std_logic_vector (31 downto 0); sel : natural range 0 to 3; clk : std_logic; res : out std_logic_vector (7 downto 0)); end arr01; architecture behav of arr01 is type t_mem is array (0 to 3) of std_logic_vector (7 downto 0); type t_stage is record sel : natural range 0 to 3; val : t_mem; end record; signal s : t_stage; begin process (clk) is begin if rising_edge (clk) then s.sel <= sel; s.val <= (a (31 downto 24), a (23 downto 16), a (15 downto 8), a (7 downto 0)); end if; end process; process (clk) is begin if rising_edge (clk) then res <= s.val (s.sel); end if; end process; end behav;
-- The Potato Processor - A simple processor for FPGAs -- (c) Kristian Klomsten Skordal 2014 - 2016 <[email protected]> -- Report bugs and issues on <https://github.com/skordal/potato/issues> library ieee; use ieee.std_logic_1164.all; entity tb_soc_timer is end entity tb_soc_timer; architecture behaviour of tb_soc_timer is -- Clock signal: signal clk : std_logic := '0'; constant clk_period : time := 10 ns; -- Reset signal: signal reset : std_logic := '1'; -- IRQ signal: signal irq : std_logic; -- Wishbone interface: signal wb_adr_in : std_logic_vector(11 downto 0) := (others => '0'); signal wb_dat_in : std_logic_vector(31 downto 0) := (others => '0'); signal wb_dat_out : std_logic_vector(31 downto 0); signal wb_cyc_in : std_logic := '0'; signal wb_stb_in : std_logic := '0'; signal wb_we_in : std_logic := '0'; signal wb_ack_out : std_logic; begin uut: entity work.pp_soc_timer port map( clk => clk, reset => reset, irq => irq, wb_adr_in => wb_adr_in, wb_dat_in => wb_dat_in, wb_dat_out => wb_dat_out, wb_cyc_in => wb_cyc_in, wb_stb_in => wb_stb_in, wb_we_in => wb_we_in, wb_ack_out => wb_ack_out ); clock: process begin clk <= '1'; wait for clk_period / 2; clk <= '0'; wait for clk_period / 2; end process clock; stimulus: process begin wait for clk_period * 2; reset <= '0'; wait for clk_period; -- Set the compare register to 50: wb_cyc_in <= '1'; wb_stb_in <= '1'; wb_adr_in <= x"004"; wb_dat_in <= x"00000032"; wb_we_in <= '1'; wait until wb_ack_out = '1'; wait for clk_period; wb_stb_in <= '0'; wait for clk_period; -- Start the timer: wb_stb_in <= '1'; wb_adr_in <= x"000"; wb_dat_in <= x"00000003"; wait until wb_ack_out = '1'; wait for clk_period; wb_stb_in <= '0'; wb_cyc_in <= '0'; wb_we_in <= '0'; wait for clk_period; -- Wait for the interrupt: wait until irq = '1'; wait for clk_period; -- Reset the interrupt: wb_cyc_in <= '1'; wb_stb_in <= '1'; wb_we_in <= '1'; wb_adr_in <= x"000"; wb_dat_in <= x"00000003"; wait until wb_ack_out = '1'; wait for clk_period; wb_stb_in <= '0'; wb_cyc_in <= '0'; wb_we_in <= '0'; wait for clk_period; wait; end process stimulus; end architecture behaviour;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.usb_pkg.all; entity usb_host_interface is generic ( g_simulation : boolean := false ); port ( clock : in std_logic; reset : in std_logic; usb_rx : out t_usb_rx; usb_tx_req : in t_usb_tx_req; usb_tx_resp : out t_usb_tx_resp; -- low level ulpi interfacing reg_read : in std_logic := '0'; reg_write : in std_logic; reg_address : in std_logic_vector(5 downto 0); reg_wdata : in std_logic_vector(7 downto 0); reg_rdata : out std_logic_vector(7 downto 0); reg_ack : out std_logic; do_chirp : in std_logic := '0'; chirp_data : in std_logic := '0'; status : out std_logic_vector(7 downto 0); speed : in std_logic_vector(1 downto 0); ulpi_nxt : in std_logic; ulpi_stp : out std_logic; ulpi_dir : in std_logic; ulpi_data : inout std_logic_vector(7 downto 0) ); end entity; architecture structural of usb_host_interface is signal status_i : std_logic_vector(7 downto 0); signal tx_data : std_logic_vector(7 downto 0) := X"00"; signal tx_last : std_logic := '0'; signal tx_valid : std_logic := '0'; signal tx_start : std_logic := '0'; signal tx_next : std_logic := '0'; signal rx_data : std_logic_vector(7 downto 0) := X"00"; signal rx_register : std_logic := '0'; signal rx_last : std_logic := '0'; signal rx_valid : std_logic := '0'; signal rx_store : std_logic := '0'; signal rx_crc_sync : std_logic; signal rx_crc_dvalid : std_logic; signal tx_crc_sync : std_logic; signal tx_crc_dvalid : std_logic; signal crc_sync : std_logic; signal crc_dvalid : std_logic; signal tx_data_to_crc: std_logic_vector(7 downto 0); signal crc_data_in : std_logic_vector(7 downto 0); signal data_crc : std_logic_vector(15 downto 0); begin i_ulpi: entity work.ulpi_bus port map ( clock => clock, reset => reset, ULPI_DATA => ulpi_data, ULPI_DIR => ulpi_dir, ULPI_NXT => ulpi_nxt, ULPI_STP => ulpi_stp, -- status status => status_i, operational => '1', -- chirp interface do_chirp => do_chirp, chirp_data => chirp_data, -- register interface reg_read => reg_read, reg_write => reg_write, reg_address => reg_address, reg_wdata => reg_wdata, reg_ack => reg_ack, -- stream interface tx_data => tx_data, tx_last => tx_last, tx_valid => tx_valid, tx_start => tx_start, tx_next => tx_next, rx_data => rx_data, rx_register => rx_register, rx_last => rx_last, rx_valid => rx_valid, rx_store => rx_store ); i_rx: entity work.ulpi_rx generic map ( g_support_split => false, g_support_token => false ) -- hosts do not receive tokens port map ( clock => clock, reset => reset, rx_data => rx_data, rx_last => rx_last, rx_valid => rx_valid, rx_store => rx_store, status => status_i, -- interface to DATA CRC (shared resource) crc_sync => rx_crc_sync, crc_dvalid => rx_crc_dvalid, data_crc => data_crc, usb_rx => usb_rx ); crc_sync <= rx_crc_sync or tx_crc_sync; crc_dvalid <= rx_crc_dvalid or tx_crc_dvalid; crc_data_in <= rx_data when rx_crc_dvalid='1' else tx_data_to_crc; i_data_crc: entity work.data_crc port map ( clock => clock, sync => crc_sync, valid => crc_dvalid, data_in => crc_data_in, crc => data_crc ); i_tx: entity work.ulpi_tx generic map ( g_simulation => g_simulation, g_support_split => true, g_support_token => true ) -- hosts do send tokens port map ( clock => clock, reset => reset, -- Bus Interface tx_start => tx_start, tx_last => tx_last, tx_valid => tx_valid, tx_next => tx_next, tx_data => tx_data, rx_busy => rx_store, -- interface to DATA CRC (shared resource) crc_sync => tx_crc_sync, crc_dvalid => tx_crc_dvalid, data_crc => data_crc, data_to_crc => tx_data_to_crc, -- Status status => status_i, speed => speed, -- Interface to send tokens and handshakes usb_tx_req => usb_tx_req, usb_tx_resp => usb_tx_resp ); status <= status_i; reg_rdata <= rx_data; end architecture;
-- ------------------------------------------------------------- -- -- File Name: hdlsrc/ifft_16_bit/TWDLROM_3_15.vhd -- Created: 2017-03-28 01:00:37 -- -- Generated by MATLAB 9.1 and HDL Coder 3.9 -- -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- -- Module: TWDLROM_3_15 -- Source Path: ifft_16_bit/IFFT HDL Optimized/TWDLROM_3_15 -- Hierarchy Level: 2 -- -- ------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE work.ifft_16_bit_pkg.ALL; ENTITY TWDLROM_3_15 IS PORT( clk : IN std_logic; reset : IN std_logic; enb : IN std_logic; dout_2_vld : IN std_logic; softReset : IN std_logic; twdl_3_15_re : OUT std_logic_vector(16 DOWNTO 0); -- sfix17_En15 twdl_3_15_im : OUT std_logic_vector(16 DOWNTO 0); -- sfix17_En15 twdl_3_15_vld : OUT std_logic ); END TWDLROM_3_15; ARCHITECTURE rtl OF TWDLROM_3_15 IS -- Constants CONSTANT Twiddle_re_table_data : vector_of_signed17(0 TO 1) := (to_signed(16#08000#, 17), to_signed(16#07642#, 17)); -- sfix17 [2] CONSTANT Twiddle_im_table_data : vector_of_signed17(0 TO 1) := (to_signed(16#00000#, 17), to_signed(-16#030FC#, 17)); -- sfix17 [2] -- Signals SIGNAL Radix22TwdlMapping_cnt : unsigned(1 DOWNTO 0); -- ufix2 SIGNAL Radix22TwdlMapping_phase : unsigned(1 DOWNTO 0); -- ufix2 SIGNAL Radix22TwdlMapping_octantReg1 : unsigned(2 DOWNTO 0); -- ufix3 SIGNAL Radix22TwdlMapping_twdlAddr_raw : unsigned(3 DOWNTO 0); -- ufix4 SIGNAL Radix22TwdlMapping_twdlAddrMap : std_logic; -- ufix1 SIGNAL Radix22TwdlMapping_twdl45Reg : std_logic; SIGNAL Radix22TwdlMapping_dvldReg1 : std_logic; SIGNAL Radix22TwdlMapping_dvldReg2 : std_logic; SIGNAL Radix22TwdlMapping_cnt_next : unsigned(1 DOWNTO 0); -- ufix2 SIGNAL Radix22TwdlMapping_phase_next : unsigned(1 DOWNTO 0); -- ufix2 SIGNAL Radix22TwdlMapping_octantReg1_next : unsigned(2 DOWNTO 0); -- ufix3 SIGNAL Radix22TwdlMapping_twdlAddr_raw_next : unsigned(3 DOWNTO 0); -- ufix4 SIGNAL Radix22TwdlMapping_twdlAddrMap_next : std_logic; -- ufix1 SIGNAL Radix22TwdlMapping_twdl45Reg_next : std_logic; SIGNAL Radix22TwdlMapping_dvldReg1_next : std_logic; SIGNAL Radix22TwdlMapping_dvldReg2_next : std_logic; SIGNAL twdlAddr : std_logic; -- ufix1 SIGNAL twdlAddrVld : std_logic; SIGNAL twdlOctant : unsigned(2 DOWNTO 0); -- ufix3 SIGNAL twdl45 : std_logic; SIGNAL Twiddle_re_cast : signed(31 DOWNTO 0); -- int32 SIGNAL twiddleS_re : signed(16 DOWNTO 0); -- sfix17_En15 SIGNAL twiddleReg_re : signed(16 DOWNTO 0); -- sfix17_En15 SIGNAL Twiddle_im_cast : signed(31 DOWNTO 0); -- int32 SIGNAL twiddleS_im : signed(16 DOWNTO 0); -- sfix17_En15 SIGNAL twiddleReg_im : signed(16 DOWNTO 0); -- sfix17_En15 SIGNAL twdlOctantReg : unsigned(2 DOWNTO 0); -- ufix3 SIGNAL twdl45Reg : std_logic; SIGNAL twdl_3_15_re_tmp : signed(16 DOWNTO 0); -- sfix17_En15 SIGNAL twdl_3_15_im_tmp : signed(16 DOWNTO 0); -- sfix17_En15 BEGIN -- Radix22TwdlMapping Radix22TwdlMapping_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN Radix22TwdlMapping_octantReg1 <= to_unsigned(16#0#, 3); Radix22TwdlMapping_twdlAddr_raw <= to_unsigned(16#0#, 4); Radix22TwdlMapping_twdlAddrMap <= '0'; Radix22TwdlMapping_twdl45Reg <= '0'; Radix22TwdlMapping_dvldReg1 <= '0'; Radix22TwdlMapping_dvldReg2 <= '0'; Radix22TwdlMapping_cnt <= to_unsigned(16#2#, 2); Radix22TwdlMapping_phase <= to_unsigned(16#3#, 2); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN Radix22TwdlMapping_cnt <= Radix22TwdlMapping_cnt_next; Radix22TwdlMapping_phase <= Radix22TwdlMapping_phase_next; Radix22TwdlMapping_octantReg1 <= Radix22TwdlMapping_octantReg1_next; Radix22TwdlMapping_twdlAddr_raw <= Radix22TwdlMapping_twdlAddr_raw_next; Radix22TwdlMapping_twdlAddrMap <= Radix22TwdlMapping_twdlAddrMap_next; Radix22TwdlMapping_twdl45Reg <= Radix22TwdlMapping_twdl45Reg_next; Radix22TwdlMapping_dvldReg1 <= Radix22TwdlMapping_dvldReg1_next; Radix22TwdlMapping_dvldReg2 <= Radix22TwdlMapping_dvldReg2_next; END IF; END IF; END PROCESS Radix22TwdlMapping_process; Radix22TwdlMapping_output : PROCESS (Radix22TwdlMapping_cnt, Radix22TwdlMapping_phase, Radix22TwdlMapping_octantReg1, Radix22TwdlMapping_twdlAddr_raw, Radix22TwdlMapping_twdlAddrMap, Radix22TwdlMapping_twdl45Reg, Radix22TwdlMapping_dvldReg1, Radix22TwdlMapping_dvldReg2, dout_2_vld) VARIABLE octant : unsigned(2 DOWNTO 0); VARIABLE cnt_cast : unsigned(3 DOWNTO 0); VARIABLE sub_cast : signed(9 DOWNTO 0); VARIABLE sub_temp : signed(9 DOWNTO 0); VARIABLE sub_cast_0 : signed(5 DOWNTO 0); VARIABLE sub_temp_0 : signed(5 DOWNTO 0); VARIABLE sub_cast_1 : signed(5 DOWNTO 0); VARIABLE sub_temp_1 : signed(5 DOWNTO 0); VARIABLE sub_cast_2 : signed(9 DOWNTO 0); VARIABLE sub_temp_2 : signed(9 DOWNTO 0); VARIABLE sub_cast_3 : signed(9 DOWNTO 0); VARIABLE sub_temp_3 : signed(9 DOWNTO 0); BEGIN Radix22TwdlMapping_twdlAddr_raw_next <= Radix22TwdlMapping_twdlAddr_raw; Radix22TwdlMapping_twdlAddrMap_next <= Radix22TwdlMapping_twdlAddrMap; Radix22TwdlMapping_twdl45Reg_next <= Radix22TwdlMapping_twdl45Reg; Radix22TwdlMapping_dvldReg2_next <= Radix22TwdlMapping_dvldReg1; Radix22TwdlMapping_dvldReg1_next <= dout_2_vld; CASE Radix22TwdlMapping_twdlAddr_raw IS WHEN "0010" => octant := to_unsigned(16#0#, 3); Radix22TwdlMapping_twdl45Reg_next <= '1'; WHEN "0100" => octant := to_unsigned(16#1#, 3); Radix22TwdlMapping_twdl45Reg_next <= '0'; WHEN "0110" => octant := to_unsigned(16#2#, 3); Radix22TwdlMapping_twdl45Reg_next <= '1'; WHEN "1000" => octant := to_unsigned(16#3#, 3); Radix22TwdlMapping_twdl45Reg_next <= '0'; WHEN "1010" => octant := to_unsigned(16#4#, 3); Radix22TwdlMapping_twdl45Reg_next <= '1'; WHEN OTHERS => octant := Radix22TwdlMapping_twdlAddr_raw(3 DOWNTO 1); Radix22TwdlMapping_twdl45Reg_next <= '0'; END CASE; Radix22TwdlMapping_octantReg1_next <= octant; CASE octant IS WHEN "000" => Radix22TwdlMapping_twdlAddrMap_next <= Radix22TwdlMapping_twdlAddr_raw(0); WHEN "001" => sub_cast_0 := signed(resize(Radix22TwdlMapping_twdlAddr_raw, 6)); sub_temp_0 := to_signed(16#04#, 6) - sub_cast_0; Radix22TwdlMapping_twdlAddrMap_next <= sub_temp_0(0); WHEN "010" => sub_cast_1 := signed(resize(Radix22TwdlMapping_twdlAddr_raw, 6)); sub_temp_1 := sub_cast_1 - to_signed(16#04#, 6); Radix22TwdlMapping_twdlAddrMap_next <= sub_temp_1(0); WHEN "011" => sub_cast_2 := signed(resize(Radix22TwdlMapping_twdlAddr_raw & '0', 10)); sub_temp_2 := to_signed(16#010#, 10) - sub_cast_2; Radix22TwdlMapping_twdlAddrMap_next <= sub_temp_2(1); WHEN "100" => sub_cast_3 := signed(resize(Radix22TwdlMapping_twdlAddr_raw & '0', 10)); sub_temp_3 := sub_cast_3 - to_signed(16#010#, 10); Radix22TwdlMapping_twdlAddrMap_next <= sub_temp_3(1); WHEN OTHERS => sub_cast := signed(resize(Radix22TwdlMapping_twdlAddr_raw & '0', 10)); sub_temp := to_signed(16#018#, 10) - sub_cast; Radix22TwdlMapping_twdlAddrMap_next <= sub_temp(1); END CASE; IF Radix22TwdlMapping_phase = to_unsigned(16#0#, 2) THEN Radix22TwdlMapping_twdlAddr_raw_next <= to_unsigned(16#0#, 4); ELSIF Radix22TwdlMapping_phase = to_unsigned(16#1#, 2) THEN Radix22TwdlMapping_twdlAddr_raw_next <= resize(Radix22TwdlMapping_cnt, 4) sll 1; ELSIF Radix22TwdlMapping_phase = to_unsigned(16#2#, 2) THEN Radix22TwdlMapping_twdlAddr_raw_next <= resize(Radix22TwdlMapping_cnt, 4); ELSE cnt_cast := resize(Radix22TwdlMapping_cnt, 4); Radix22TwdlMapping_twdlAddr_raw_next <= (cnt_cast sll 1) + cnt_cast; END IF; Radix22TwdlMapping_phase_next <= to_unsigned(16#3#, 2); Radix22TwdlMapping_cnt_next <= Radix22TwdlMapping_cnt + to_unsigned(16#000000010#, 2); twdlAddr <= Radix22TwdlMapping_twdlAddrMap; twdlAddrVld <= Radix22TwdlMapping_dvldReg2; twdlOctant <= Radix22TwdlMapping_octantReg1; twdl45 <= Radix22TwdlMapping_twdl45Reg; END PROCESS Radix22TwdlMapping_output; -- Twiddle ROM1 Twiddle_re_cast <= '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & twdlAddr; twiddleS_re <= Twiddle_re_table_data(to_integer(Twiddle_re_cast)); TWIDDLEROM_RE_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN twiddleReg_re <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN twiddleReg_re <= twiddleS_re; END IF; END IF; END PROCESS TWIDDLEROM_RE_process; -- Twiddle ROM2 Twiddle_im_cast <= '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & twdlAddr; twiddleS_im <= Twiddle_im_table_data(to_integer(Twiddle_im_cast)); TWIDDLEROM_IM_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN twiddleReg_im <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN twiddleReg_im <= twiddleS_im; END IF; END IF; END PROCESS TWIDDLEROM_IM_process; intdelay_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN twdlOctantReg <= to_unsigned(16#0#, 3); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN twdlOctantReg <= twdlOctant; END IF; END IF; END PROCESS intdelay_process; intdelay_1_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN twdl45Reg <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN twdl45Reg <= twdl45; END IF; END IF; END PROCESS intdelay_1_process; -- Radix22TwdlOctCorr Radix22TwdlOctCorr_output : PROCESS (twiddleReg_re, twiddleReg_im, twdlOctantReg, twdl45Reg) VARIABLE twdlIn_re : signed(16 DOWNTO 0); VARIABLE twdlIn_im : signed(16 DOWNTO 0); VARIABLE cast : signed(17 DOWNTO 0); VARIABLE cast_0 : signed(17 DOWNTO 0); VARIABLE cast_1 : signed(17 DOWNTO 0); VARIABLE cast_2 : signed(17 DOWNTO 0); VARIABLE cast_3 : signed(17 DOWNTO 0); VARIABLE cast_4 : signed(17 DOWNTO 0); VARIABLE cast_5 : signed(17 DOWNTO 0); VARIABLE cast_6 : signed(17 DOWNTO 0); VARIABLE cast_7 : signed(17 DOWNTO 0); VARIABLE cast_8 : signed(17 DOWNTO 0); VARIABLE cast_9 : signed(17 DOWNTO 0); VARIABLE cast_10 : signed(17 DOWNTO 0); BEGIN twdlIn_re := twiddleReg_re; twdlIn_im := twiddleReg_im; IF twdl45Reg = '1' THEN CASE twdlOctantReg IS WHEN "000" => twdlIn_re := to_signed(16#05A82#, 17); twdlIn_im := to_signed(-16#05A82#, 17); WHEN "010" => twdlIn_re := to_signed(-16#05A82#, 17); twdlIn_im := to_signed(-16#05A82#, 17); WHEN "100" => twdlIn_re := to_signed(-16#05A82#, 17); twdlIn_im := to_signed(16#05A82#, 17); WHEN OTHERS => twdlIn_re := to_signed(16#05A82#, 17); twdlIn_im := to_signed(-16#05A82#, 17); END CASE; ELSE CASE twdlOctantReg IS WHEN "000" => NULL; WHEN "001" => cast := resize(twiddleReg_im, 18); cast_0 := - (cast); twdlIn_re := cast_0(16 DOWNTO 0); cast_5 := resize(twiddleReg_re, 18); cast_6 := - (cast_5); twdlIn_im := cast_6(16 DOWNTO 0); WHEN "010" => twdlIn_re := twiddleReg_im; cast_7 := resize(twiddleReg_re, 18); cast_8 := - (cast_7); twdlIn_im := cast_8(16 DOWNTO 0); WHEN "011" => cast_1 := resize(twiddleReg_re, 18); cast_2 := - (cast_1); twdlIn_re := cast_2(16 DOWNTO 0); twdlIn_im := twiddleReg_im; WHEN "100" => cast_3 := resize(twiddleReg_re, 18); cast_4 := - (cast_3); twdlIn_re := cast_4(16 DOWNTO 0); cast_9 := resize(twiddleReg_im, 18); cast_10 := - (cast_9); twdlIn_im := cast_10(16 DOWNTO 0); WHEN OTHERS => twdlIn_re := twiddleReg_im; twdlIn_im := twiddleReg_re; END CASE; END IF; twdl_3_15_re_tmp <= twdlIn_re; twdl_3_15_im_tmp <= twdlIn_im; END PROCESS Radix22TwdlOctCorr_output; twdl_3_15_re <= std_logic_vector(twdl_3_15_re_tmp); twdl_3_15_im <= std_logic_vector(twdl_3_15_im_tmp); intdelay_2_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN twdl_3_15_vld <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN twdl_3_15_vld <= twdlAddrVld; END IF; END IF; END PROCESS intdelay_2_process; END rtl;
-- megafunction wizard: %FIFO% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: dcfifo_mixed_widths -- ============================================================ -- File Name: rgmi2gmii_fifo.vhd -- Megafunction Name(s): -- dcfifo_mixed_widths -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 13.1.0 Build 162 10/23/2013 SJ Full Version -- ************************************************************ --Copyright (C) 1991-2013 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY rgmii2gmii_fifo IS PORT ( data : IN STD_LOGIC_VECTOR (3 DOWNTO 0); rdclk : IN STD_LOGIC ; rdreq : IN STD_LOGIC ; wrclk : IN STD_LOGIC ; wrreq : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0); rdempty : OUT STD_LOGIC ); END rgmii2gmii_fifo; ARCHITECTURE SYN OF rgmii2gmii_fifo IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC ; COMPONENT dcfifo_mixed_widths GENERIC ( intended_device_family : STRING; lpm_numwords : NATURAL; lpm_showahead : STRING; lpm_type : STRING; lpm_width : NATURAL; lpm_widthu : NATURAL; lpm_widthu_r : NATURAL; lpm_width_r : NATURAL; overflow_checking : STRING; rdsync_delaypipe : NATURAL; underflow_checking : STRING; use_eab : STRING; wrsync_delaypipe : NATURAL ); PORT ( data : IN STD_LOGIC_VECTOR (3 DOWNTO 0); rdclk : IN STD_LOGIC ; rdreq : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0); rdempty : OUT STD_LOGIC ; wrclk : IN STD_LOGIC ; wrreq : IN STD_LOGIC ); END COMPONENT; BEGIN q <= sub_wire0(7 DOWNTO 0); rdempty <= sub_wire1; dcfifo_mixed_widths_component : dcfifo_mixed_widths GENERIC MAP ( intended_device_family => "Cyclone III", lpm_numwords => 32, lpm_showahead => "OFF", lpm_type => "dcfifo_mixed_widths", lpm_width => 4, lpm_widthu => 5, lpm_widthu_r => 4, lpm_width_r => 8, overflow_checking => "ON", rdsync_delaypipe => 4, underflow_checking => "ON", use_eab => "ON", wrsync_delaypipe => 4 ) PORT MAP ( data => data, rdclk => rdclk, rdreq => rdreq, wrclk => wrclk, wrreq => wrreq, q => sub_wire0, rdempty => sub_wire1 ); END SYN;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.electrical_systems.all; entity inline_01a is end entity inline_01a; ---------------------------------------------------------------- -- code from book: library widget_parts, wasp_lib; use widget_parts.capacitor; -- end of code from book architecture test of inline_01a is terminal node3 : electrical; begin -- code from book: C1 : entity capacitor port map ( node1 => node3, node2 => ground ); -- end of code from book end architecture test;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.electrical_systems.all; entity inline_01a is end entity inline_01a; ---------------------------------------------------------------- -- code from book: library widget_parts, wasp_lib; use widget_parts.capacitor; -- end of code from book architecture test of inline_01a is terminal node3 : electrical; begin -- code from book: C1 : entity capacitor port map ( node1 => node3, node2 => ground ); -- end of code from book end architecture test;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.electrical_systems.all; entity inline_01a is end entity inline_01a; ---------------------------------------------------------------- -- code from book: library widget_parts, wasp_lib; use widget_parts.capacitor; -- end of code from book architecture test of inline_01a is terminal node3 : electrical; begin -- code from book: C1 : entity capacitor port map ( node1 => node3, node2 => ground ); -- end of code from book end architecture test;
------------------------------------------------------------------------------- -- File Name : HostIF.vhd -- -- Project : JPEG_ENC -- -- Module : HostIF -- -- Content : Host Interface (Xilinx OPB v2.1) -- -- Description : -- -- Spec. : -- -- Author : Michal Krepa -- ------------------------------------------------------------------------------- -- History : -- 20090301: (MK): Initial Creation. ------------------------------------------------------------------------------- -- ////////////////////////////////////////////////////////////////////////////// -- /// Copyright (c) 2013, Jahanzeb Ahmad -- /// All rights reserved. -- /// -- /// Redistribution and use in source and binary forms, with or without modification, -- /// are permitted provided that the following conditions are met: -- /// -- /// * Redistributions of source code must retain the above copyright notice, -- /// this list of conditions and the following disclaimer. -- /// * Redistributions in binary form must reproduce the above copyright notice, -- /// this list of conditions and the following disclaimer in the documentation and/or -- /// other materials provided with the distribution. -- /// -- /// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY -- /// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES -- /// OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT -- /// SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- /// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- /// LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- /// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, -- /// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- /// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- /// POSSIBILITY OF SUCH DAMAGE. -- /// -- /// -- /// * http://opensource.org/licenses/MIT -- /// * http://copyfree.org/licenses/mit/license.txt -- /// -- ////////////////////////////////////////////////////////////////////////////// library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity HostIF is port ( CLK : in std_logic; RST : in std_logic; -- OPB OPB_ABus : in std_logic_vector(11 downto 0); OPB_BE : in std_logic_vector(3 downto 0); OPB_DBus_in : in std_logic_vector(31 downto 0); OPB_RNW : in std_logic; OPB_select : in std_logic; OPB_DBus_out : out std_logic_vector(31 downto 0); OPB_XferAck : out std_logic; OPB_retry : out std_logic; OPB_toutSup : out std_logic; OPB_errAck : out std_logic; -- Quantizer RAM qdata : out std_logic_vector(7 downto 0); qaddr : out std_logic_vector(6 downto 0); qwren : out std_logic; -- CTRL jpeg_ready : in std_logic; jpeg_busy : in std_logic; -- ByteStuffer outram_base_addr : out std_logic_vector(9 downto 0); num_enc_bytes : in std_logic_vector(23 downto 0); -- others img_size_x : out std_logic_vector(15 downto 0); img_size_y : out std_logic_vector(15 downto 0); img_size_wr : out std_logic; sof : out std_logic ); end entity HostIF; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ARCHITECTURE ------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- architecture RTL of HostIF is constant C_ENC_START_REG : std_logic_vector(11 downto 0) := X"000"; constant C_IMAGE_SIZE_REG : std_logic_vector(11 downto 0) := X"004"; constant C_IMAGE_RAM_ACCESS_REG : std_logic_vector(11 downto 0) := X"008"; constant C_ENC_STS_REG : std_logic_vector(11 downto 0) := X"00C"; constant C_COD_DATA_ADDR_REG : std_logic_vector(11 downto 0) := X"010"; constant C_ENC_LENGTH_REG : std_logic_vector(11 downto 0) := X"014"; constant C_QUANTIZER_RAM_LUM_BASE : std_logic_vector(11 downto 0) := X"100"; constant C_QUANTIZER_RAM_CHR_BASE : std_logic_vector(11 downto 0) := X"200"; signal enc_start_reg : std_logic_vector(31 downto 0); signal image_size_reg : std_logic_vector(31 downto 0); signal image_ram_access_reg : std_logic_vector(31 downto 0); signal enc_sts_reg : std_logic_vector(31 downto 0); signal cod_data_addr_reg : std_logic_vector(31 downto 0); signal read_ack : std_logic; signal write_ack : std_logic; ------------------------------------------------------------------------------- -- Architecture: begin ------------------------------------------------------------------------------- begin OPB_retry <= '0'; OPB_toutSup <= '0'; OPB_errAck <= '0'; img_size_x <= image_size_reg(31 downto 16); img_size_y <= image_size_reg(15 downto 0); outram_base_addr <= cod_data_addr_reg(outram_base_addr'range); ------------------------------------------------------------------- -- OPB read ------------------------------------------------------------------- p_read : process(CLK, RST) begin if RST = '1' then read_ack <= '0'; OPB_DBus_out <= (others => '0'); elsif CLK'event and CLK = '1' then read_ack <= '0'; if OPB_select = '1' and read_ack = '0' then -- only double word transactions are be supported if OPB_RNW = '1' and OPB_BE = X"F" then read_ack <= '1'; case OPB_ABus is when C_ENC_START_REG => OPB_DBus_out <= enc_start_reg; when C_IMAGE_SIZE_REG => OPB_DBus_out <= image_size_reg; when C_IMAGE_RAM_ACCESS_REG => OPB_DBus_out <= image_ram_access_reg; when C_ENC_STS_REG => OPB_DBus_out <= enc_sts_reg; when C_COD_DATA_ADDR_REG => OPB_DBus_out <= cod_data_addr_reg; when C_ENC_LENGTH_REG => OPB_DBus_out(31 downto 24) <= (others => '0'); OPB_DBus_out(23 downto 0) <= num_enc_bytes; when others => OPB_DBus_out <= (others => '0'); end case; end if; end if; end if; end process; ------------------------------------------------------------------- -- OPB write ------------------------------------------------------------------- p_write : process(CLK, RST) begin if RST = '1' then qwren <= '0'; write_ack <= '0'; enc_start_reg <= (others => '0'); image_size_reg <= (others => '0'); image_ram_access_reg <= (others => '0'); enc_sts_reg <= (others => '0'); cod_data_addr_reg <= (others => '0'); qdata <= (others => '0'); qaddr <= (others => '0'); sof <= '0'; img_size_wr <= '0'; elsif CLK'event and CLK = '1' then qwren <= '0'; write_ack <= '0'; sof <= '0'; img_size_wr <= '0'; if OPB_select = '1' and write_ack = '0' then -- only double word transactions are be supported if OPB_RNW = '0' and OPB_BE = X"F" then write_ack <= '1'; case OPB_ABus is when C_ENC_START_REG => enc_start_reg <= OPB_DBus_in; if OPB_DBus_in(0) = '1' then sof <= '1'; end if; when C_IMAGE_SIZE_REG => image_size_reg <= OPB_DBus_in; img_size_wr <= '1'; when C_IMAGE_RAM_ACCESS_REG => image_ram_access_reg <= OPB_DBus_in; when C_ENC_STS_REG => enc_sts_reg <= (others => '0'); when C_COD_DATA_ADDR_REG => cod_data_addr_reg <= OPB_DBus_in; when C_ENC_LENGTH_REG => --enc_length_reg <= OPB_DBus_in; when others => if OPB_ABus(11 downto 8) = C_QUANTIZER_RAM_LUM_BASE(11 downto 8) then qwren <= '1'; qaddr <= '0' & OPB_ABus(qaddr'high+2-1 downto 2); elsif OPB_ABus(11 downto 8) = C_QUANTIZER_RAM_CHR_BASE(11 downto 8) then qwren <= '1'; qaddr <= '1' & OPB_ABus(qaddr'high+2-1 downto 2); end if; end case; end if; qdata <= OPB_DBus_in(qdata'range); end if; -- special handling of status reg if jpeg_ready = '1' then -- set jpeg done flag enc_sts_reg(1) <= '1'; end if; enc_sts_reg(0) <= jpeg_busy; end if; end process; ------------------------------------------------------------------- -- transfer ACK ------------------------------------------------------------------- OPB_XferAck <= read_ack or write_ack; end architecture RTL; ------------------------------------------------------------------------------- -- Architecture: end -------------------------------------------------------------------------------
------------------------------------------------------------------------------- -- File Name : HostIF.vhd -- -- Project : JPEG_ENC -- -- Module : HostIF -- -- Content : Host Interface (Xilinx OPB v2.1) -- -- Description : -- -- Spec. : -- -- Author : Michal Krepa -- ------------------------------------------------------------------------------- -- History : -- 20090301: (MK): Initial Creation. ------------------------------------------------------------------------------- -- ////////////////////////////////////////////////////////////////////////////// -- /// Copyright (c) 2013, Jahanzeb Ahmad -- /// All rights reserved. -- /// -- /// Redistribution and use in source and binary forms, with or without modification, -- /// are permitted provided that the following conditions are met: -- /// -- /// * Redistributions of source code must retain the above copyright notice, -- /// this list of conditions and the following disclaimer. -- /// * Redistributions in binary form must reproduce the above copyright notice, -- /// this list of conditions and the following disclaimer in the documentation and/or -- /// other materials provided with the distribution. -- /// -- /// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY -- /// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES -- /// OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT -- /// SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- /// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- /// LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- /// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, -- /// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- /// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- /// POSSIBILITY OF SUCH DAMAGE. -- /// -- /// -- /// * http://opensource.org/licenses/MIT -- /// * http://copyfree.org/licenses/mit/license.txt -- /// -- ////////////////////////////////////////////////////////////////////////////// library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity HostIF is port ( CLK : in std_logic; RST : in std_logic; -- OPB OPB_ABus : in std_logic_vector(11 downto 0); OPB_BE : in std_logic_vector(3 downto 0); OPB_DBus_in : in std_logic_vector(31 downto 0); OPB_RNW : in std_logic; OPB_select : in std_logic; OPB_DBus_out : out std_logic_vector(31 downto 0); OPB_XferAck : out std_logic; OPB_retry : out std_logic; OPB_toutSup : out std_logic; OPB_errAck : out std_logic; -- Quantizer RAM qdata : out std_logic_vector(7 downto 0); qaddr : out std_logic_vector(6 downto 0); qwren : out std_logic; -- CTRL jpeg_ready : in std_logic; jpeg_busy : in std_logic; -- ByteStuffer outram_base_addr : out std_logic_vector(9 downto 0); num_enc_bytes : in std_logic_vector(23 downto 0); -- others img_size_x : out std_logic_vector(15 downto 0); img_size_y : out std_logic_vector(15 downto 0); img_size_wr : out std_logic; sof : out std_logic ); end entity HostIF; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ARCHITECTURE ------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- architecture RTL of HostIF is constant C_ENC_START_REG : std_logic_vector(11 downto 0) := X"000"; constant C_IMAGE_SIZE_REG : std_logic_vector(11 downto 0) := X"004"; constant C_IMAGE_RAM_ACCESS_REG : std_logic_vector(11 downto 0) := X"008"; constant C_ENC_STS_REG : std_logic_vector(11 downto 0) := X"00C"; constant C_COD_DATA_ADDR_REG : std_logic_vector(11 downto 0) := X"010"; constant C_ENC_LENGTH_REG : std_logic_vector(11 downto 0) := X"014"; constant C_QUANTIZER_RAM_LUM_BASE : std_logic_vector(11 downto 0) := X"100"; constant C_QUANTIZER_RAM_CHR_BASE : std_logic_vector(11 downto 0) := X"200"; signal enc_start_reg : std_logic_vector(31 downto 0); signal image_size_reg : std_logic_vector(31 downto 0); signal image_ram_access_reg : std_logic_vector(31 downto 0); signal enc_sts_reg : std_logic_vector(31 downto 0); signal cod_data_addr_reg : std_logic_vector(31 downto 0); signal read_ack : std_logic; signal write_ack : std_logic; ------------------------------------------------------------------------------- -- Architecture: begin ------------------------------------------------------------------------------- begin OPB_retry <= '0'; OPB_toutSup <= '0'; OPB_errAck <= '0'; img_size_x <= image_size_reg(31 downto 16); img_size_y <= image_size_reg(15 downto 0); outram_base_addr <= cod_data_addr_reg(outram_base_addr'range); ------------------------------------------------------------------- -- OPB read ------------------------------------------------------------------- p_read : process(CLK, RST) begin if RST = '1' then read_ack <= '0'; OPB_DBus_out <= (others => '0'); elsif CLK'event and CLK = '1' then read_ack <= '0'; if OPB_select = '1' and read_ack = '0' then -- only double word transactions are be supported if OPB_RNW = '1' and OPB_BE = X"F" then read_ack <= '1'; case OPB_ABus is when C_ENC_START_REG => OPB_DBus_out <= enc_start_reg; when C_IMAGE_SIZE_REG => OPB_DBus_out <= image_size_reg; when C_IMAGE_RAM_ACCESS_REG => OPB_DBus_out <= image_ram_access_reg; when C_ENC_STS_REG => OPB_DBus_out <= enc_sts_reg; when C_COD_DATA_ADDR_REG => OPB_DBus_out <= cod_data_addr_reg; when C_ENC_LENGTH_REG => OPB_DBus_out(31 downto 24) <= (others => '0'); OPB_DBus_out(23 downto 0) <= num_enc_bytes; when others => OPB_DBus_out <= (others => '0'); end case; end if; end if; end if; end process; ------------------------------------------------------------------- -- OPB write ------------------------------------------------------------------- p_write : process(CLK, RST) begin if RST = '1' then qwren <= '0'; write_ack <= '0'; enc_start_reg <= (others => '0'); image_size_reg <= (others => '0'); image_ram_access_reg <= (others => '0'); enc_sts_reg <= (others => '0'); cod_data_addr_reg <= (others => '0'); qdata <= (others => '0'); qaddr <= (others => '0'); sof <= '0'; img_size_wr <= '0'; elsif CLK'event and CLK = '1' then qwren <= '0'; write_ack <= '0'; sof <= '0'; img_size_wr <= '0'; if OPB_select = '1' and write_ack = '0' then -- only double word transactions are be supported if OPB_RNW = '0' and OPB_BE = X"F" then write_ack <= '1'; case OPB_ABus is when C_ENC_START_REG => enc_start_reg <= OPB_DBus_in; if OPB_DBus_in(0) = '1' then sof <= '1'; end if; when C_IMAGE_SIZE_REG => image_size_reg <= OPB_DBus_in; img_size_wr <= '1'; when C_IMAGE_RAM_ACCESS_REG => image_ram_access_reg <= OPB_DBus_in; when C_ENC_STS_REG => enc_sts_reg <= (others => '0'); when C_COD_DATA_ADDR_REG => cod_data_addr_reg <= OPB_DBus_in; when C_ENC_LENGTH_REG => --enc_length_reg <= OPB_DBus_in; when others => if OPB_ABus(11 downto 8) = C_QUANTIZER_RAM_LUM_BASE(11 downto 8) then qwren <= '1'; qaddr <= '0' & OPB_ABus(qaddr'high+2-1 downto 2); elsif OPB_ABus(11 downto 8) = C_QUANTIZER_RAM_CHR_BASE(11 downto 8) then qwren <= '1'; qaddr <= '1' & OPB_ABus(qaddr'high+2-1 downto 2); end if; end case; end if; qdata <= OPB_DBus_in(qdata'range); end if; -- special handling of status reg if jpeg_ready = '1' then -- set jpeg done flag enc_sts_reg(1) <= '1'; end if; enc_sts_reg(0) <= jpeg_busy; end if; end process; ------------------------------------------------------------------- -- transfer ACK ------------------------------------------------------------------- OPB_XferAck <= read_ack or write_ack; end architecture RTL; ------------------------------------------------------------------------------- -- Architecture: end -------------------------------------------------------------------------------
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2162.vhd,v 1.2 2001-10-26 16:29:46 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b04x00p22n01i02162ent IS END c07s02b04x00p22n01i02162ent; ARCHITECTURE c07s02b04x00p22n01i02162arch OF c07s02b04x00p22n01i02162ent IS TYPE simple_record is record data_1 : integer; data_2 : integer; end record; TYPE record_v is array (integer range <>) of simple_record; SUBTYPE record_2 is record_v (1 to 2); BEGIN TESTING: PROCESS variable result : record_2; variable l_operand : simple_record := (12,34) ; variable r_operand : simple_record := (56,78) ; BEGIN -- -- The element is treated as an implicit single element array ! -- result := l_operand & r_operand; wait for 5 ns; assert NOT(result = ( (12,34), (56,78) )) report "***PASSED TEST: c07s02b04x00p22n01i02162" severity NOTE; assert (result = ( (12,34), (56,78) )) report "***FAILED TEST: c07s02b04x00p22n01i02162 - Concatenation of record element and element failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b04x00p22n01i02162arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2162.vhd,v 1.2 2001-10-26 16:29:46 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b04x00p22n01i02162ent IS END c07s02b04x00p22n01i02162ent; ARCHITECTURE c07s02b04x00p22n01i02162arch OF c07s02b04x00p22n01i02162ent IS TYPE simple_record is record data_1 : integer; data_2 : integer; end record; TYPE record_v is array (integer range <>) of simple_record; SUBTYPE record_2 is record_v (1 to 2); BEGIN TESTING: PROCESS variable result : record_2; variable l_operand : simple_record := (12,34) ; variable r_operand : simple_record := (56,78) ; BEGIN -- -- The element is treated as an implicit single element array ! -- result := l_operand & r_operand; wait for 5 ns; assert NOT(result = ( (12,34), (56,78) )) report "***PASSED TEST: c07s02b04x00p22n01i02162" severity NOTE; assert (result = ( (12,34), (56,78) )) report "***FAILED TEST: c07s02b04x00p22n01i02162 - Concatenation of record element and element failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b04x00p22n01i02162arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2162.vhd,v 1.2 2001-10-26 16:29:46 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b04x00p22n01i02162ent IS END c07s02b04x00p22n01i02162ent; ARCHITECTURE c07s02b04x00p22n01i02162arch OF c07s02b04x00p22n01i02162ent IS TYPE simple_record is record data_1 : integer; data_2 : integer; end record; TYPE record_v is array (integer range <>) of simple_record; SUBTYPE record_2 is record_v (1 to 2); BEGIN TESTING: PROCESS variable result : record_2; variable l_operand : simple_record := (12,34) ; variable r_operand : simple_record := (56,78) ; BEGIN -- -- The element is treated as an implicit single element array ! -- result := l_operand & r_operand; wait for 5 ns; assert NOT(result = ( (12,34), (56,78) )) report "***PASSED TEST: c07s02b04x00p22n01i02162" severity NOTE; assert (result = ( (12,34), (56,78) )) report "***FAILED TEST: c07s02b04x00p22n01i02162 - Concatenation of record element and element failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b04x00p22n01i02162arch;
-- $Id: pdp11_dmscnt.vhd 1181 2019-07-08 17:00:50Z mueller $ -- SPDX-License-Identifier: GPL-3.0-or-later -- Copyright 2015-2019 by Walter F.J. Mueller <[email protected]> -- ------------------------------------------------------------------------------ -- Module Name: pdp11_dmscnt - syn -- Description: pdp11: debug&moni: state counter -- -- Dependencies: memlib/ram_2swsr_rfirst_gen -- Test bench: - -- -- Target Devices: generic -- Tool versions: ise 14.7; viv 2014.4-2019.1; ghdl 0.31-0.35 -- -- Synthesized (xst): -- Date Rev ise Target flop lutl lutm slic t peri -- 2015-06-26 695 14.7 131013 xc6slx16-2 91 107 0 41 s 5.4 -- -- Revision History: - -- Date Rev Version Comment -- 2019-06-02 1159 1.1.2 use rbaddr_ constants -- 2016-05-22 767 1.1.1 don't init N_REGS (vivado fix for fsm inference) -- 2015-12-28 721 1.1 use laddr/waddr; use ena instead of cnt; -- 2015-07-19 702 1.0 Initial version -- 2015-06-26 695 0.1 First draft ------------------------------------------------------------------------------ -- -- rbus registers: -- -- Addr Bits Name r/w/f Function -- -- 00 cntl r/w/- control -- 01 clr r/w/- if 1 starts mem clear -- 00 ena r/w/- if 1 enables counting -- 01 addr r/w/- memory address -- 10:02 laddr r/w/- line address (state number) -- 01:00 waddr r/-/- word address (cleared on write) -- 10 15:00 data r/-/- memory data -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.slvtypes.all; use work.memlib.all; use work.rblib.all; use work.pdp11.all; -- ---------------------------------------------------------------------------- entity pdp11_dmscnt is -- debug&moni: state counter generic ( RB_ADDR : slv16 := rbaddr_dmscnt_off); port ( CLK : in slbit; -- clock RESET : in slbit; -- reset RB_MREQ : in rb_mreq_type; -- rbus: request RB_SRES : out rb_sres_type; -- rbus: response DM_STAT_SE : in dm_stat_se_type; -- debug and monitor status - sequencer DM_STAT_DP : in dm_stat_dp_type; -- debug and monitor status - data path DM_STAT_CO : in dm_stat_co_type -- debug and monitor status - core ); end pdp11_dmscnt; architecture syn of pdp11_dmscnt is constant rbaddr_cntl : slv2 := "00"; -- cntl address offset constant rbaddr_addr : slv2 := "01"; -- addr address offset constant rbaddr_data : slv2 := "10"; -- data address offset constant cntl_rbf_clr : integer := 1; constant cntl_rbf_ena : integer := 0; subtype addr_rbf_mem is integer range 10 downto 2; subtype addr_rbf_word is integer range 1 downto 0; type state_type is ( s_idle, -- s_idle: rbus access or count s_mread -- s_mread: memory read ); type regs_type is record state : state_type; -- state rbsel : slbit; -- rbus select clr : slbit; -- clr flag ena0 : slbit; -- ena flag ena1 : slbit; -- ena flag (delayed) snum0 : slv9; -- snum stage 0 snum1 : slv9; -- snum stage 1 same : slbit; -- same snum flag laddr : slv9; -- line addr waddr : slv2; -- word addr scnt : slv(35 downto 0); -- scnt buffer mbuf : slv20; -- lsb memory buffer end record regs_type; constant regs_init : regs_type := ( s_idle, -- state '0', -- rbsel '0','0','0', -- clr,ena0,ena1 (others=>'0'), -- snum0 (others=>'0'), -- snum1 '0', -- same (others=>'0'), -- laddr (others=>'0'), -- waddr (others=>'0'), -- scnt (others=>'0') -- mbuf ); signal R_REGS : regs_type := regs_init; signal N_REGS : regs_type; -- don't init (vivado fix for fsm infer) signal CMEM_CEA : slbit := '0'; signal CMEM_CEB : slbit := '0'; signal CMEM_WEA : slbit := '0'; signal CMEM_WEB : slbit := '0'; signal CMEM_ADDRA : slv9 := (others=>'0'); signal CMEM_DIB : slv(35 downto 0) := (others=>'0'); signal CMEM_DOA : slv(35 downto 0) := (others=>'0'); constant cmem_data_zero : slv(35 downto 0) := (others=>'0'); begin CMEM : ram_2swsr_rfirst_gen generic map ( AWIDTH => 9, DWIDTH => 36) port map ( CLKA => CLK, CLKB => CLK, ENA => CMEM_CEA, ENB => CMEM_CEB, WEA => CMEM_WEA, WEB => CMEM_WEB, ADDRA => CMEM_ADDRA, ADDRB => R_REGS.snum1, DIA => cmem_data_zero, DIB => CMEM_DIB, DOA => CMEM_DOA, DOB => open ); proc_regs: process (CLK) begin if rising_edge(CLK) then if RESET = '1' then R_REGS <= regs_init; else R_REGS <= N_REGS; end if; end if; end process proc_regs; proc_next: process (R_REGS, RB_MREQ, DM_STAT_SE, DM_STAT_DP, DM_STAT_DP.psw, -- xst needs sub-records DM_STAT_CO, CMEM_DOA) variable r : regs_type := regs_init; variable n : regs_type := regs_init; variable irb_ack : slbit := '0'; variable irb_busy : slbit := '0'; variable irb_err : slbit := '0'; variable irb_dout : slv16 := (others=>'0'); variable irbena : slbit := '0'; variable icea : slbit := '0'; variable iwea : slbit := '0'; variable iweb : slbit := '0'; variable iaddra : slv9 := (others=>'0'); variable iscnt0 : slv(35 downto 0) := (others=>'0'); variable iscnt1 : slv(35 downto 0) := (others=>'0'); begin r := R_REGS; n := R_REGS; irb_ack := '0'; irb_busy := '0'; irb_err := '0'; irb_dout := (others=>'0'); irbena := RB_MREQ.re or RB_MREQ.we; icea := '0'; iwea := '0'; iweb := '0'; iaddra := r.snum0; -- rbus address decoder n.rbsel := '0'; if RB_MREQ.aval='1' then if RB_MREQ.addr(15 downto 2)=RB_ADDR(15 downto 2) then n.rbsel := '1'; end if; end if; case r.state is when s_idle => -- s_idle: rbus access or count ------ -- rbus transactions if r.rbsel = '1' then irb_ack := irbena; -- ack all accesses case RB_MREQ.addr(1 downto 0) is when rbaddr_cntl => -- cntl ------------------ if RB_MREQ.we = '1' then n.clr := RB_MREQ.din(cntl_rbf_clr); if RB_MREQ.din(cntl_rbf_clr) = '1' then -- if clr set n.laddr := (others=>'0'); -- reset mem addr end if; n.ena0 := RB_MREQ.din(cntl_rbf_ena); end if; when rbaddr_addr => -- addr ------------------ if RB_MREQ.we = '1' then if r.clr = '1' then -- if clr active irb_err := '1'; -- block addr writes else -- otherwise n.laddr := RB_MREQ.din(addr_rbf_mem); -- set mem addr n.waddr := (others=>'0'); -- clr word addr end if; end if; when rbaddr_data => -- data ------------------ if RB_MREQ.we = '1' then -- writes not allowed irb_err := '1'; end if; if RB_MREQ.re = '1' then if r.clr = '1' then -- if clr active irb_err := '1'; -- block data reads else -- otherwise case r.waddr is -- handle word addr when "00" => -- 1st access icea := '1'; -- enable mem read iaddra := r.laddr; -- of current line irb_busy := '1'; n.state := s_mread; when "01" => -- 2nd part n.waddr := "10"; -- inc word addr when "10" => -- 3rd part n.waddr := "00"; -- wrap to next line n.laddr := slv(unsigned(r.laddr) + 1); when others => null; end case; end if; end if; when others => -- <> -------------------- irb_err := '1'; end case; end if; when s_mread => --s_mread: memory read --------------- irb_ack := irbena; -- ack access n.waddr := "01"; -- inc word addr n.mbuf := CMEM_DOA(35 downto 16); -- capture msb part n.state := s_idle; when others => null; end case; -- rbus output driver if r.rbsel = '1' then case RB_MREQ.addr(1 downto 0) is when rbaddr_cntl => -- cntl ------------------ irb_dout(cntl_rbf_clr) := r.clr; irb_dout(cntl_rbf_ena) := r.ena0; when rbaddr_addr => -- addr ------------------ irb_dout(addr_rbf_mem) := r.laddr; irb_dout(addr_rbf_word) := r.waddr; when rbaddr_data => -- data ------------------ case r.waddr is when "00" => irb_dout := CMEM_DOA(15 downto 0); when "01" => irb_dout := r.mbuf(15 downto 0); when "10" => irb_dout(3 downto 0) := r.mbuf(19 downto 16); when others => null; end case; when others => null; end case; end if; -- latch state number -- 1 msb determined from cpu mode: 0 if kernel and 1 when user or super -- 8 lsb taken from sequencer snum n.snum0(8) := '0'; if DM_STAT_DP.psw.cmode /= c_psw_kmode then n.snum0(8) := '1'; end if; n.snum0(7 downto 0) := DM_STAT_SE.snum; n.snum1 := r.snum0; -- incrementer pipeline n.same := '0'; if r.snum0=r.snum1 and r.ena1 ='1' then -- in same state ? n.same := '1'; -- don't read mem and remember else -- otherwise icea := '1'; -- enable mem read end if; -- increment state count if r.same = '0' then -- was mem read ? iscnt0 := CMEM_DOA; -- take memory value else -- otherwise iscnt0 := r.scnt; -- use scnt reg end if; iscnt1 := slv(unsigned(iscnt0) + 1); -- increment n.scnt := iscnt1; -- and store -- finally setup memory access n.ena1 := r.ena0; if r.clr = '1' then -- mem clear action icea := '1'; iwea := '1'; iaddra := r.laddr; n.laddr := slv(unsigned(r.laddr) + 1); if r.laddr = "111111111" then n.clr := '0'; end if; elsif r.ena1 = '1' then -- state count action iweb := '1'; end if; N_REGS <= n; CMEM_CEA <= icea; CMEM_CEB <= iweb; CMEM_WEA <= iwea; CMEM_WEB <= iweb; CMEM_ADDRA <= iaddra; CMEM_DIB <= iscnt1; RB_SRES.ack <= irb_ack; RB_SRES.err <= irb_err; RB_SRES.busy <= irb_busy; RB_SRES.dout <= irb_dout; end process proc_next; end syn;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Bds85+dGMeCsD7hcir1yMlD7vI3TxE/REkPnx8PwdLXDvto8RvBWcd2kdr6GYLOjf4YCuyZymrYJ 5GH7YkzIwQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block C6h1CCNWEgO7EUKAL/CRgXRzcW+RA97RWjh/l30pdyXuP1Xh05NFvOimQ4WrD4pBnDcaF8Hj+jOF QbJOmFWQUyqCbK7gf8QDLcLapOMJv98IuE3h1+EI8TgktIn5/kUDGyhwEaZ0GVA2ssADSiwedB09 BugvAqGcFiYjbWTkwYY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nV+3dfcQQtizCD4IvxWM+E2x1KiaejJmvBiJRPCc/Gr+d6qGz6skRXcO4PVrsIJUFbMrIvGRnAJj mVlCkiCjeo4ilmjmeJnqQMWYUTYXtlGygONuFTzkLRy0cKWc8ZHfcP+bpOt7mrjiqXnr+8QSHC2X FXEJSDDutrGh1w2bjpH4c91d196IM88kh/H63k+lqB16K1Oj0JBWQx+l1qM0EMC3jcQ17vj0XLQj HnDmQjckqBiS49sGOshPsm/A5EV1H3xmNgswXwU/QIbdoKnIT/XD4oGce8obDrXqcNcozJYfwRfH cE0h2WRQFSFHesOydmGusdbQFmlSbRD7ZljRUQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block svRnjtpOOvZRuXX8Kxmry49TsUiIQN5bjiLbN9STt0c6YzwzzpLb/B08IlLcnEmBgu2ZTgWmBN13 AWO6f26CdoS2rt9uJ9S+tw0C6+CySqNp5I1VUHIFZKntx8FmJxk9pPAx9T8wClWgXQgK4UzkyV6o 8xww3UUikaP1UutRqeo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NMfoB9KbXnvMVD6+i+xAR+QUrLsPwgDG+79kPnw0uYImRBGzwd5Sta7uFp3oJhqYmHpfkY5ocgOs xeo9F72CEDi0b1AyPsuFsIGSCQ/XGTcllalbYo8Ybyb9w37hcoAfhfRu5/Bgs0vbYp5ydMBjY+Wi IMjz124Uhz7UxhJpO8ddB7Dk/DZsC63TKBj6WlLi2oPQDiOJnqwwL3bwfaodz1sOGJnpLP1vhWre ona+0YY5RCa6BjANUKbJhLuhHyqfZV9Uy12REgg4CpnUgOilileCpRJ+GN0p/H+UOzk4bPzejNg6 dhvB/vGpoo113JrxaV8qNuOdE4wENReuZORSsg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 117312) `protect data_block 29jzdEEx5D6uOOnKKz7GpR1GnJiAvNeTs3jyFjaeZMCU/qIEELQpECtoCo094CcFfl5Adq5ozWXb Pz8nV+nfd+RcpGgOpWkkHyFOBmBSn0mAkDQcfVBBLV/uMBNcoLasU2UBjn2nKoNf/UJWPae6wjUh kDxP94uSKtIaT2l04TFMDfkHuT8ZQtyjZO9kEmbQYxPXwcgz4JPp93PeL01S1JU8duWTp5A2my8m 1kGDGpDpvctPivkjsObHGLPKU3eJqPnII4gD/NeLRCdZO9BVLmv04JIZaMN5cfQLTsJ90e+LolU9 OQV9O4NDFioumaPnMrSVlVbVhsNdW8/XG10LKzoRi1cJI3KoFEEgzW2S8w+CgpHp2eVsRCy4Pj58 LlP8KYWI6+MO5kcnNIIN7sNblqUSVVLgi3SuYGqGz4eX7/4Lgd6+z84ALgzf/vBCxUA1PId7kwxz 8pX11Jm+61Vf+Q1agwNSg18q05xaBG1l2NcZNWrfVcRfc0NXKjAxtuOX3pPSqjhU5lczM2tqSbc4 XgiV/3FJqZZX+jVczFnnXV01WdBvDJCPVlP2ZptQ4lw4udUTDHYnqZ6cVSqIBkYZjp7iVHET5Poq i0OV8tkHhg0hB0Q7B0xzfLBgu/8IgnDq0AOxaguvkwikTsePSXE/8U19IJ+Od6A6oVEfyOVv5i1q H1Q47AVG5SKC0iE/e34GUvqr37DKXWtG3bfAqFLy3ixp03EN8DtqtPXzWNKqmVAwsQfkG2WEy/lo 9bgMLUTRzsgzQgqjtp0IX5fHP6fDWkuV2hMMi82TKSlQHBhdD2oGcZjeG1lLuTUxk0H5h3TirYpX 13D86ZIKFc8RuryxziqqzEISyumCuwB70R2DPU0eSGujDsoUYVFGjNP+558saFHbEZuoHoUK/Fgn 6Z+dEXnusl4XWpVX+KXIxM4o0Kx9ganuOcN+R/CidAiyZpT7Qm8fmnEhgT+PQxFW9oLsYtx3VHTU aMoDFBAAmtp3cT3H8BdaPmBAZSYWtToO1L3pi03t4W6Mv73Mp/8XDVeVXOkE4Il7DlccIy4oD20w R5ktxj/ZCmFfDrCND2HM7NExMyZ0gFYmXyBw2Y2tCatCeDj9Pb7NT+wGaYPaNivXXHG9jB+Vu3hu Tscu5EZI48C/F9el36sYTMiSEi8RYwk8+5UxvPHdi2EyO6THcwfSnpKwsYsUAhnBjWLeHIkboHNH 0YJ18wLqkCO7Zabga3nKKysHLJVmFWxcKVUjkJ4CNVD2OvC+0E249dpxxuNJDwVyAz3s/WDXnyqj RQuisr+W8u85xm46/BH7kZoE7UgldVG4xmmjs7OKQbkDhEjIRUYHb25+t7rtoGcOuZKf3mhCPqHV w+x0rkPh5XDIh5uyFrr5cDoT8Y4LrMXXH6Xgn/GXa4Pn+5Z0MOFRef8T7GAdhzLiid42SMiuY+BB wKOrueXBc691UP0kkUEHx5zOD00pqdug6h41V20VXd6KUp4R7eITRbrBAcMgOp1GSJOZgD8eUXjA iNJJXRoRaJW8SNsYycyEmqSGrq4F5Dsptz8iQH7s5aXpL23xdN+igoW1xrkkn3MHgwFTAgNL0xEL FWRS1neAOXusspMi+5usc0VJzHF5lKj+k3/XEfWN/Irq2xGwOqO15t80xqjEz5TFPrL971tSbjdd D+UTANXQOqulE0lo7zpVz4WQ/dnv812K8x8GHexYSAaI3oT5IRhHLVFUTtvZuhW12O+RnoKncZRy Eai+kNGON+DRXB2++PG4p214qS7wZy93ZULyy1EviwXbAZpWMtZHs0EHfJgMjrK6LKcU24Waf2+O sBjkEQqgWAhWE0CBVAWd6U48nukPdCBFphOK8HMYC5WSjERbFsbqx4KqJhm53BiIGU1I+lsOcaGu oaq59I1UlzVtrTAM7z92EmXCm4H3IrlSfxQRoluqf8czoEyVyMFGZTVdCZT6nlz3CZs1gQfLv834 Le7PpOTiQdrM3ZhrpTrmvKHC0bld+jCLf7PyMYOvp0DfVnR1KgUjhGcyn/Jl8L7RX4rzqW2Rux8l xhYgfIXnrJiv+W/EO9nJw8qTlX0G7BaRZhaGJnr08EPuMJMigF8nEo7jqMJyXvUXl9epGrA9WgaM u4Llxs3DvghH54KN1ljTn7M4V7BcMAXrXsz5dd+OKy36Tu2fHFjsp3OADz9OX0rnlwh1lJBNLJyv bVi73aOEa8ANUHWpK9cNjewcjHpJPLwBhEDlmkl+DmkYo6A8zrzwQtMvslhKXDSq4BY1FeP90Vb6 729dyx59Gi7eiWu1r6oufMEbZ+m4OnJrPYVSPT6VUaFsPRMZ1Kk3bjtpHfKKDPjXDnU5l2g5oycp o5hKjPDZDOFqaHEoh7yhZ/8Wn5nS2ga84rhr9sN2QVzh+NgJrFGcK8XEstYcclEkWODp9NJZWWyi XX7TL1w1Rs47cCU32tyX2nh57hmsJvuCq6o+lJ0GMxNb6oPBWXmdM3fCgNsGVtPbi+Ts7Qsoo6NA CzPSK7C4JoR7g/mvbOEql+GQw1I7hJcfjDXDZbe8TuYCfRhUohPBb8udBNR3OQBnozLsU40WjSRs HK2naOtqmEXAB+8yFSqsItGPfDhAWxgSYy4Cl9dJq0pM15A8TUQan3/4Fa0lYhOgXgC18klBvRyd ucbStqa1j6zDg78APhJ9MftTO6AnZAZrDzO2aGgmdQcsDw7rQP9T1CDPjDP49Eg8tNE0ZDFu1Dvj R7u1LQJgTfhi9jwuqtLUlplBTbp8uD4TuJDO9MUXjhBMvhsliXTq02QSKf8m4NJJMC6QFGRGv1r5 +o8rXpJPZs9r/Ts2rpptR6Co08wdw00fsW3HvVPFewyIfzvdHh96qwwuxvUKxhAHC8Fj+CWB4lA9 lfL7NlbzzAL/93HoLz806sdzRUMzDdyvH1iCkWzhWri6t2cR80hSaPx/1MudWVgRz9vT/092Kl6S 0YufSecJ6fUqEvaDfLsJWPHxdzmegBfOPpVp6JFCGNeijQVTJa9gbMQ1zS258s4Z0IWAbGeDz5K1 rX5PJjDT21qu6lxvl0eEAR8ZBUa/k5uhj9Ro6F+Q/qekLR5cMNYNen9RPZp6GelbwznpNyWDWqdZ I049evqIEWMaL0fkHTbCnaV42vTiECL7n2qYXv0mV+MDF+fbxJkDDSqtwqEI3K4dQ5kEmevqwOZu G0eUvSu79xyBYRhg4/SSb8HqdIBLfaKRWjFLXIC6xoW6I2XcCFPSfW1rVdfvyHckcOkTyxTopwT6 +aTp2AUG3KnxHBh+tpfSRndlDpZzf3MInIYfB+IjAwZ1dF35iq8ZmrIxJqcgie1k9JdiPVrbxuj7 WDMiGUINpkSOmXknalNZxg2HxLcyqEjj1ho3sZxnIsPv+pu3soSkUmU0ftPcXHKNGyOITS+YXsHr xu+GqZKckoI8mG68UoDWLmJC0mX2lA0M58ZuyK23GSWdZ7Nv3tOomVp1DfvtHT20xkh+d/I86kWS i68eWw5unQgjqqwkN2yDwwfCo26doKPy20R5PBbI/mmcAxX1bSzTtyZa4cS9OI+Ga/WqL2XVs0vR 4E7QTyXt/j+VnlkKYQsJlCqN8fjyWYWnsZsPpTrd8saTJfIBRKEym3NqevBw0WoFsCW/4gGN+13u 8UtYLjUyoiyGbxqoWhKQKo8ePH6RW62y1eFZAaeCL0XsEkv2qsI2bOx0TqZLvEw9Ti4OqCdVHP2D 4gX1VmYfTa3NC+FXqZZ8D2WBMnyEXuTI/opa4hMpYRnHMuHnZ8qg4aEkVDbo/2NWnRh4c2RvCC3f RyTM5jMTkH/eSR1RnWqzL51y5Jaw0Ug0wj3FtZavT5qcfLtMZLGZYSZ11N5c2eSjHOO1WNwrEVL5 hrqwQd6Z/41HzNG8VifZmSt5wdHQAz1hNT40VNeARpORKGQ5GXo3/E2mGstm0Aa81fmGM4KAC+u8 932t7o7Liad9PTSfcAvpBSY5GKVDGwEQSvx1nFq385+8R2y9MQT6ikyadSCPMNAQoIKbPyx+Qn75 4YiQ8kSEmhgrLYLKgUn9u5uG6gDfE/A2VubCNGBtj4maLU1YSBL8uotkn9iJkvyYml4Hsl1EfMFJ 1UExplG8XYl/b9I/kYdvNv8NH8Ks7/HFozVNeGbT4GFAIUJLIi4aTZu8oCjP7ceiWPFnDlgi1qia WOnH87R+Z1Z00cl9JDxOFPYmnvAWOD+zKb11ituQEeT9/o5U9ngp1kMQZW2HOvgm7VesvgtQ0Muu 770+G0JVzLI9yMJFMXTBzJpGL0j6eRNEljCAZgR/jzzEYjfbPtwn8AFLr0ExWm73C8nbPh0cunR2 +JI1U90w8WXcgXxrt7Z6EP93z7ke7c5uz0XpweNFgG9H+GhSjcBEVpD4xPBozP70vjM2EvXZ0GmR iiFpmzJR3Vfp9YEPq0KaJIbObi3Rn5jMqqUg1Zt44H5Z0lToPhja9iwv7pHJbETjbRG0ApQ3QTVj d+xATnEb6SQBQFpmjIB0SCKxl3Fd9YKylQXs4erFMAonhUY5SQ9876fdJwjZDdS4ypp59d1a6V9B yjQ/Oa+oFRQNpgFzLiY9gvojm7KpUO+8+eo5g22D+qXFHC2b28PQi9Tzwoi8SB1VfjBIdHaHh+Ul 8emJQbEHA+xcmXaaV0A7hbsvIoQBjaiVhKIIftfWoQOE/3uEhzfE7NhF3gq4T6zYVVRvDo5TKZEu 6sw5/2kc1pYbJJBPjLHTeLm9OdUI2YUxXrklJ1Qrs6i5wdIJ6mEjZZNF7gcGk/HkRwqSaoSUaDzu chNh6CnzqGJOgqpTnMyfjkb6RSe+zutABM8IuHXqCbcb6AT1UKI9CND5tqoT9KHdn6t+ofa+ewLV 9Zx3412eMRVioEkkyqn053OPXU7/s22a3DxeWW9joi9bPlJnUnyCcaMryP89QA+4fM8iCYE37PUb 4og4JCl+4d1ta96Bkatkl2VERR1DVvNPhoPMew2wXtKfY7hwTo3gQItHsSrhTmv1j34anXQpsiYu kFhUntbmKylnI1JGvmsKI7ovEkIDBXl47KYSGcd3TJj7lfl+fFPrlaQEfdaVuU6Mx/bOwo1PRYVO 0F/kpW3PFRk3RJu2EHAucIC/G75tnbJN/Rq5YiWSel8QTz8pFm8MPZB9Rmmw6EO8iEytByI/UWtW 2qodDf4hP0ERq9YvpKl0C+x7CtqB1CQaxxAHrz18Xtf1bEpMoC7t2pSUKTbDQ/aesM02Z2OEPUAs /1iFLlRNX/FPwlh7BzziHUirMJ4rHXhqOD4Qn5qlNo1V86WJTH8bJkg8MVZzqAs34c1c7dh0hhfh 48PVkhQXP6/728euR1RJelpkJ9+N5HI8zgYbWlKU7BClX/1oFkB+Vvqtf+Ug8uhkEB0EzLoYHXLu DYEvCTJzhY9qiZcPXFKAzkk5SefSnF9lgJUrj9f//DPIKjAAeg9cU903++ZZyLST7sICL0lLew3K qnbi/CNJ7HhkgT4H8AQnhzP/XqeniMYbLmuL1OvqMyNcfpA5yQakGK0f/pi1gdzzJkz2Er7xV1+o SRLOu+7VZ4z6b5EjZetd1qH3mrqJnEPKXhTGcdA4fVfrFg7QnKpkxGMlzyWt9F7UI3scWrQ7ihxp yYAOnD6jQXDNEEtVLlZ7QDPq/oG7Fm8rmkBTd52TrO4/MMn6eovjDpiZKTogjxOHXhXz4+XlcmCN UHB2zDbYGf/8Wd7ngjFJdlMVrEF/nCslI2f1TkB8T65nLb3DsEWLFViXvHg4YTRJHJlIDyptVIaC j8fMbXI8QOLWR59dRZOR1TrsIdgBvwlgTZrOVoCrHdM4Ho3llZV3Hf59Idoo6jSSuXs2OoC0czuf 8hdB6LStL7kAlSmC502GMVRVI1RWFei4eFd+TGgNdfPi6wUiYrBEtn2OcI7EeREPiRcuiJCf7P+m 7Sz8PcGDbWMsIvG3zYZAS3Ypneeglp0XCcjA88fswy9BAEMJrhFg0VirYbDJLEDODzQt814pyNks XeFNZN6rxsAQ69BDonMdNp3vFlCY+lnFvYU0dn71BE0eGT4OyChv160XGrleUrKBQ7KkLyztOIaw 4TVHLrR4EKypYiSuTk3nlKuTs1tNVjUqpDNSKLtcPvs8NVZMbXXThZ1e2Y3b/CttEfZB4l7ncXCU gMpys/nFikSbJunNc/9Obp7+r4OGRPRTJCjC/j+oe5Z0XS74ygPGjmEgeBiUDo2sxt1BL+zh1m0u N2fcqqkX+G4XJ1X2vSTK/HVVW/RxGKllIqBieCs3FMj7rzM54EUCBzXnCYeSQVJ/UTshLxTL6k/r wk4V8NHFhb8WsvmRcGPmKHczz6WwmYiesaU0/NKhDHgFZdkHhUzkEKwepJx78X+pulSSNvPj7n/y LlHg2gJS6UmxfYk42S6fk152qp91f2vHvchz2JIG3Vj4TZLd8WL70vUw4r2hVNdjWo4Wd0R2VG5G iwFK5w0C/VY89PM6lPG7BW4cfilqaS+OXE3oYyDqwnp/6lLClmFT5zeJhwdukwZKvsUqq2OdJi+X rCFaAnNjCKKKfjUshc2cNJ/jdscAEiAaSlWsSWO+JSMBaHOrNdXy9TUw6+1QGjVYqVjbHCbVUb7p Iwol218TEIIGOv9zysz4fwIgb65WgC0Vs919pta3iM8eL6iNeFmgU0FTETyPt2OoxJn2cpK9HN3t DDYwGiO1pOjcXV0ckYXO353+m0CJ+dLUUSl3xIJtcBe6BwC/SmqunFT92Af0j6YTB9c/EHgJLn7+ DRTJZJYNg7Lejtjrjh2LeIexAOts1I8AI+xWyRuyyKVwnsqnjeLCgEqMa3u0qqg5oW+98y/QpYEm JHPl7q5EHN8uRIzvzJb/F+RluEQNbqHAbRdl3PSGDIV1bfdIwYgEKaHcPUnXNDzvOgUFBL13i2II 0+t5cRmPCcwShPgT3fpDZV+azZJB4vAmEgWDMi1Xvxe0cOcKmlzZWi8jesPaZQpD/sDEWkIkgRWi 7EnimC3j31G7jdGqFbVVUgvVYcxkrtbVCCvFu5fpnWw0TPUl/Wcpqm+ETgXU64WcK1tXNzGQUjlu L3msswundbqVrOnoYS8GaUa+Wv1TZITrSbe0X/q8UV4U5bhc5bE926sJXi6beNguzDwnGOldctxA 24YTHDExMDyYlMNkNhHkLSDFpS2+oC2Mp0t9AMxgSNXY2SKN5uLR1SXMvYJoeZ4MFXkzpDxkggZs NC0DSumOBMjkF9M37MDfkWgiX89ZQ17NLR6hwOGexHpktHlDhykc1mOWlGP+rjauS7vi9pf1lGjB Qg1fi5xBtq3N3Q5DKhHDClmZxEXYEwXB02C2Whrxrt6XuHG0TOSr3nd8vavFOQWHU5Yu2bExoelR +n7Gr3Y7ygXjHOPPoRRNlZjFEiE7OcZjl7HYkwyMwXYVALnrQ6r1RFL41f1ZQa4vGwJl4sxfr1VB ao9D5kicLjUkIPEoBIS/cSLCpg5cc3PelQSXL0dNjKARAE9S+tFnlESV7qDWInmocS2LjpkFdbWQ /LQwv2+NGzQCT9XdRxLi5p7XuJXn2BxeYToddfKAeB1M0d49G4CWH4xuh9KX9YExJQ5FXHIs1nkp 4i8q9lFlGCR6/kBe1PQxH1e+/q49sCMmUe0TVk5jK5cIe64nQTYVyxvdq/gmW1X+iLUXlG6WkJX1 HPoviujc1021Z6wK1NyMYc7XKSkjJv2Z+IyGNK+3bl64FKR0NJNUytfLgLLAPj22usQY4lvc5xO6 NxA837cw6LOCTTQvqsXdS47xK/JGwRQtiwEQ53p753gYYupLSN6xREurvdca9VNuy3lWBgXy9+AC 24d0Mw1+zdwH4vT8MbdWKyCqR2O20rdfxN140+0AVdPP3GAb21hgYVgTeDTBAi8MJ1LdwMu9p5Cf SVwxVw7rWEzXkk5jGKI/LY4sKI3OubTzcbitvnAxEG8+YyooqmNomdYlkvzlPRJbpaAuj2Z8pw4l DvRaJkf2DvyTmAWzZCbV07+lS/q/1mWP9jEHmdo6X8rCkgNiV6GbtRpS+sB26L3l8aLE14J5AfH+ uxW/f0HnOxkc+25t/27NKXWcA+hCDIsa+0G4j3dCHu5nVwYZ5WkfWsQkHi0cZglbJp2YkG6OW5GY tyjXe8t+NSBFdIq8bjcYV72NctRvQtLTpVVHXbGjadPoCSJRbIx6WpaoBbX139YPhtvMH00nonm4 dYQHsApYn0xwUZ+PYYhrpx1Yy9CLfAMWZ0IibrkzjNz4ELORVuZ2j9Kz0Ds56cX+cs4Q6IQ6rFGD UmAPnXHTyHkWFUnw9xO+KdHNL9IGJJz4zhGqCvk+nhrZYep72GEsNZzmaWKiYmVH+bqPxee6VzaS e1CU7SRM/Mpo0T10b2kRv5KKhNHwIH1G7eaLfa2OHKbUHqfC6SfqLmtOZo9W3qsQCSx/9l7tnfVu hhLk+/C/mRqCJMxx4wmplAk2OUko7nr3iA/y/bUsy8NE9Obx3R+O92v2bMG8oZPbLQUzBXGO9dCV e6yTobgzuXENxAVspATbPF2wkZjjnSOx7EdhaNtj6TVPdl0dSJTE00eqhkTBrz9wFf0L27HgO+Wk RPud5sOMjs8tECDVTMLr6rNy7TYH+DeTNR3isS1V5Oeh2d5GHIer/xyt3WT7uIrsJdFVXhdn5U+W Giw0pUD5GP5WKA5kmCre6J7kLZNiSWTzKEhvQ+2/oIItwpnt8a0JGaoiGQWcgHFLUHckmSI2jejh DlUnIE7gLOwFsVdA/RQ3TC9jv6ztFtk36ymhviTd2eyuZB9vNrfyVIqoTEbLeDgNTL0SwlDS9s8f 54mIyu69RA1gKOYwXvAdNrnJ0s0MnXV35D76JcuoK1T1FKfo/DBVGebx1Ug3WW5AuyJIHx4kcEMy c0uQPsy42jn7vrD0iPJaUErt00fBVd/u4xCZWhp4+b+UHzQ4zXp076SCRlohyAxFE8+KVuLOeSn8 0S7UCElzUeZHStQTu/cCfukmLD8nEEXjL1P0AYI/AoJOvS0RwxhnrrgEhMCwCHqsTRBtOHOSkusG P1DyQPtvMn7A5pR1l3seijaifGtiihq8v5fCSFMFWnqduoU0Y4aGn1IMhv5SB36cgerkG3d5MOrT CexDHIcibPkjwc1ikjdJ1pmdGAKPE/JVpb9QLL1suqcPU66YExC5mMVU8/hAqd948p9v9plQSwkk owcqSfZdIlTWl1hqeE56Ogso5lPjh5VnIQH8Ip5aqYiE9S1k2TLJYjzLvi1hQOhw4RI3TqzjVNrF ISVfPp5qG+cbCSvxrH4wle9RwaWbagCJEC9NdLURK3Q9RwZDLY8GzEE5hI5qZuaONv3+zM6fTXsP NHlzcK3Y4Ti7Xwm1xeaW/RIyhXNagNn7UeELEY4NeJvgpUi/tOkY4RAba8OmUVJ7a0Xhsh0nrZ47 PFF/gNSjfuxA3zP9iDrAkPqadAIjgWXgBeJXFzeJl0H9Qq1MZiXVL4ryS/zoRdMzF+T2h5y1NG3B z4Yku/NbAYgBFepOnvMYk2omvvUeWxe15KMfiopQvjRe3TJK/tGwQqme+Z1rPnz0Fc0EBNaiudMh FB4uYs3a+dv1+1lzwXmXr9PwHBcgeDCefyC1CW7QDNK53noa6Jrfp2zvHVpoYnejii4N7m7VXM3e 0KaQWtqGapNEjgm3U2tPoCG2k/FOAl6BbldTkOb6hgD8OrS5FwhMZVFbj+2/p5loPcgtnv5u06hq foaxu8MsZkEtDaAJKjRIwLGVYfQl0/EqrQ/uKjIsrfNvOwDzvp+lkLuF6sfCkOgvLYI7ZJKUg7SW WMrsprlsWZyahRq9w/7vAmGWrGN2Wo1Lrj4YWKUYkTSHpCg6ii5kD5PREaSZ1gw1pgPn1/2flHzi B5YNMwd6BLuXdQl+4TuCbzGx6uRMHXT8z3SgkOXUt97rp1Fbq3dtQOJ8xB0QVwUtXIA4NhzuAPL2 SdPQfgNdYiA5rdAWshLTgn1TLwhvCBZ7v77TXmrtVY4F+DiTDg/JV+8F32EAfTuurztxCeRoMfEd tSUGxz046N1jSF1ba91Q86TOl3nqDLAEXdWcekBDsdD8YUPEFJ1VmcfrKbyyfZs2knVJHDOYeqak szcqk88qIBjgPsq3KlMSgpLBXStD985ofdZY7zXnf26Eg//0HvtB87oxPb60ch3ho9jcxn8cPdiE mqm4c1Atju5tPGO9PrLUdTr3ygoPo3nIWNAUyI2OOtIrL2fJqE+48kFvS8R5eSlfLkj9nXpEQy7J VFlALQftRMd2TALDoJ3OX8qippr9taWqKXtTmefg8xiQ2A9zPRDTo2uYRxAl6LVhfzOyBtIiZtsE TSXhUbBCJ431BXfKgLnDYVHgsMGUcEZxxC3+AK9u0stVsiQiGgjHir/JrwR65NiUit1gyv6L+N+0 uiQTlJWSw82NxpSENuicgF/gAHyWCAAbat6uJBiSRyLyGprzgJfMwoK6kkCqQ19BL9z4cIdQg7Ag zEIvQ0VG0T8w4LuFV069qO0tF0BosyYp0DTwkivMEqpFL5rcsF1Wdc9eCPqEar+7Mdksk9u+oEEW O4TH1pkYFUvLUiQ/wiXX30jvoqCEVaD86uCIyBI+aE5LjNcMhWuQg9kZDzU1Ua+vbui1H6/f2Ph7 Ufts80IDr60iH+y41k1ksyaNdNqQ39l5zQ2KpGM++61KXBYANk7HBombuz8l4L7pVViw6ANzNi5B w/7OpiDgYfR2Nt4kvFlQN3l3q3h+JnZp37JK8+Jxzp2FowhLBvrtkAPhtCQsP7B+tIF2G4k12FEQ D7TtPQwtIMxgI6I4JMI/DlxR03PmbT4Mg4InNyy5Acj5xoHusjHRO2P0VKdhtKhf+vLQqhY95b5d MPWwP8oCfdVlIqfWPTS/4ey3AqnpygOsOX9QhM1ssRsMSusJQDQuLcY2MEObiaAiy6szcFborxTN k/5KTREAS9avsPMtZ9GFvMxWHmczPgWbyR0HgJ4Jl662F1PvQOawquCtAX6uPsotBe3aejvpCiUS xmVtUow83SngGvNod2VuhEa/6EWIXCAkPiPi3IL4KjcnMiVQ3cyhZqktb7tOZEn8T0BNJtps0UfC kIt+7qpKk/X+MfAYmtrZG1RqT4MlfricuKEJWF84xG2tzAO6d/V/L5tRhuY+kI1pHx8bscCH+7hK W2FspKDVF6zDh7u6nEHyxvU8Ni7KjZFeD6rDHAQ9w7ov13rRF4w5KIcopWolwh8Ip0gANw1skE5g 7WC92ZxmVV+vlTSCiiqwHfP86EH+npsdJC92GgkEGNzgQRWZWCIotq3z+HVO8auFcA4eR+s3Ecpj JPxN3hXKqoz9s4R0TGovAEpRBvJg2LbroHECLDWyo4G9hllhnokRE+pqCkXOAviuOxqJHd/ZPqhg DDU3dshMaZ9W6mmvz2w+rcB/C34HIAoyNY+pOIwjWnHgxHFw//kvrK3R/HZvGUGARQFQ2KP6DTZb G+D5RqIiZ73s2gYrvg3nQt1uTWCRw/C45FCQ/aUGRdKYPUskh9ocgObjh5RgcDa2fbv3cUyyhI99 3ov1vOg/jy7FOZrt7EUer6grDLBUwE6wivFaVOohqVQ0FTz/3saP7MKULTJa2V0JbgMNMEGE35lh hgv0HkMPTXYAia0zjnurNxnN097b+1vjkjbxku6sIxHjKrGgmsyzBd3J60M7hmQJhn0Uz0MKluZp cN+DTnP6kSIUUDMpk9wpzdurP2REqbpqbWMZJSZ0QZA54fT+iBFsE5ky9YgEFkFBR0DCnC99FmFe u0WMteorcnui4y+L2hhvHYFBXuYWy8DTQ7VUXR6uULk9W1/TBZ4+x4FeY1/zLOqG53+jWrufEPa1 FMSAov+zgDbiSd7XShvaTjy0iJeU9tanDv4tENGMZZ6rwTwHYQQWVgY0mnm6vx0nZROFxiw/FvsD xMNIxIhq/7BAb9GO+WMZPMYJmCJoPR57LTzvJqClq9mpxKFgFht8dzE2ImfH44YYd2JH1WWAtJkB tb7w3jRAZ/jiXawU+O7M3ZY21pTQMMonksZOf+jNh4IVggrMX/ChTreYt60hDygF2ptlx9lCoYmB K3RMbZdwsiPC2fe83RtbTkxMuzIzUemZLOhq7Ogq86Id/SiB1py4JDVdqFgmkgcWuEeW8esysRgv Qxynlu6xZV47PiMZUPiY6VP6A/m3VArXwVAhZ1IPXtrse80iJVJwPZelUkMiMbV/99esQIPHz31D xzp1YUcZQTzO5wtyTSZYFxEVC+ik4z/hz7KtZSy8M0t13N01b5SwIKKS13YBKex5DKEi9b9utSE0 mN4lx+TSRSf1UARrsg5YykF4AonpWb5W4zcsQatK5Esw66spY4Lxjqiw87NOf1cZHG2RaiKmgPWt 1cZa20AnBEvGKhH9swN7FkBliz68RittZwEepE0JNAa6ZRcuxN0LUdBQ3xNYKiNzWFS1fUSuu71Y HqTHpdhQyIpBYvM+7DUdbEIucTpvoUyupoagzUA4Ne6CVAC4yv6FJZKyKRkg981MYjDGx3KVjwbR dNeS6xZybeiznsKKfOzOX32Puhjhog+XO1snErLjhKX1KwwYlOG4sELJ6kVtUV5cAKGF1zqf2xmW A03HiqNuA/bXWMA1fK1d6b22MB+t5JAqv8kYdDD8h35XmFjrUixRtIITSpm+4Hagw/C+l2L/fSJi 90LEzLbYlq+/l1h6sdJDdSKJ354Utah1P5PFIAuTNcKaRV9zSR/plWqwc78I6gFDMEt/GYPnGRix Yq2ZIO0DrIDa10to5lGXgp71BoRo1AgBQpI5SXr251no4+1c5zexvnY8Wi72a6xDDRDxZXkO5oKd 4lQEiilEjc694t0XdfJLTvtXaEroSKDnX7SPX13YEYJ4DMvKUiwK0En8IptB4nfcN53fGLCuxfQP TlxGzX2Sz2OnCsz0YDyCLIBlHBNwfCC+TuwJf6yOXvLwUobVn41ZF7qf1xVnQUVfeqT87A3Rt4C3 CKNjkQgIrNltlgxd5zVQz2sWyePOBKnRuLXX80zOYCdXtPbhOkInl1FFNOZnHzjFeEEcUnQJLvM9 C5r08eBR3p86/QIproU9e22FidwSI0XGL37Bsg9LVgjgdHtJQefYnCXfHTeK/vftjFLP4AzHTEWU sE7Yvm/pZ1bYsv4DDX0vC8k2JImHT8bsHN333dHooWGRVyda8/9iJUTcf//SSTfcNDJd32xpN0yu p5J4U8D1/ooYTe2F8mnJXEhNyXSHfPQLhNUds9UFsXxtBQXwSHSNNQ4GEIeD+9tujBZ4ae+imaU7 XM2DxHXC0hzoxFf7iDY0vQ1oUrWChvv8sdiPtL4i+j1xeAgSr624W8JdWXxJPVyn1obj/tM72TIr iE1aL13wTeJCSq1JK7cdmYA/P3TFueM15TcSP0hAAnWjtqLEt8bXUtuJsr9268EJIzbOMHy9QfX9 CH+t7yBPfTFQG5tA1UBreWRzTmhkl+JUvIEuqNrgj9MEys6QiWtRbYFDy5DXrnkQ+6AmJeX0cqOV O5dtaiF2IWQ6CH3htSJyh/E0AG2jyrwz8NYX0XMfSQYuAYFvg+sibvkELEusLKxDIrRFfM21Tn75 dlJyYiKVDj21AC8QRSV8x4OYAuM5O1DcXaKPVgae8L3EY/axA/LJdHdhK2x6xoww5uoockfc4AnS Cd2obKfJahB//GadRxqOC2MrKMwUsjU9kxv4P4ZaxSYF0gGZBGBOGH+Vf+b2A/6H2bXdEafgU6zC /lynDaSJic7bLxoDxAUX/Zh8aB0i1cYHCLmn/Jfm24pQFp3gUMf4SyaA0ekwxFF4qRfNsJxqnykN Ce+HL+bQsOlCJqmMaGy9D3ZHkaE52rXawDD108Z2z0RG3xOZvtnWbg62fHJhFZu9CwwPIJGjpw5C 1IylzlZIM5iRVigEceKhKS/xdaZRwFhHuekOVdqP/zS0+Owkznu+VvLNOSYzxxwFC8Z86iHT+c/a x4JzUczhDo2KKP2hoaRsxgOQSj8yKZHAHOVN4QygNfr5v9fDe9W+Fz6UOIVTfp0y0oCFSX8MVsLn Vyk/IQw6fymPE5hkaTZ0KycP8ZqCzDi/HmXaOEmQIYQsS+iX6Y/qAydgmio1wu8ZxbGaFz2StjHN Tvn4/7kSnakKHupe49NzwqbcU5YWRi6HdK14R8W6/UctdZwNrOEw7RCddE0LAtPSIq1slvOD24tA +r9uyrU6o6/41XjBhNiWZ43oX19U2VsjPpTheco2KbIPAzKokx7+yaeDbCGw3DQjRIyKpS9PdiYJ Lll66RX4E+PYBZo9IGEcHpl4IH623k3iB2alZs5KE3oIMMnuMAHXSDFkdXrQVbZvNG9/tdQDsUH4 UHdgRacQpeQHAn5zzh39FDtK/P1aCPEdrvp+K2R8WdlfADQ8WcVYUzNbYbTQ/ildYqh6A6Cg5sqF nnBbH9ZOGOUQq4DXq4l8TTRuH0VPtBLdRJRZUlC9CjwCQNMI/B3NMYG1TFcC0V9j2dSz75wPDIUf 8TjhoHJpTdj5Kcc//nCKBRFi5cVx8R7ykV+INOd5Sjj3PqWIeXINdwgE1kCe3HEsUD9CgI2iAqCF qq/lbfv7OTET9YXKpUU/lsc8eet2dY+QsMxUd52xylBha92QNiN6optbX/9nDBULEAiPXfPm0/ro Ff+S6rQgxk009STtW7OhFcCfwQB+qqho7wONMWS9QhJybomZ1i8w2LBNPM1TtSUByhY1oDXerXzd ll6UzK9JjDPRnsuvVwU5JkOAPlj4CXBctrLrePgVxjRvWvbzUGfSniQ3KS0wKxE4loyG9IULfuPP fHDPim0CtWcIvI1e0AfklAec4fDSrLlgZTg6Gh0EOp8FOTwcduUNWzfg38TBIsnXmalJU7H0Qh4z G5UyjP4vLhJBcZ8Z7sVup/CU/9b1dl/mnZkrRa1cCLohcbisPpnIJeFLIDEtWkv31QPNRvgzyHZK Lxyz7FNdSwfFWxrKxUcl+uqJug7tRcuV49LzmAmHICA21EFo8195nVRBFZVxr3nFSZnWeFLJXnSs QNI1GlkoS9XIeQPageisGC299OETO+IsAYKrHBSdG3vH2plEvtNlqSw/sIbIMkJrhiDan3d/mtup 7c8WM2iAr1HfXlvwCP7Zr3T2hr5YXczr/vaTkL+bfpYWpkzfQstexvFY9dUc0Emr42WwLBY7pd9Z kVpQQD8wK2IwxIAo/UkCMdI/62Kg+n1Hg75uhXAvWFOA4nHJDRHUqfoSVyUqJcxwONqxIQFPn7YH 2HG7TDY1rHatxMIE4BRehPBvafi/8CLWEyki8fo1c9nLytCiCuOa663OX4SfIar0QjKNemls8C1F d2cubnEi+0E1TF/ZpXwaFG3u4IT8YE9mxLPpcEdXGzJBEPpSx796zd9C5uvpAfehuRNQSawArJew 59RFV7Mhij/Z9nmTfsc++0+IHXzirv51+crYjPWZqRaJKPkzIoupFemPXpiOPT8T1cl+miGMMihg 32f6oGefvUHrtFGT3Zr8cHrCAT/P9vW3eG8HeGPZzYa0dJgfqctis0zZQvD798uM4YmhiArA0JkM 3umQ/GDLlabnTQFszsMtx/fqNzbLBySZiiwW5wgos7pyR6WM9f782xRnpkDqulqAiu9oyThfCnaC 0hBwUo/Q0QWy1YSnMcNGkvWNhCfqSZ4v+2e+E21PIUANWFmwMgSTE12ozMoVr258ocA5OjN6MyNt CWuKjpbzD0aMHyH9ZhZ8G4Gcv1XhUmAxBYn/WrLdmEE81Td+tt/9pOq+kxuBkBGTkw5EIH1oyETV 2+DlR48Fn46riw82svt/8xxLEBZ4n82GSpdf7sFH4D+4Yov0DbuIcg6lzJ84ewpkDT7rEg/P7vd7 M60J6+VoYRfsVZTWJ8QlycytPlrpkOnpqlRC1j4CylFEul91dFnpEiwQYcfvt71WtJJTd4lVOPwo ++gAngzbnSW0CjB2L/2nZqS5S0HiNkDsofjQwQCBDjRv2tdXoL/YxLKlOoWZzM0CVAbvXaju/eAW yNBMCuyyXbktgqaI+kI7wepCmpn7VJCSP8nutElZmjJYVEItzoeBp0YUh2fqY6B+iYHWqZLCgZoU 1yDfYFX1CUoHtUVDf3mU/ym8ZPEPaVyTeUuvoTCMpr/h59+ECMg59vl/AWeZSfaGZCsBFYz7swXx P1P2Aqwo5eupEIFZbiRHOSxe5Oicz/fS2u/tsWY5ifFmC0/8N0roJE/b6dQe2uG/xtjjHThvrvms px6zMs6Wgz10d4imeYf0bjX94hoLE+keE+3QYbsCnAddTzXZZmRmBxnxmcKKDgtmJ0Te56KZfuAE hjerTPMlLk3FAb0docA/zpXSb97t3zhd2GGsZsG7bKQx4blU2MpPqpQ87mgxrr3iYgvPwmRD288N i9BCBkNB115hCbO2MVTMCwc2Fmf9u1mBsJFrWaZCRXLQZ7bjRDox/HdnW8o7vnlkD/77+X+WOzK9 8vYxVVdxfZfqliSDULZWO8j65zto61+CBvbux2bx4WMO6Yc1/56eHS9BX040ybpnLBM6W1C8kxZb Y5CGzuSaGI0Q3njL/OXlDtAKM27unyi7l1deMgalY3lFnsUPt2mnhwDyz7FitmyEZOJv0dHjgKXV +ZnYVJ+N2SsUOwgUca2g1UkxPBTTx6dbWeY4bjUnJaePr/PA7xlVT47yGDh3Wbnbrjbbr6LBSdVa SMHCJk5VfeSxqNb9ZRsNw2A06ZP8uLJfXKy74r79IXnGVoyJZfn1qPebubzixAMD9wi2pHCTgCZt k2zhaXvVXZTyOJDwICBQ+7cMutRLctsQH7Sb3z35yoQtlqB8PPeMKVYkV8+5D0TvvsxluffoA+ih xHdhHVCf4zafBAUCsAAyizZVkAH73LQqZ2T6ZI1W26sd7t57TUjStsxMqZsCWjgLzeRmuz48EXdX 1G8k5b/ICyBftkKXpKV2CzMUWWsT0QbbxISVGAbw3n7lxwFSNOsUoxuxi1oH0954i2XNtQf7iwaW abRj47yJn23Ivxc6mPtRy0DL09ALz5h+0Sj1Cu+PbtaX74HUurVjiHRvAYbEXx+Nkl5T8O+cgTBW w5O6gAjjR3q+9EVnSlyWnIllQL9sdUPRnyYXgznrRxefJHTHQ41jdMfpxe7b+Z+LSt8I9YYq6fc/ EmkBEX/H2HaDfXwPZqHXZ7DpSj4Rwnr8r0vUvkPu21t2tnPqx6oj4H/nKclj7r7TBna70+KaHejI MNfF6dekAvyCxKn8cJ6IpDEnOoWXp8yLyjtMHDQrOzQcZ0TUyOXD3ozg/VAu34iKhrwe2zg2VVNe YPzRvhy0KFJ3JC9UqRRex69mDN5ExMSdZMA7CN/DnAFbpM80QhN8ARI3a9opYDyZkEHIXA5vRk5t aJDBRme7L5LkGZTOBm3u2PkvbTf/c7pZaUVZ4zMg9c7xgYPLmFIp0E+9sOo4rnpTiTBVy9gsF6QR 6EnFSJnIIbVwjF8c1VXnNrkBiGlWujAFAQP2+qzM+KeNFWTVmAMGxPOtnP0P6CKEhWijLjkyrh8e T2gvRVZ1IDe/ZNgYVB3VLUr3BuLqqsp/ULDbCp8IisRd0kN0zUdmfZs/JjBSr76ksfVfaf9IGR/r vexm3xQVDHeIfzqjXCn8CBaj8c0ZCV2HFLMHpHpAvKU4mzkczVi1CKzGH4SiOscsMdUszRTnTAjP ccT6qgHJD+s8yuXPqnvM70KsQt3tVNrXv68XLzMghhdbbH87QbmXoWW/TAoRuC+n+CfdRPZyR8MV WJqJLMI1Wp1OH+YuQJqsymUVf8ovM2i2KDuDXivlU3NScnEDaNI5ELZMmDmR58jysZEt9e5b3TBI 6zbuFwb4gA2ypy2/dAeQPG4L21W2ZusEMjyq1bbI4PmA58+I67BYA/MWVHwo+VjjnWLt/GoeJF1s OxOJJTbisyjTDvSbwQmRjIaM9kkSvF4r5oQOE1k0OChsBrPxWsK3hQyPwAf2XevWAZy5o/iT3wUV MzN0tlDxxSQ28Y5SKwRABhBxlMiElVS50G9F/wItsGVLVoNuTbFhmFVorjNiwUOr+Ozaasg77MWV Y7luWeNOAEuU9rirAg5wwuZDtY2MF0/KUBlCk/chucwNAAKrhYLFeHXEhJAZJSj432Iwx3PZ1N8p v18sNZXzbPWtvG583rNoZ03ruqGfN1GNdkrUsj/Q3NiE/ZeHIMkxknPEX8o/HBk86Rnh5qFqsaPE Afi6iCJQOyO205ft4fIKvJeajvy5MdTlDDWmy5UbGakGAvhf9MXuXBGzVHIhh6b0s09RxVLIVkSz fJll0EjBHVr59XXh8TkarPeSxns7zx5At+8sT9RXUp22mZrB/nfscxfSnGZ3EI8HWC1s9vtGmvlm xSxaNTCGW3Ppx19wk6IV7wr9ET60rmZg2GyV06vb/IELTl4Gr7zVlOm5OCEKACUmAoaopeWNb9ak 4ni4+fSAFa5qX8s2+SVLksEE7Y6AgBJrlrqWSAAjf3Hpzq59JOEakwqjn0UvDHPDnG8pEuPmGy6Y Vthsj4y0mwRXqKAlT5pXuRJBEFpe827WBZwfnFEQedei/TahPQJJtSHnk0xhlNPITtYwGE6n9xdA JD/HVtT1pEPYdnupNvKCBVISJ1iKKQ88UzHWlMF2VwePWNnYxaqHc5Ew+jyZxZA6qFlx5fZDJfBO NsnwE+1yxTPEb918fYS7k95Thn4lLqQe1DfObZX58BkY9EHrnYRhioobWc/jcTvUI5mgavUqFc6u kaU7z0uFJ63lyHGwuNzRZBWiGAPCy0TTyhdaC0X4OA8ULpw9RQK6aaf5vY0naC4+lAPa0VlJ0Ppn ss0LyFYCW/kEbWxvvvk+Flss+aItT09S5HJWi0LUb/tAFYx5wLXaW349hwIh2tQUSshgSONraCPG Jlb9qqOD8RFA0kqYULc4MkHb2Uhm3bIaRmm+zAJolnmQ+sfVWejVIS12UeOmgO829P3gbLiy639z h30PUwLBwxfzTHxDyVaTuCyAaYvWxO0sgkVd0bgU4nvnILv9p7mCAQFQI7vfKawpTwgOEkB1e4C5 k11guqYll3nIXofgdzkFGpWK8gXxxJiQvkHS3MXj/pT7/Wghk5xJOyl0tzGq7OuPmdW2YSa/Ts7w G7nTH9rKxEU2U9dJ45+3GA4/btEAX00mVFe27YHOzLDUuxfdpXmZHzrhMHRa35tN2YEH3hRiuiUx nubZ0q3wb/4J4+zgbvSwyD9B8MrfWvbARarEEZ32uqweCdmqwT2RxeI1486l5FaNUksD7+6IRrp0 Ij2glL/bNSL/hL15lODa1vJy4qTg3sBEpPE/1JI12eb/P/BCaPelaJwxKVkZJXyjwJcZb9VhY05O VphEcpvdYSb56jQFYlI6P0Sg3SvVDfp2JNQcZ/MbOS8m6TCqtOgDtlETtTLmYei0duHX/2TwXTQw ECCShsJ/ywAchbbR2naxed7D4VuyI8LkRwbKg8+BKHXw62MP3vo1/Re2eUslqFwcpK5Z4M9jJT/Q Jz1zsmbsyLDTMQ1oM2f/9U/Jk5j5afheHSRN7c/pwiBFX6ywZkgFd85ls6X9vjRjiDi/LgwFBWOF ZDWM/J7+QaKpKsm3K44yPrGVKRSRSf64x07eZBVaNn9IWK85ahbObu5CUm+RXaYHj4WHf8TlIvtS +/z2s54lYLVTefis2cR0MKnnvuXjLaaqhNlNLNyDwvaVORWPytOgIdm6sGrR6lMeD3g/9O41cZWd 4CjmGuxTWb/sPTXpOwY2B8zMNITXwC7FfMd07txWz9CSKPOoGXB6mM5sFSkYULgM3Z84WgNh9c5n DIb8lH1dEqy2FUuqYj1LbVH12dLBWnJ9hR7XFGCn1FwOWfTvQKUZL7Uirwo2LfdneVAoRicYGWvJ 1yWgETZ9Zv6K6HmR2QcUi7k7CAxm3wYW3dlXZ2WwGJiIYOz1axpyjdOgJylXhDbNv37rr5pXzATC FVWWacn9geUPammre4uzA24LmaOzkPTVcYO0Hyu11ra5QzxUlGxTw9BONpXwr6LbZDsy+plraCLC 5uHWml0kNB8BxcYC/O4pcxXaXz70l0Bpm7jH5n/Cx3GJ1r3WjEEjusczgWG7Ti0ZkCeSZwZPnNS2 nYH78mExyUgMFaDb8azGweXsk+d/6xlMNROcWFc8LNR6tLjnoINoa/vqeKIJEWEku4i1hK1Hh32Q +tLiJuPXwZnETFUeNTm7B/r/pvF83vXcBZ8Lmzdgw5YDdugOGESjYZt2mIarwzIMrlLfm2pCydaU bCVlrqBHhvnX2xyX2y6DOOb36D0CvTcXLqQ0xGN/3SCH6eQPfLZ2WuY+W+PdPDeD0oF7cXSgany9 77VJr2d6xOM00gmk4vnzlSkPiDWpHHTQF3NBgmFkeg0RnCZl/8HbcMYN9Xe3drTPjKmM193KPTsL sqx4lXwyGd425Odv3/GZ3/TwWn+eIQl/ruertAxbAkei7Xyp+UpLSNSMrDdAdC/7HClnscw64RLz 18gEcPlsMgynL3+J1zUlA26VKXaJKLEeQIv/il5SeD3ypwnvOAyH7ShhtXk3mMCZjQq03U2V4CCr Xi5vWSN2BdF2qiUMOhH9Si9lDbZqyKkXAkWdCCKkqSA+ubs9m+5s1DcXBleoMsEiq7IFFQMsUXln 7p6KWtcsSoydDLvnXF62b77xLCjzYrMmGMR44b9oaW/eQJt2CQ13t9CCPTMWibpSv34mKJM82Em5 eDXIJGosCmTOgpAjWydVhOv6ihKMPa4oqTR7hd1yTS6SwqDsMRJ7bAMjJ05pn5I6qSWpayEF1LhF Q+HOH+tHzY9CnsuVzGnpjwCVAkRa1dNcHpyIXt25EaFPWuiAG102bBE5gp//y858EiQvKJ/XzTpB mWdB00sU24AoNys3wBBo+xIK642SKSCOcA5ALgSxp829eiQL3LDZjQjpCwnkRPT8cLcu5kekaocW eQfzmfRnNNlAMw/0ROpPPxMjHMcKZ8BedzcS32zOyqBbmLl0yxTSKO2TangQ+JtYvxsQ980XXjXz fgtqRuIDGu2rhutP5ZjrNkAr2ivCPipY/JzmozPtO6miNJqxLxBQTZbMb5fd0q+u6kveyfB22eq3 wkQ9UWakeTrDG0/T+ksJ9Ui6SvT1TYG4X+2ZoZ6MPtBrBPVGJtOqnHdob62/Uhy+W49oT4N/4BOk uJCm/O3EXOQBxDuI1hRa+AGW1Wyfur7/i2uo81x+OkJFWHVbH8bEBpXW85AJLdD4I3G0rWtSI/VW JzNGZ5ftJFtCm6+h1LMXJY6o86YZMEdS4ki3rQb91yaKzq/KhDWoXwPSoKg7/dzO+FmkHrR3X0E1 dRkdmP8UR9kb0QfV1HTcYqBifg37IFXX6RYb4F9NmfSyvlToJ3QWdMOXDkrhTWGCbfY+oa9+w1Dl ErJi62jQE669CVQRclAsq5wb0kEWcRlnuWCUM59wycH5EThGMpte94qmkO+cIlZbeuD8+So1WScS sUMeYzzw8Kku5PTtikqh7QyKnY3KslvDE7zYfTrGlrn1VFemco8bWUUoouCEZXLY94CMv2F89FFo 0026rowTpZHoJSKCwecbiloxsWv+Eatnhb4QMnWhg25ayjSS+kgLbxV1i8MmnLoQvyKgNqtC0MFO /jFn/rXw1OrwvB4RRGByMMpef8jpJ5S1rL5YdinE/cbQZ18t6zhZVZM0OXU7W5O1KQQvOEkxz29m rD98Wh60D3BLR/9ZRTv9Tz6X6/4wC8Yf7qT4SPrIlTu9tSHw41y0SlHc1srcDmz6Tz8Qzu0+Inbs UplfoWkbL0i0c9wH1qpI1uOKaU+ITs434a/2k0c5PqrfjX28dJgneO3U9w8mi9Aw50+86/gfbeVM PhWuCwCmCtVzklof1UJZzRAbeooWhLgwMpnva8c0E41lXXe9OAzBWeQYVVG3xpWL8gqcKiM1rCgo NJ4/GfE9KjMgBQ65klyY4Nos7beT6SJbNFDHKj2bBa1cv+h1nvG/S0T9KMtEWs5YHZnTQa1XvPw7 haqCkoAed65Iz7AypWJ3qAoIBUyEtWkp2MHMxl3WSIhbP1A/Rpp52+XQp01dHvb8MYnb83+ypPHu 5BPS8f2FbNQWMstAVoMLVDpWRKL/2QCtieArannDkpKgVEgjCa4SHvS21cpL93ZAMlJ3oIHJm2Lb mxsw7pWnLMBZ6w2dKSSy68G4GbYf13nDYJaCq4TlO/cQjfn4iYV9hKRwBQDb0rl8tK2PYPzX21nK aulnJVvgEkEgnKn9OSziuHr4iLxnt/AZVs/++k7F3jtKdShn7yNoeFwKiRKUXANOKL/CPQcY4taV poCVlIGkT6iSnSnxwH7LB9hwmb+0OSrMl9VSXQRtuOwuIFbL5zbY/BTVZdFCXg+zZYiL8y+N8gfd vMlbG3zvmY/NIOLktmJYMmmwxpDymH/ONhzTr/ALkU1F2bwTU1KSYn5oOaTqTiJaEiUV03fUTizR XKI/4kkdnb5EQlCE0kjxTxakOUsff0snezkBWpAvstcSn6MktB92i2UBVuNVMLjxn3WcpjUf8CO2 4TA+Rssmk5zTTD8d1XR4k6cNo0Nq3Lmy1VDg4Zht6x5qJTp1kfjw4gThw9GAMEJUJmr4LFNQKvwU NxsJ7cJF+4/Lh3Pk4TB+afFP/yIP9wT8LPChsfqbKMse0s+BW/uCsf8dEyxtTIvh//Uub4ySBFlI XrEs5smXBjVZ+A6VilL+dEkUjcI5ilI7mwEZr44pklF8Q7SDhe1LT3vo7uQAuwGlGtou2sOAJumj 3vXLwebVaFYNpEofBrf6Pp8CuFZYF1IGI8lNGgwf0ZF9ZZdTrkGNSqefA0rVW1DztTXK9CcCDglM Gzl3GYZp51JDhWijilVxnzRfdRYIC8e04gfv55G8tYF8AwrCnvVOq3mQscwg6WjhP1sWA5Km/mdX FabCqdcFVsYIbE5blW3XJ/zyyXXBr6t+5s09yuvonPdqPM26ao43Rzf/yHaXVtYnWLQYH1CM+iKr o6YJwoKgTAeUpXZDVsAPxXMyT1mxSoEXiCwDmHx/6zwHJ1jwmxmSp0R0NcRCBsx6ATLmQ9wxvcs9 tc7726IRuN+SrXALfedB9k9+r4fZRiIunojcYDd99ZbHvTnpRlw+cyv8t1KrCP4He1dG2XVFw2kA 9M5qcj4k5ArbxAiZcdrLK6yWQfP2MNvJkSMNGTC+7plZd8QGOaq4XvvZM9Q3rdytbHpbNQbUYbRt X8cCMR5tXkpT+leP3F3HKkwngqvfIXYCN6BF3bW0xEw/LY9OTEINxH6yQGPeoV4XntJPxHYYjjOY xVA8AAk+IwmhqdBmAQYC1l0HAysespw2llct/r+nGTYAEH0uHotpEW6vZbEp+/OTD8Sauq6wfPh5 VzLuDYO0DFX/JPKCPr6ZaKldz//fSEarljbUTQZnHpbxvMJGh2Sfd6lPz7q8mKQFrWDVlTm82L/u T/GhB3PcU1CM63MQ+HB8dCsw1X/ioVsbCvZaRGh6uLp7B5nm9UuzuBSKsglPemuaXbGiux2wAT2i meag/ozD2fNxyViLnVtt88n3nDos5HdIlgO+/w+nccUBAaDg+BKczxIX2MImDRO5WvX2OCK1kt6I IFIc/ZhLFR9opMZaKXs+vDM+bPouOFqAQHCESn9flmS1pbvvpChbpUAGouAF6yMW1iZyACxuqPl7 Zr4OKu/T4lSBy1IDQngunAeGTGSCtMgGuDHsrkfiDtbhhobRN4cWXXrzvmf6yAK9BTIReQ/m3gm6 Sxs4H/vvsLmahPcFD3xbELTudi3l6Z43BW1IyQiHjEPmSJ/pWW+UL9TPDOlrbmv5aMBylgyq9mZu 6SYT/9P6eYKtZL5mEecHTAX4vX0nqZo4W+I25qByVQxGxsU6AoXfRsnIA0ZdCnwqRDuQDO7CJtGs T/W1/Aqt+Kh5m0q1QwdCy5cXhiAO1ZNWcHwoPkqslnNadvPg5b/UXmEt5MiPmkfKpuTfDLDM5MtE /ydiGZO6bGk3KKFVXc9iJ/faPe9L/nkaa35x/Vz3R5JFdhhHPalAqxlK9oNo/XDdeLjU4rrHKuji cGpCVRxDAUHgAqEEue0Ior9XEjxEwEsb+4CC2VtajOYSfLhLr/G1J0yDsRLD11hFQ0hpWCE99xzv 5pgkiXAJM+wT9+1iOftQ4Aga55Ksd933sQp/qZ91PRFBmCnhNdhCEc6Sp2gNCCQFI+f612svieRZ bT+HQQGfTpiVeTa4FlBS0fJA1O298D72ZavECocZ53J26eJHr8mHqSUErcmzBRq3YUlGaALsNTPd epLJf1kPFU5/9cTeHqaV41axlxJFQ/YepqwNuSST6s9QhU/EAj0vPrwWzt+jhvUNol46MzAcNYOy lQygj6RsqtDTumjA/8fvXfadmS0KfaEiVGJ9VUrdh3Lj3PbebPRg3RKG9dJ6cOGsxdhAvIyNRxhm CoBiyqXssYp1DMyC81lDAc2teGqInTBlJfueOWO0ynJ9fqUpkKS2grOKBOI4n2o6EZhqG68dE/n5 R/XhFFWSBP/WXqy4cKM1guyC1ynS+LDi46cpa3utOSOsUxyfNekwVaVDv1QC0hBEdVy9DLAqzUu1 y3vN69t1e7eesahPgTLGsaM4YCCKLu4TvOQRi+hLrZpSg43UpYq8d05Qc5gZysCsYLSR6IYiJDPO kx/s20knipzPva0GukwN98GN5Ih3d7WQ5oqShM/KQG6fs9o6SawbE6vX4JYapNDDYk2O1DkK5a5L xuQo5QeLruY5kiHwnqSV8gJEO9UHDBSrc80yLU6/HDJjDj9HV4syxfRj0BC5BvSxtJgPb+6iv/YX mLjCMfFVwtv88pyYN/d1GRyPqOHkxpgtQvhXnJg4jDP7ql77rrQgfot24eNa1ZC2ayeNQ1+8VefZ mMTOaF9eSJildJ5Nl0QRlFfaM/3ntl+B9QR/QEkXJd1iMtpc5IOoSsoYcWnwNvHn5sskRBsoltDD aJ3hzmtoiBE7kp+9xcCqjJ6MbvOo3vMB6gSFStkab1XHeBZDj5/aTJmhDEfkp9TgEkwwHv5VXshz 35lHHv4lAg4u03lBQZWwr8wj79JeV/Ijxh+2OuiUy5ME/Hh6JbmkkvCw1Q49hq4ieQ7sz0V7vMEN XP3hPZnwiikhg0wCzSYV3qqdvmIPr2bc9zA3GVdkV1+qeLneb2+9/dG1UY363kTtaVFOMeNSjHsr 34o2uTNg71Ch2QDGP4T2qtSdnUwzfW+5ng//xcj84Fz+ovXRy4XFC4cF5JeNOMdRehfZGoNPwHfh owPyI1ssrLtHFQzR8Ft0HMxQTC2jMlJ5Imsk8t7TEzA0+kz4vIAfDtwqrtgKdGyZqynSFDKdISTk 1kZdmteC95aaT4mMH7SZvGDIAAFnsOBUerSI6jiqXdM8Bd5oUyJxTnmodb6wfthuWP2l4kMZ/pCe iMnmxyxh6lpQlqDRMBZ5o0alU/pvHYnCpY18+9COTHOYjeRCchlblRhSXuiGETjitFccc5oH0YqS fUPgkPE4UJcE9D3SiSVeCMYWHt4kdCQdYAHfAZIrfAfe5JxOnqZUAGPMUEnb4VNkADVXJBSr7lgP wVCcdgv+aylXXKDmu3FpOy1G6CuwxTjfIMwutoAN4geb7MKqd7rOV4ARD2mH5jHvnmaYhxNfTFlK zskFd/vkdBcG/Wx3Nuu0vYCUXmGDm8GcqQmTW1NJYSrCcg1XyMZ7RWy1ZtvAR519YM3wfp9B7DAc 8EomAc0Fx7f5wra4474OOSeBgSJzx9eg+4b40zCjrT9408q0BFAejVwyrLIgZhm1IqNy1SF0Rgu4 4bTNkZOFWf0P20E1D5fUqMbE2k/hqGd4yHHysF82uGcL8Q+ostMyAEa0SUClgtrsJI3B3IRMVYUc UfhUZ7zjSEEDDLUkQ4vvNzsGbSlOHT5ehbHuA6K62I7ATMFBaSbrsQMdqP6T0W8ohnFPFLtOfEeE SoOHd3kAIf0RhSLb2dWwBXnMlYnZnkUjuna0ZCagPb7Vh9Bryr1IvX3/kOhfIXFwTQWQGKwWxNB6 yz/s3bmKvfEGTV9ZYoeIsVe+KgwfVJeMoTtIHIi2f3Qll4MBaJ9VSqQzuTS2YYpGF0VBhHf79TAA 3hD29O3E3jPwHjAH4Jc320OMkG+HPhTaDS1aQYmufUgzeC6fxx3THXAQDiIVdCxF4d6R0PbxdZDD VLz6kbsGJLBNyA86wkga5OXA9oTP2JQeLUVZN6gTimY4GEYxZW4ACrt9/JO+m5QtRtZ1FTF0jCxD cCj9n36W1cCtOeWG0gCQsLgNb/W7ZGr3vcV7RdvknCTYmMDOLgM9Qpp0i4K7FcU4qMcWFMYUsTs3 vZ+VO45tSQOQGI9szPLnq8I+Lo9EkXc7Nmpslw/6+baOWhyFuWRmu7KR/AVRdyaZMEQgYgnTxsSU t+aAyprnZVn7EeVY0afLDISVvnlSm9GEdEQ24Mll53IoWNtfSWE7uxH192ObsQx6ensvHmROdi/4 TzA4let09Mam0hvj2OieaOiVeRv008UGBRs9EhynBEdQccu0ro6lrBc71AdnRw4qpSqK1earrlqn cCa0BzOI3Oy3p3JPF+TlU/YReAesYal8Uoh7zlGrtd4UjMDul2IziqAMnsBtV5CjNgTbu6AY2485 rjWUoxtQVsE49byJ/Opk8xeVXcMWyL4yCF9yIHKQcw3dpL/LFzQEhIrV4IGEpoffc7yYsNhXUAka BKHUiKw+Shh/Oygg3ZzvXIz/N0JofypcIi3P+HMGrh9BaTqh5I1m7CSRGkyXrJrQH3ze1Cva0tlU YJJe5Mudl5Uhc4o799s3BxAwdopv6tA/4AYIE7wWhrDiHI6TexoTDINwiC9m4f77OQVnaeaODxIk xn0peDfjX6O3dSTDysFYDXmQ9AzLtqj0k4uNl/GKhPV4Jk3xiwbGXBy6TAsc14+/PQFXD+t1SQVJ W9ia1yygOX8POgvXX4Y5Ltt9FaBYgWmRFY4P7DwUOlm20OTFhs1bBRLrzsjU90PDFuuFWtldwGpT 1w/imuZ1IgTvXTx4UuU4lTW/DnVADWgmJGycIFlF/Ss8/urZhckrvp5fZfl4nwaFxJHm5fT7wp4G DMjs1D8C8bjrmKKlDIOz1ree8J1lv6HmLeveRtlfPGYUW8lCLf4t2y76B7+g0uOnqAmVhuWTyhxg wGjHJTRDFA19YlJb+ahUWJyXGGolRrHTo8S+cgvamKaoW3Nm7vv4hVPfOPv9uiHNOaQ2LFC5kpha adm1zN+b55S2oasXrZsiFvwN0zBYNlozoWOUsvlRa5mA63K4cYwCCpVzZoWFgu+T2ALkutngDgB+ +zSoxg2PTAIm3G7ogAFH+bn4CIDWCK0yQA70s2+MHFZc6PSOoYce6zEYxCrOq24ynhekPHO3e07s Uv2TcOlrXTDk+9cT/xLGoHqfJic2lNRNIMJV00D4uKNBVP8VMBXtwdA+17kHdT6F+O7VICmXRJvS AIMXqniVydeqDjYupWW16UGQ0ikqLWQvCPB7N0iAbpgfP/0/ucBtRPvg2cGnrb0HR4u1E4t1wuoT 6VXGiTTwa1+/SW3FzzV9PCqoZ7aeF/dOgoRd4RcD6ILcKZxLttmaxJTOAD64w+eK34RAbt0tWceb jZ74eP4Omoj3P+VaPYkXeJ4JHuS0IJKOPFuoEZgosLCNGcyynx224La+U42ZcCAWDa20ORvGoH5q 25syjfyGvOXUTcgGqEM+CoLvYmwjFTMCVtmlB9YeYSpidQPO/vKq7YgB9WE2JQol22XtVLDrhbR9 XYnhLP5Vz2xzpAC5pfLTJjuvJAZWTiffkQRYOqWslTNYMY92NSz2djN7OvmcmihOTQihQhfjSieF nAxpKp2w5kbRgh0aQVDV2hoas+UvKsayurGGkb8RkbuctTOGU9xg/dJ8Wrq/e0PSvU7jXEXJK9XQ H5L+wgbqR0GifIp1t3xP5uKvwqstVjm9efVXkgGG5lI9ceFuccKz6HR7+7vb1ROj5Job4vgtyazA Bm7/jIHb19xioBoqvcqQEjqRs43rzQLIYHjfjeNqGy1jOv7Ny+8WoLVgS/yJIvDFf6MDU/Fss1ci OyZ0Hl9VPyIcbvinpxfKMFX3g+nBQqNBLe74evCr8GiLyDlwBZVMjkZG2DqNlx8O1G++2GnK6VAi 0unQjPHuq+Gcqmdjnlq8RgtG9LRiHGtpF2u9aixqftZvnbQCuQltTBMdkMLNNZ1EeICsXUDXfzlF pIZywfgfA4wMVA55dL3ungsz0lmhPWvwv6BQ1+nwt43FemtXrfEjvZzaQlHM4pjIeqKuw7YvA9Wx 9zXuOabqELaY2G9U2qA9ByZq5ayTCJUzxBVuSOJKbvRhbuCXNmIJG6R3vLCWMznBwVXlPKFrTfgp Ea68oRhpR+3F8CfhZoUPX73TbGG5g+VDesukjAAFtwk4w4jysA0gC/GyixwGR4XVdReC4uLiQiE8 bYNrL2MmrvsEyYplUH6BXxvFsmP/DJvjIuqBBQiGcv+ZhgRWEDD00rdAqi7eCKAtuAe2QyLZ+GIJ PLtl3edpgLJ3TgOMdDZWrBMzM6ABZWdus4/b8gNDqXukAGmv0H1c8C9SY7IudhDg+zapDNuy7mb2 w8E3ynKTVq+riV92crYIvoOPxWQ9SWM55SryxoCUQQNs1VFh+erlLjQI2YRjyUp8DE0QyVd9Wpxt Q3zeNi+IhGhaxzcaG1MY0onXV2YNxjkSRqElY/Aj1PQDELOLGdDbu3Y6zthniz7JIW2AajflMZzx oSLLrvcyC/LoRmhCOCTzwVNx0dJ7Smn55s4FhjSDZEZn3te7BpJi3/dHX9JvaANFmcHJnzM7mpId W5c6SZ1cJcZB64uFje89MJiVv8b+AFOwrGCpYeVgqiebxq0FBgYYw9ktWC/vhGu6Nne1EFy0K8mu +eUEpNOl5UfSujO7m6DD2u+M5OvRsPoHJam53AwS3UjwG4s2MSrx6hfmUo+LLamVv0TYKfxiMXdn ziagYze8FzAkc9g3ebu61Swx15UKxpQ0JalDGcqIaO9nFwKMh41OWdNXH48iVLobPucFt+/TnCZp BMR+vxHvGR/HXx0pUz3th0A8L5O7ShTuGncn/v0H8rLfunsNNclGMQHpq46URR4639KiZj63JwfR vPgCgcNgVZEutwiTU0o9wdJRs5bAZGXOsyntMtXmvQixaFudYJ4/JXh0M5c5uFbG5Eql9k71TROW cQ6UJVWCefNZxjHkP+XIw2k/OKimh6mlZ46mJXoScECShZAwoMW5pIEB4CrH6MmaNs64RJgBJDca RVPdp5SqoXSRlttf1+bBHKX5mvAwZ/irl6st6R+WfXFGQP2JA9A4rO5dEd0RfnCxsO9+tjxTuhIy UmqaXCSbesJL18oyRQj8yRMMyMfsdBN7DGqTzN37TxnUwEI3WuvhMMaUHyKh0KsLr1MYO5vPcMSz dyYIQ8s8TT0bwTOwGnf8jYUrN7OX3TvY0XAgFPcYUcOvYUad8yn4ajyD6TnXHYfAEKT2ZxuZtDWd QYcisZRoNuPl8/x7QAJVwT2AZRFJaQzfSJL1K1ytpAYGdt+UM6DDcwpxmyM6KdrwFuPS9QQHOlhv qE+aaRJxIebp5vuGkUPBzzx1wHrGX85w/h2yG+sTlBTk8KUsZAxUl3UNXx8zF3K0qQB0njVwQ8+0 KaethnQjlalbteQvP5RAcraFeIrOlL5CXbCbrEK4S9HgYf6eZkwg17LmMIqg6CTBcMeYjzFlBDQJ vd1StnFY+5O43uNxnVMuZGtAIwTDAPkAIgYV7GCKdanyThKYedYS5qvGjQtHwBtr2i63LliqnUcd kBR0+lsH1inq2vR7P466yzHGrZz04LQprq7UmT7p9JsR4WoSpjpl52gdZK7opeVdDRIVVhE8ErYZ xyJKuqRzIgKL6Mg2vVkXdJLCX1xIslK8BMciBk8gtWoMFCSWwEomxYDWreg7dugjKJhHJ6nRz/Cb Kaptxj0r3uDgNI0uYAaE7xJJjQEaZZctTrRfLejJ9lEuY2TBAl2oz0f8gaeMKUW9SalRXRqEnjIT E6DhuPFsUDi+XzJh3N11KxSTsNnC3mXT3OLEc7KNXml/dN5VsCiGbd/c0Chjt9wLpU/I/wgAdWxg D9+wT1ld7hnXfHZm3rtoC934xPEqMpjbyZBSdY1iW93Q2rh0j3PD7StZF4k0kVSs1wMRZWoZlskz bLlDTXp3uMO4awEM8H+t4vKVS3JSxgy+Q1cxfmy18aD56xaXcyt7Bgh9bljJSpl3WBT6Ts84bIPQ XPBnkBHi6LohBPuNyhLiVU8Df/L9uw/urc6Ymsfh5qhOXNGYeVfioO7TAZUm2WdIgQVSaLEiNNlP LJza9ljtW+St6tVtupDkkq4JaZdBFG8/4G58mKg0z01DihSd5elMhgtvLqgrBtDFdoov0jFyjJzV BMugoKzd+aSsaGxYQS2l+RrqILmDAEpjIL8hlgZI74Bc7e2+hxht3xTIZQt9G7F5JCFpSdj8YS7l 5dSSkE6xyObeQc9GtYWR435/yXWDjWGcw+4xMSNvgchZOiNHEkrsvXVsBDuC/2dYgYFhd1J7Py5d W4C1OVjdFRP1GzJIRSK9lU3WQQQkjSqcjGXV4wUh89Z8Q/t2OEDhexqHnm8CIrsGR9SF1e0tphDW fwcSvKImUbjVucxOHrBJ3M4nMmBX4/au+YH6rfjsKBVHfCex1yj53GXiCszgZvAc6VcfRJVzlbd5 AhoFreeZ8TMB/tS8mpG/3cq+gOfN9cKVKROG26c6AMX0vQQs3ccyjzOZTX0zh44Wz2Ac3reQCp7J 8bWaJVgT3BveBDEo1nx124zmfimSzMd2cTeb+sBq5v4oL3GYCKv+Mb8DHVJneX5WB9pM4ybf0WkK rc2FRSVp2C7rmtTgDHEFO2BcHInEBS3kp6dGbsvofU67E4L6KRe1QpKxL9YKzp8Csbb2TGaJHqan egnLiV5740NFM6BRfDnBn9ZDQ9URv/OAN3GUOoiypxmiUAOu8iaKhv4ds6IWGJhvez2NLxP+3Idc i1blxlbG4lse6Kb7tdB4FoZg8oHJTpw/QCfPyIeqniT9CKM85FTIw5+QVYnb1DVtHcaUKRo9Rva0 xLr5e+iK9eB/MDP44iisp/w53fooxJo1GI/3/zAn50AVOc9U4Js1HwqPCFlxBjs3tVx155puDdqr aQlwZXcen/MVmIxT73puDwRAD0eceHLeNxrQS04mRSdqkUmwb6RvIqTdOJ7tsIXdyaES81KDO8v7 +z6TAFCAB7HnJC6B+E01JA9ay2sroqdi/nq5muR7+v+LrH8F3Wjd9ahNOIkk2T9XoSvbEPnQIfWO ArKDDYPQ0r+Rmo2D7v0RDaP9w2N39rlZJvoBIEZLYb1qK2nGeJEyo8Fd8uVQGf8lhh5NBA1QOApa HaABU5XayS7H0I3+TJW2dbkKuC/ohpGJLhU6+ZMqQURVSprP+OlbTYpgNy7sh3GwGKP9VXRxCh+7 vugd2lPK3Pc3W1YWO8pjEsWe0kK2dwgAr5Yl2wPoHP3U8Gfdw2iosDTEqOj3SArvYJpwcmCd3G05 JdYjcvICS2WouhdJaw9/c9xaJHHRYzFt6hFG2DyF6zPXyrxMO1YMJZ9+uG1W3ratjmzTjsSryZkw Hb3H5+Ps/RI8jsqpYb+Jgw+hLwgVX5jiXPfvf2VsRcrgiFPUqgl0Z75lnBc1oSDci1o9Iz1R9Yvo yws6K0jgDeJ3hQf8ySU3u4ZaX66kOYGsBiK3M9OhGokKrtHGIpF3oT9epW1wLrAFyTPA+rrno9f4 R/3yn/i5JOY75vZwWKceMayNOc3vddZ/igRo6ki9twLIAPHkt7ke6VjYff02tvvhk5HHh3ev6qGb +XDci3RgPC8EgFDa46LCcSU2d+k/YBjXIVU3iexditgy8hClz15QXcOcoggF3ANL7KEAoeG0ACrA Ed/uftXyjNZkEUqGFH9r0Bh+UuqSzhkGSKpf2wYkrepasv65VxCWPg1edmxnoTBXM4tkVkk8B1Yw p1g1Pn+ftdAzFuGVUvCsrKhvbZbObCBJ8NjFzQWfJJOpIQ9NyRKmqblxofBumY+CG2ct1ZqQx9Ep plHDunvv9TVhWsiBX0zf+7D5D07GfVx0TlNevcBR9DOWpb60PKwq43gwSwTUuaE8d0vQwFhpv+v0 FFwwftKFXutQK/OSNxOrft31I/VUpgwHc/3sn8dcu48496PT2u1xUwlwg6Kl0omrHiqiRT22f8ZX PzieXbL0SNBGrIN/8kZxazbuYr6PRGLmnjvWnEnTr8hFl0d1XyzDH8N3Z5XePMgANOTLGq+9CwHs xH4NzmQ7rX/bevE42QsFPDm/P6spYKJkiMcZoATfu85hSwkc+0i8vDjYgJYXZaDc9FUH4xQyIgHi erGvPSeT2UiqwMTytjYWx2hlMT84ikPYbxGzKwADa4g8R9G96tLUGMHawk/JXUBXGOalil8prgJD wMmdXZ64WBEOZlxgSj6Tu3rCrl6BgELL41bf/8YduQxRdRPYLLiQhKbu+hktwd+489SsYIQCwlE2 Xy4yakjOKhpIjh/3X6hxSEWBZo2eyAdecB18dwILmOVwfzCQ+HHUrBj/aQPO3znDEBpBWBghHbYv 2P+AIGh1beErVqt+40QEgBuHkL2RMOYJ0sT94jSUNf9flm4lr4vSdGof0fHrlQwCBkNMAQJFOfQG gvOO5qQ6YH9tVk6DYIQwGgBndTkQdTDMNS5s10ogCz6MNBA4CO4TfLHVYuSNmgqa8kdjnl8ID97u ebbwJB6CRrHpcjC5vvzGYTvJu5PhAP1DJoqbXslbNd0NV7tAAPH8z0plkQvkQNr+rHNVIlxc1Y6R 3hs/NYG5rDOhv9avY+gGAFd1qIXopmxGGiDoKbgNJyj5WcytyaKwoTO/pb+/FjhrJ32gd49sAjoR +WRhYJwp1O/5BISVpTIsnSKmaPqyibd3/gIpv7CHV/CzpizeOCwK46dvq3UsOuRGvHY39s9W+hBh ZyqW/0h21Papel6f0O38pbzrSYjjnv7amdYbveU+hZkGuJfYo82oZsaidol558wGnvQ0I3O0WRO0 O/Hktu3Se4yeIsWDP/WzTX4jdRGOePscb4wLgbc2Yx0SO3qWF2qnQXf+BRjjIjm+fC3VBhsr/gQl g4yQV0frO5dDNESY7JJMDu3CyGfUsHcxoWAuTdoL6wmZhif07ujuqage8vFYrKk8Soe/xe6Hm5bI /QL/5VUtXsq9NddNbgT6KEdY6QzG4hZPB0Dw/9hclGv/DFjmK26V7SL8fpLFUXiT/cgjdWRJqpq6 WUCJ+H9Rn1WHfD+IfDwfXSQBk+zk0iILZ0PhHuoPuCSbsvXErdYTBkagRuJPLbVe+7Q46HOM3IPe kSN1XOdX/xNtxO8rzMwWpfPNsQFnFl4LcTmb++d8kXg+X2U+ALieSLo8yOwP/2t5iVXoGzfSpfUm 7Ci2MuHNMStDV2Li4fSn9ZbYYR3itwds6mrKmQJtobFjyz5PEo6Zi/f0q6MiHhQCPIU++gOgQhJf /0tvqmCvvkzY6ZOomIghmnYXLdN3OQ1/Xc/9Sxz4K4z/jbUvWZJb85PsUh2MugzoTnAVgc7eZp9o 2WIDHC6ZzIsn50CagZvYU5lfXSckvmxOJCqefk2ykdyZXsbQQD9ih8a02GmZSoVPtd6CE5q5LX2j kUfZ+QLVHMegQDOX2iAENZcTXiSGosBsyEGXwamBexMcFokm4J9cFzoUphjv4Z+C27/V501BS37k sTfKkxpnz0UqsVEXk8vlTIkzFW31VkhdYLzWzbI4GX2jbWaqImaEx9u8TY+lh5vcCtpbkl0NUQb2 EzAr0Ym9wDJtYwN0CnkmUTpsX5m0S3jBEFYRq/4nNyMZPmc7zr2L5eT9AkMJlw2W76O2avkW+8QH xL58oDq0VhCSGlp3dQNNXZ5O80Q4IMxUBUwVmrRSS5RDVtS4yK7M07TU6ZMThEN7ZFr0SM/QPvRp zwp/pvvg/LbCYUz5lWjkxTufvxK5PdL8GDVelC849Ufahmej45RciscStFOiO8ym7kfkvF7TLa6b fueblbafbiY3WFJfq7B7Okjbcu5Cokh0zJqVKT+jnxLc4H8MyVK/KiKQKLo2PdV1uvlsy3JvVEuF 5jUFxkjkV+heAA07mCNfgvsjQIBSRyQVaTEWdGsGeqJILmc6Ecz9ZS5UEuCOnRwkkOYITve9BbBX 2e0WGyW6Xm3ITb/kzpYXiFIIcawX+ydQE7sM1RMC+JwwO5ra9FiPjEzEzmwWvMnxUKIw2WtIHqDS ++X3YV3bRDDTAbDsmrLwZlJXDVdGqvH2scYCC6b2ZK9DgWc1AEsKOGDUTtnNRCZDS7F/YADQFjNZ Uu5UquQ8fu3Tksn3gO5oBAzg7Ajf9Csq7mfomQE2JwVcYVVUYS6eAzw7Rt15pUM8hWkbxmcsfhOQ gj6FCOI0zkpfiU2PsT3P07TkyNYhBDXqY/LvGymXyzc2Vk0m7cAq6T2x7BdOlZDTtpXPCMUCPPVG dt4VcGRLM0s60KJjtMYNSyi+pPpVHhP19tkNCuGQaHkmslB8xsI2SVxXPvbdosQnd9nK7viMd4wD S6KAlmYZwRTtjKEb5HXZC1pwTRauvOLXScmbqt+tmbDxiry879GuuzkFzzW8i/GNeBJSPEL/D4+n Im6Q+shnZ32GIqpvHO2ThwXSe7nJHGeGxvbcGSmp41WoQ6FwRLz3kn163eG8kQLdtUm/zWbvsJQe Z+xaIMy35kqwytXYz8lTMryBkvsLLcxeldLJC18oBzMulxfV2YQGJl+BUhPnzYsG7LXcKC2wCoHV tKdMykvlze4JpWV1y90SNCF9pnQ8+nc4GrSucswjrqmO8xOwDrNopbGVWsxmhrzmSC0KD2vUEcBM oFQ2pMSW1zeXuQSmt5DUc7CaIJaKV+3l8bXyR537VORUC4+CLoO0jaWcs2sob3+dQjRjD0A5mHQF 2JPL+a2RjDT15zt7asfdBgZlPjRfDLp2HrTt81/u+cp/uplgaMyets56+E1ENRL5sNV7TrSQ2OpO lYokciPMEKZHz+6cUa2EIwDGwAm8ghdAuvzjz7wACTn4HF4vygpNLWcZS6utp/qpmbS37u8trJUe TSnbJ9pC1vf1vANjJuZz7Ktw1oiFY/w7QgoQfOCp/bIQkq591Mnr/88M0bp9s9haKf09TIbU1P3l v/d/kgc8MQKR7Rmd+ISg96o+ws0K6iEAB6lwTn3AYB8mrCscOvoyIGnrCgrR53F6c99zRtTJQkRE sZIaPfmb/BqZDvquuutA/gbLCTdsPaln51H5q3/irNeLXutlXLmiaBZY3qZxscsTNNFtY6RWdPvk bkrxfcT2bhzlaXaZ53NnPQw7BctzwS+q5uDbE01lqPc/kOLXi9fbXo68FpLhmtaic+fQ7EI3v4w6 EL7GrVYsZbdCrO8JCJMjob5jl/syV71C5Ro2IXtwl4nXwTEbvKHl4l5/j2cjpKDUWqmcDdoznEkb 1vJtUqMbKbY45dMYGJgg3ngpxikm5Hnv7QvCeC0zdnH/e6QB54I9PbTdOSvY4l7woiJjfWa8y+Js TjAMZ4J/iIdi33opXM4GdkZt35U0PACT4UMgWuk6ift8xS7PA3cN9WSrJJb7/keSoiMfmngCDSvO 6WqWRVVuoZJ2TcGCVKebHMXQg6VG3Q8cGFo1yZkpAwKq0dbO8pyaozwHU1T3QGMG+ixhxp94osOF FFycJJDWTOQdG7vXhvvCSFTP+f4XShqPC9+e7pluTuBWoGo4P/TOR3PsnAaMeuHYox1vm5YaF957 cF2RBDZf7PS4LJAWAErA1Pg4l/TiAYHJXUVuoiGDdUrLuPpvrQv1+dEteeke9cBpr2dJQlMO+LB2 NGZVrnL6uki9snkvsXWlfiABRx5RYwim05Hjf3MPX/S3CtgG31bfLZaK7bCB1fwjH1s/bTI66I2I E1hgHQYF3NhaBzwe2RqJRsOmXSxmOaKwx+fPH9N6YRPdrjqWynNRQv+YzKzv3VdqRpeK0PEGc7/P qKTxaTAprA9CwB7lXdP/79SFs/nbxk13913QWdztDr6iiwFsOIqelfiw7DcfArGK8/NwX2PAhynA dJqvZg4YeJeDhu/+o0h2Nd/0+bd2v6rIkA586yK7Eg9adr7O5pt35uQdyd8nnd6ruVrHoVrz+BYw nkEIUoCpybrk+ueNRlNClT94YSi+kpJR42n6JWKznzIK+mJFg7cV5YKqwpY57Xe2zZkPM2Df+Pjz BdnSPqGk8TyuWVuleBOlKuEkX0dOBPga49exX5ztgn15Pn5fXYfnU1vHNnFnbWh7mtTuNf73lihR 9FgK2TlxN4IPut3PrBerpHhnP7qzIk7T24FLviNR45rBErmyYxTbg+fGBVPMMLmes5bKrBYXYg2s BWaI2yJUL6jfJsXo8WyANPAOXzm5q6zawVQnNVKq208ctXEwHOGzQ5k41Gb+bPUumYLrIHYc4nk0 MrIeJ9mXyYezj4tiVeD6t/yQ4+/JIuQe9Rc8V5OZt3jimp+eYRB6t0sqYTAN9WN0ABiXu4mXT12e YmHP0/gKA8zqWvksQjbjKUBiy0dI3oDbLw2Bx2DLyRYAaekEyBAbWRvQ0raH7lSRq2INiORz7T1t tkTNcoIpm1IjzOZ/nXYqPcQL5xpfWffnC+WURyZIaiAOJIDcCHmWh2u9Xd3xQmTc/RtL93ttAlne fnK2xh+m25WfCUvYNIZGt0SA+yJw0m5i1czP7UsB4v3kU+SR1J519aDcPUCZ+jCvzWeKdaYyUJqP iZiCEh4Btf9gk8sPEh0izWrpwFfs+eHWZX5yHvH5VasnO4FpbD+6+n3l8NCjTWXZifXerAuxTxmj nwIFveyxvPTjW/mSAlhMswb2pd3uW6TNOuDB9D94JHmxVhkk2gOIagUpBiz3tzC3YbsOBOsmxhQS bdLfBTS44pG6pWCtLUGL4m4raaBHS9pPKgz9hv5ho11Qg1O2IAtq+IRGymGI9/KdpCzRXtWJdZr4 JD01BApLtPOsmmpTsqxlVhmVs0zPt+2CTKGAiQSnDJqCHVtF2XmGuTm/t7Ff/V9U1KL4pN37ntWc wYgNixnRF25BtzUgyy8ukRwQx0/htE9IfI3byN0rn13Fa70nrOlvA+TI+XoCZnfkRr5C/Kq1YDqa XbL4mCj3txjLRirEG4alJOJc/39wX8ZjxtCDv6/OY4qidI8MKZGQ4URJJSUNnmXZTm9uBEAH+0cC csSOhkl36Q8VZ84YXWfRcspLevZtfzBeIMq98APbKAcCfBJ6/tQK676ukePZ33GZ430tW69LlGHi rkUaMoJiwC41Lzd33E8/GgiEl8S9YKM+bSjMEkniSCwX0LrWAQ3jJGLkv46iJEYOAOREtAAU1xiM 6SQnJ+v5nqFHR2P2r8LScMD6jp3fw5t36Q+7dlSIiem24yxcn4yLE14qrRy6CrBZF+BDjn5oztnX bfGzx98Lr93RgQfnood9FVeNCefF53c8HSpaBNi9uzhmxhG9ByMZ1DOatB8pbQQ35orfFgwCLqNF o8Pdv2Fmy/phWa6k+0y15PlLi36clY9hEbDxyP4TscQV79OLVqtOBa11EzgVQUDpceNUATd1+MAk 5MRmHMXn8KsQEeKuj6cXvesGiIc0VJHH6dO4p62hVyTaMKgaPKJLIN7ufnbagIgPXJc5Sh/MVHJS S6zOhpvMxyrQeIJWfBpFBlXw1nJ1T5dmnWWGoOLe5JvqAY8Yi2gtDCtFAbExLBYs8XP9czLYwIQF N6gTOSeLQUC33WZMi8Ljk588+efXptgreN77EozjQf97FqnIwbuiMzZFLI855UNkvlvqtviE5GlM Mi/3ChxbQLq5P9fTnUPKmbaIIRRE4nn9lpOKqR/QRTxS1rfwe5HmEHrl8KV2Aoex7sHcllDS2oop 3v4pisseplroBgFo0mzEDGPTSN9uuuPC+6yfS5mZVHVScZzWQbGjssi41gb0/KkO6jM/QMfOyaWA 37NBRFaXZRelE6QNhQeHkcioUOz41Z+hAyq7WGrdtk4/zQIIzhtKB2UgiwUX136kHHLtxrT590p9 /9WAenQo6/pAysxs+K7bJ4+piM1bmghZc0EzyI1ig2PY/TNu9I2N/GD8mifd7QQkiyLUlzZyZ/zr AGpGxQy3dWvhE20yDq/yzTjdwWyqMemrmSvzBqWops/9BG1qJjrA0G7soHhn/FBmEGBCDSiLyagT wKCwbjJee5Q5+pjojgC6DP8xipypDPwBi4gLksK62NAPpGp51lvFjpyTPF7uR4TAvyiyZKSg3JDX /dyhdl6qvNxeJt6dYb8mDuy20KeLAG/VDUfmp/vkCJzFlIV8zJaGKtJGhkP2ZdzDDdOeN6WYHweb gOFtHRuNOfbB1rUIOeKNHwyTMX+7EjlKBKnzTn4VKVDSGMOAL3ie699ML6UrXXd+Co7bKGSyt88f 0qaopJGhl4DQ0Xa2LSOKE4HQEVXo7AFMII782d3So+niacbu5JXvIAJ+RoGI6i/WpNB/vKvdbpDd pikC0Z+L01xiOhsD6PpUx1zSJOOMx31ddZsBM2Vc6naD0Oglaph6iQP0lvAfAI2gGfcl6BegLvAN O/lvRngGc41v/7hSe+5RfEZtMmFRcTaDH02gik6kphaBSZqg2bvOCW3KFjBzKdPxbrR5M5VClyGB V/0516+e16VndwernxHqCsxN0XGwhSkKgY4xIGggcX30ThuJs6Ta33/KI271lMVjksQxTDUrGAIB 3oB3AlSEVsR3VHccDJjr6qEvFd2ufM7OFIaBCx5l+i9mnrxxA5T0rsCrrmvQMB5rozQiFuykTcJE Tk0nSbYmi9Fug/W6uVmmvfPgVEpchTKs+oEfxncTYu9myVQ8/tIN07wRzvGxl1Jjpyz0neJN36yb ACDwM/2emDXgmD2SXzob6Zut4S32UadbBdzrwEe9Y8g04z6pI0j4Ohap2qI/gbNhNgX7A1aqrdeG Xtpj1/HB834nw2lo9hMEw/Lyy5rQRpryBLGGElL5P1YBNlMo5DksWYS2BR5uqJIT7/pYes46jMCJ zrGgnaqAswNbix9D7zTY1W405a9XT8UcLTuPpCDp6I7ytuUua+GEwHXbSIiq/euicaGn0Q14hR+0 q27qPxBoysFe7F9nMi6bOpkV5OZhxrwe7ZzG/5UVgS1MBsYq9VVflNUoA8Xn0e7MZBkxf8EjCjGx 3n+XAFX+hvrpOU5N2XyUJV/lXHKLS8kTeubv680bu1R3dFiIgGqc63V0PTddoBW+8nZDUbQ5uBy2 HLOagNNe13UEroFB7fL/hBm1pjxASS4pUfK7zqMVwEOpP0Ig1VURUM5abN0WA8zHhl+xtJZdhtnQ rb69ObENLYHbVtrah/lS8zjq8IUBjA4tM33RMUFsg6+0eQ7wQQ2XyQ36B+N/hKxrB9S9rMzIJUuh ib7RQc0pdl9GwdEM5NQNBRaCXzTsUnioy1Rm21KbqWEY4CzYGrdMAG+6hN2d27/nJb/8Q3iR1yI5 C+ZceWnTaYbY2ktOs1DvQ1SiZBBZ+VSP1fgX2rTM4P0QP1vpeeje2nxLM267WzW0Ngjj9cmcTSFe +N2ZdkaVbkWt6DHqx191Kfh81pHeg4MiZDAbjLLw+WJRRe2UjEoszhpWREaaesmPNvLzMkLUrcTl 6rL8DFR4jMHMAVf21X9fj9RoxamVfZz1BwUVt/8Jy3RnmvvDlbJLntL6teHPFy2IuH+cRvdqg8Mt uNF/4qHTlW3xkFTxhb8ZN+wOGpOSbpPnvrpZe25D8gIzTs+kQGTByJkIPn6c56Jo+BrXpRCKpbFB qEDkFsbhBOmVLdjxSvPY5hvu7UuXg7rw+bsEAGLCVON784xLwZAL5+/LLuZ27v85JOyWHQJkfSBP 2awHo00XZi4Mly8qMSwktI73dL8LhxvUP2rNa2fQfBp41cfM7byhYz6S8QZXEv+CRqe/uDPu/H6e Cmc7lJhaW7Ogse/i3AeJzZmxYa3BnxRvdtW5uLZHhBwSplrVj89rZkOjgKjh7f4IyG2U78rjmvfj DMisoceDce+nVKhjGoy99ymJO1kvbGn/Cp2ytX5LIL9sG5MgwltMD0PJ8OO2TBE9VTaqSZqjaBIM 0Ju4bgdhnPBq99HcOB/JZ195VrKTFuaySdICANijMCXznZyMrGUpif1cp66iGQtqENCw3O3o9iYm AmRu3NyJ4F/yQJ68LoeYq7AkEjnKxQl2HlhmFUUNF/VqBEEtxrTtBkBfz+ik4qPJIV24qYmxCpz2 FR/jOKHQP7bXnM0jTmU1P2aZ++f6lEz1iRfkB2y7Qc65Bqr/F4lP4xXVYUo7vmBpQ3EhC4aiTqbZ vr1xOFDUkv9yXr7Fy5n90DroAqHtad1QaeFrm3BUvHLtkOQikHkv0Ey129MKhIkG6TMYMVDYOEH9 QHGTkch0k5oNF7DDF4pa3o4WEmbptZg01FZhp9eZOev1wwUVXAroZEcnY+/hBfzCcjI1Lfc5QGMn 6fBlMy33HPeg/rClNGjzq8g3t/Cmco9OKuTLbsmE2kPFWJZ6DRMPI4VXLXBY6geb6hLruSzA6cgp bGtsSFTZ2Qo/hsj7VuuMm3tCr5hp+81kQhq0WxATddhMjjCrHJbvONz4xALmBu9mft2OSlXG5TDb 1B0wVfN4kTx0hQF7GvVKxggszysWWGiyGa1r+zAtmE2gammHLVoZRwR/i9Ho/jElUoUxvfkjvwxn JbytglYKRvKBMm8M/8T6Iu7rz7i/y8Cx7aTvqsCPDB9q5aO6f4+geI/bG9yGxd7+XvM8dtRV+flg gn5RIF3SV557Gm2wjCGvjH4zg9jhKedF8AaHw/LeM84tM50FbYMd+y/gyw1SnqW5M4DgkJHww5tg zA5EE1PDCemf0mGrslOyuUv3I33uWGcI3TY4AFWdq/sG6emRT+f4MzPJuwzP2SbxYJIXLwHKncOb Ne4p8Si+gR6PtqWIG2BuTXmqOCouWYVG1QNPqyPk/vDK42erkve+h3t/UswKbivCZSg2eBOhJmmg 5TuEzA+366bGZr7dIw+Xi+Uq04uVmVrSM4xFKIctnPjKpbPEu27dbhFVaqz5biJmb8NUsQAduHMC rnAcK3UQd08v3NjKXcR7IVLSBTdGdJvqcCFqoMTlrk81bbrENtw9/dmlfsKrP4vxuuKha8qiF0K2 UK/vVXo4WF/R9lgh8HLYeb7hgGKaQ5wBKG1X3L8nf3H5mJjpQuJhtoAHDhrsZdiguRSrSiQyhzWU 9JvDKgZksGfIUSdEZXs27H/aN3LSfddR7R6sGq3Oln6AaBsqdkH78yNvAr41UgkR5EOtWd0ALfSZ n9nMpabOCliChuaFLwznPNvSbJWWFQBLKNRrjOrNhXK40Q8/Uf1Q3lRjiX5f75XQcFIkRi+xkqbk 7ASaottdvIOC56o+t+LKu4fYO9RGFmCIl3W1+JRXKmj3mQiTg6HOc2fHR9TyOZCBq5P3sJgW9xla 4AwE3ZXef0tcut1SeIcH21IPrv313iZd6VT2oSwRottqajiuDZ6jZbOVlwk8KttpKJYcHhcVttyq Fj8J2tmjgvthwpKOU0AUAa3s53+pI8z5AbZmIS4JsXKy9yh/40WiNoN2IRc2jEoq6lDf93nU4hSq CvfK0rYzVTyXF1cqN20hCqeE4urtPJup3jQQgbi9s1FNenuwvRhQ2YrzP2TeN13IkGvlkzUk/Yj2 Bcl9cVt29UtiioEUvdDVAhECRH+uPqgeNNQw2HgkKcO0v1nyLsacbP9/jTRXwVAt7JLZlOn6M0kd KBTDbIQSUGjkRYjESOtXRxgRI/50GwJWb3LeiZgG0o7iBK31FCk2+AFPgj5p7KJf9G7042XbSglJ sEDFnWrlStMnLPf5XplTf/qjxJ0IqzG1cTtL79sWcp/AxyOGuZfBTOF1t+FHCtEDeo/G4/DEq/4M NM+qhrlKHM9L+xVsIVaQlrA/pgO9GADxHO+vW441GN9z3kXLRAUvJEyyeIwtwKr+l4mKcuoKmJkg banyo9zYJfmuYKRU40PqEdxFWytg9LtD6p6+l2OwyLN8Jqdso1eC3ADCqNQ1OLDjH603cCA6tIwS bba7m9m+jcg2bcbt54MXmrYHn2fhBYyfCh798OXcDxuYMrnkz4HUhzsE7GKgKjTRlzikv9baJu6j oqBrwbRjQjc1sDPmU/FfMjBHIiRp/+yj3VmUtcu/alato67aV0g+Q8pY7+/PyXk/DfbQN8Vcgu2U sQbi0Z3Taih1zXo2D3k61APORczhq/e0FSq2V9DuRoS6AsMLhN7CvfsYn+toADa6r3jUB+9iBmQA 6GyxpIp0Q572kaBJgFRcyDP8MZd9Oy0SCoWzXEXppsd2sS1iIYTw3JZtZ5MNOCPIKUWwhk88lJUn J1uoEHM3Bd+AIWXXasHBC9TZDwGyAQtU7ARTxGNTBI4Q6KeAQy1Qtv8JQquidEE/tq0pKt/KJfYw jP3PXV3K4UQQte7okIHlnuMmPp6dtOLt7HNl2jkcJjw+gHJprYqiu0Juj2eEy6RrCqcl1uBd/JKi YblDHH6rw0fC/NAFEml24BmnlUnyHbD0fhCvaNvzL8dCbb3u1BmcMVDXOte3PW+jcwQYz3fUa7b+ GRMTTRGFDS45SSMcP5aeplhWgeQ7RlgE0BPqnkw84eJqgJUhVEkh/cs2YaoXy+APqdycCwj2j1ds 9qhoE1hPfbsVYwg9xU39+QsRKSSJiagNY6bwoqpdrNUuL7BxsQu62VX9UhvGbGz+gKyU/gap/q/a dPPhpvgim7tFlLtDx4GNapAH0wtzlwWXsCv8NlZPYw3WJx91bNbjtWsolcfSr+q+ZJWrj+1Z32T/ 63322oDn09W7rYhYEyIBN42iJoGZc+eyVA/pbJd3uzbNSTnu9MRJAnbOLA0egOKwiwH4Q8s1SApN A7/Pl4mdeAaUZWCYIkoBq9Y2uQ6Ai8/9s+cQM2lblCUNJlK0K04+5DJvlKs8pyFIxjrnlzl5qTYk vUHCoaihmL2IwkJmaits3zKzJmGBkVkx194kCNDTeMWB/eent0gI2r2SzK4gK50ec5SQiJeeWMAx bYTnxgRdhesV3QGdLX1EgTjdCwgdqG+v2xQh1bx7DKXgTVH1D5/M4IYek5x8l0FUBF8zlmH91Fxs OLPdKB9RxI6nxDAjcOChxVDNsQLnVMfsrTOEOl7aC/9jeUg9+SolJaoqS4fWkQpK0CLyFRAU2Hyt 2p1q46gt6yfVZyKntyjyIct7r4BgAB5CRTAZZn1iRFLGap+dNhZQmbh1Pqw6YT3jdOpnFvxJRUSm LlWk9Tnbu/0hZszA6dprZ9JEZGpMWIRYFj6swvLDuyHc0/jNbjctowC/lCpgi4qOABgHdp+LilUi MjVhTHPDlZPnyO6dqYg2VSffA4w7xq0KL1gypsT5TXPuwh8iyHDq7rC1ekvLOq9gDnESHRb85psL UahcmiBsnOd3d9BED4rtEyYW2cTMpfE6oy/LuJjrZe6SOBuXkcN4+yhUgflg0ucsWyaljLl0wes9 sqsES5MSC8f7/WA8h4/czalxQC3l9zTXYjLStcMvMtHY7y+qsrvutSxAGt0WqDbqi5lkTbiKCw4Q 8lu90DcoTpWSFubX/2pbjVCNB2e2/1/btUFFbrIRqBm0PT17+XMddyZw2HZnRc60L92V+aGhDbTH Gtu8sHCsuTP5Sv4WSBY46re3mhWP4RFkq8Rvii2N0ldQR8grBlK+igTZnzAEBMzsrAQ8Jkc1J0mj tonVOXlFGXWudnfumkZSg0AYAK5/uRgoW3h2FnGTSV+/cPAVWVuGVrPd9r0YpoSH8dFgNyVbyMlY c9k2931H8dY2mv2ue+rlEkN+ild11q4V5sawcH+TqgLXzdmBRQnTMzhw47wxSH/foWNsUopGO/gt uVm/VaJdj0VWtaasRU06qb3M+SRUF8dVhJ+SHGuV3OGDSjYPCifXnaybpZMCapd9nxyTtyJpIlxt wi15R5Veh6nElyJQwvuWz8Oh8PhmhNErAD72jqk9yMB0+/8b+lIIq1mm2vGwFD6G4WHc3ZWf4e1P aNuWEoKnX90kx1njk/bSJi9rF1PixyPpQV735OHUWGubkDEMenMspBno7vw8l42/zArImAsbraW8 C7bpcVAsq48xR4STrKRszVTWVHn5hvVcHm3TzvCTKAfE4gjizcSKR0WAsOmopaINU6X9rs0ENcL5 VCUxe2NiQt1lwx5W7LH4Ma/QAhWIlyAZPXYe5HuOWf0BaiOLaXOWQCZajO6iqih7HODqVliHkXPJ UgJRwiSa9lLurwlMobslqbE8DtMU5mx+2piKhJsURZ6msrDRcagTk12HZt2ebRL4oDAn/mW3p7wE IcFxDl8ERBrxY0DxTbT/SfAlaCLLj27ssh5cn3jN/nFTt4UpTSPqF3pZSdjJPvmnJivzxalfUWTS nfZjL88zHaYaFWXNFJxYdHzjiKwRAhSsvb2sSQ/LQH/hcRYMQ1uDQiGiS/tzKEA7vlG9A/xjCTNj yRzHvdxWM6gDDSZgI172rIyK58O9pNSDYoNMPb5ND4P8wSe9FZMNclWrBzJ774+EYoqWWuD2mKY0 DbA+RbMjIjvFfQ01myMK18mRXJns4aGmaPirKZvQhQhD+kvDKZ9v0MuCG2ySd7SPWXM8+E/SiFN3 aIw3MtV71YQpmiIJ/YFOMAIOZBZ4Y0ME0PvHkW0Yjt270k9pPN9TC1/99x//cg+qY6NYDCQZn5RL hbrL8UD+vpflB9xOKlGQVmZbIo6rgwWaAdNluZSGAiOsK10zU8FLQhiEETEUKQUHI3AIgaskY2HX mRdwjOGY5cFVu/mpbbM03lwzjXCBLUeFnRGZmeIUi39PpSxR2hjGmbICSQoYfqjSmBfUBgq9wRhc e6RH727vfybi1avWQtJ6xJS8wKSn4HEy+3BEmk3Gi2ObDJE8EpCDDPQzC4XMY5hdqD8JCnpqL5lJ MFrQBa3zZCJ0lEbeDv4d+Ndnd7dX5tEh1NAyTMUEZ0fF+boMO0YMz6/zZHxT9nOLCj3JbpdvN4Jz JZ6F2KYsGJx0aDXzACUAgTpQzewHm7CTaY/G5HY/hkGwBXRrLdBVs31eN4RIdCNz2zxh+KneKzOL CeHFWKhjKzktvrbouqrVk4UMCUqpqrzGq3KXQ53sC77VkAeVXtvSQzldBVT784xftZEisPDQBqnn xEs/B2//XSj1eDAOOJJT2xxhU6v8H0Eur16AJWXz2iFRfEW9YHFlu+XJlnnfasBj5LXJmBqEMD3Y /n4q1Zww9MXkm/RKPLhUBGG86+Q6oG476pRAvDopjBHTqKVZKggyqqqMcQOk8MPK3zWqLec9icLp EqCsQImcjQQFccIGXxymFXi7vsgOZTLKPWt8zD7CfAKqcKJPqmkll9j7b9K2JrMiHsbM2vG2d697 l9ybwDa8TvfNQYkoBai2ySx/XtNFZjIgx4tRmkeLbBj9agpTbVG+Yi7QZiRmQTG5+4GSLOrLzPF9 AOqcMjXBuy1JfvK62VnajJgJd9HGHiG8cujYv5hu0lMusI5ZEketesAE2TYqNab6ImP/H7zRa78m F6/iE7V/27Y9vOV4Y/S2fdtqDD6LHNjhIPUamzSnxHGmcJyx2X89nU+iGcvHKUZqqYepVRsRnMbr pwVs7qEhzN+ZjMrbya7uAF1tBO3kU4sAEpMu73FNughBfHTu4f7gx0pbe+z8F8p/9MP328ghdh/C iPTrlBEdYQ23Nfr9O4nRmEdZb0N3Y9mO+DiZrg+FW0YKc2l2k8zxSmePYPIJEeOx0QvCSW6DuUDF S+Jw+zxZDhEoKi6ghLT4wXDEFrZFHBuaQoxTeDbUWqGdAF7yh3OzvAFFVR2Cvc+Kg+RRPrmLJ1ty 8mfSemC5gx06EdhPw/vOdrwXv6l5ITU7pwniviUaAL9CK1QkadnYrbxs/ooh0CLUA2r5vmNecg5L h+SK0UD1Wx5F7XE2gy+GICJwH9NN9sjnyd846Jh160+mdwC6uQLHugINJPUQOHVTj7gUhhe28Iah mZ/n/N7ytWYezo0w++CddfmzH9Zaoctfy7dJh6rSYoc4sICEDY5ssWkzc0chwJrXNSiFBZVTPK5k pK0ioV5qBqnfYdBmsAW+YK7UVS+O2crVwp916zQz1hhn1EH+U+GnZhwS5U3wsNrRcXcsXabxked3 vzAY6ha/08H7i88Rn3A+iDdKf85Vnu8ybXE8zr6GzNyz210z0jRlF7CmF4YrPWLQnxmsJluVJTP6 pgfJFI0qLmDgDUn7PWXEk+/1tgKBp1ryUxFUGg0d+DNxw4RWAPrDHqeMF6OJWlN2Ket/8MYDR2s/ U/X5dZB3qATcd2q6hVCHQxM/Wy76ulSfcIE2eVOFBhvQva1dHP8dxhbkKx4oCiRXl1sLbB8YxOoH wXKbU2+DZlJbhFmdJrwUHG0KbGHdry7Rfj5Jp2LGHs5USivPRtBkCTPqxZnpeFAJP8yQi52+rVPR TQ/E24YC0fKBR2EihlxEmyadKEl9n5PlKjDGshdoXQN2vv3zJHlZv2N01MGV+kWeCwhjiJPTwtIu zZd064oXhGJ/9Qv+tFU6TeNuo4DaJhbnJhLr3QPwgsNvmYegVfIvIw6Di0nBOFfzbyO9lEvp0Lin VS0u8zdY/9ZTmF5k1XFAaxXO3s/0ehoBMVZ+RkHQyI77PU+Gm/Ge4ofivd4nx53xUwNWTsnTlEiy ucd9CVFaPykS7wuA5GoglraI/sOY9NYYzWNVR/nVtZHHODB6HOWvhr8X9xbaH3yrKrtbXROUDoD1 0Xvhju7KrsAzoRqU+8OiykTdDgTIM9tt+anofbh3w0/gdVDZbO+heXKAvX21xdDhtOCiBs1CgZAI OWrKQjtieLe9D33qoPCBsV3twhzsjU6se1fW3LGmX1TTsz5F8UtWdMVpoc+K9KoJDpJGgcp1BCw5 Q6ZwfeoizQvp/wVgK09Px93lN1SYbyRaByxp0rGERGn7BKFbbnmwVT6JI7P0TC6nDw4akG2efhwH n7B4Qyb9NXPv9v7VvWAXD+FNctICZBvVidqWNAe9CxHzsqdQYoY1TKi9RfLQS1meUZ3YMtw6Y2lQ GGhy/zzuDflB516eJwgd9CGNK6g3xLsP49h80MNoILp+5Fi2zorLuLOjxImovrGQLtPe0revhu5u Lv4UzNrvjosElMlZ1z00ePKgTrJy1XlqtHYC5Aaj1RdyDWsmjPRIa9L0s0RczA4oe69l3bot0aBY oRhHSTPWQ3LWDgmAdfSeQDmA6Eo/3CU48Ih0K2l8z690L5UpEcIUaBc6EFZDL0WKIAYU02iYipHM KH0mTqghy03iw8fHaNKsSeMLiUdVOa/tT75WIg8lEpSyGxCHBiy1S+ZnS6HUJeXFdKTCXn7of7+3 FdyLXkYdtD6Y9iW588nogJAnORigJUcgbOl/72riYJC/0Z5cgc2E2ImCfwI8wwEC0JS1GRS6myrF s3q3P9SIHb/9/E1Ag17G2xb65eLH+pPvzGqWa2uAzIVnuC7tvwQiIJWy0HiXULcRCaW34VFiDsuK Y/SWSvJe6qioYObz5okogkGIglV+7itYB0h1vDMkTaKoEuVWZcObFvIlgbL8xxBzDeRcqRADauOg bofwwnEt9q/eMGp/7giPeoMcsEn22vvB8+B2V1HQ4HFRjBpRhN1OgznNql6cih4P+GFcw/9GL/ZY O95lZujMVA7W8klYTvjSjK+or+huY6/0UOHxOJnoDc+gDxO8fPNk3eIs4dFtE1V6/QIV9ZLRy5F2 fYbZ4V9B7AELPTJU77oXwpTgI8GKMAuwosZ3pxwIV5EfOzXA7+ZTpmcWf29fSu3hcUWKiRb4a11t NB+sJxrVk56HCis3dSgJe6i4/a4iapnykbN2/Nu/zU1DpBXbd/cNz+FXoZFEvDEEl1CAEKZxaK/m KZQ1OZrBfUNp+xzkmhr0jDUZmR9d26v9muabOxKalL4arvWgTUabbtuuf9y/bPhUqO42hN7QYxBp iqYjxcalKVZrVkBgnhanwkCIwt4yBDXp9C54/P5Nmgm6cpsZge9mnqwnXOJ+wp8NlqsclSAlJswA lb57p2PyDw20fzZwaOGez5B/S6WppA4fe8/X8IdxhF4XULFeW2V/u3d8Q8nB/llRelu2rhu6K2uX +J1OvUOQ8kuZ+UPvN3g/g2sYUvF2Que0hkZCWn9JsPWwFoW55OicF9GZS2NUGNaB7oPUW6QbtKFJ up3Q8HIVENCgobnHOQm9jniVsl/jlcHOJKMKDlJO/KoDkmWa64Jnj/FMq0AvR41+sdPnMkNT6gei IFrmRQifHO2X5X3c6CJ3QD3nsGnrcK0yI3MtSQfT7T+hjMRtrQ5U47t57Iyvhj0h4x4GE1RQZ0v4 EE5XtAwgROqzHAdFN14GyiVGeTtNA9WWg4drJFm7a59Gv5+Kl6ChlROlFMLbMn+6QgfopQKNwctn sthmqYzB26UUmUyZbX2EA4tFT4LpJdfjAo3tyv6YL8rC9n8UWEtVnp+z+IqMGQWGZIAEE0PFZK3G i9fZDPejZiXIFsMm/XOKaQ/jGfLE5HWrOrFfMF7FLZP9pT9nbtgkgvpOMuGczLMdmNluQngUEjnh 6MGrrDT/MRrXSO8F6TmKK2pyF42Mo8o0RAnAaDDIXI37rXQZBKyCZbQYr0SmMBuSLuJKXky853vb BeC3WO72YLOVxUYTnI724oSK9Gv73HTn0FkjOcLlCRoV5ptXMYrwr0zmIIQb0QLHVhoaza7KiNuk exjzPApBMnTmOOQx7tXLqZKjFa0MSn6zrme7JbzsPFixp+UnRso2mtPKV/ry9+qWzQt2aFYZhFVF Tc/PSxP2nCtWFcfQ3TYAAcowUAcp2aQYq8lj9YXQAGL9CEzplfQirO8zvh7nqJPD/hFvpsxuE92k 0Hylw4mTropnagbuxZoI97J4kZoL808gHdX09Si5d0z0x+ErsNZ4Nvc4tdPutkkw9VEmPPOrNJOn g07+qSHHrkdxKu81I4VUOp3PrVs2iBaXnES1wY/A6zOW0R8VZyPXWBJkU1dtursZWMHxSw5ZOWa9 gEnmItlaSo6UROL0jNwzlp9Jy0nf8n/c/eH+hWLFS9HwtfCwWNCPh2P4NDIoMgcYJyH1heSW1nP3 2sU45psd2DTBm1sonmk97EQT7hniS9ICOSxzkLtsWGBKh4j0cgEGgCr+/g6yVJ5vWCP8ZJuAIugr rBIHbFDHRMf26+DZswiiVl4SBV52rWALBFfA/u6X2piCq8bEG+lXA9JftpkZhz4wwRGJIl7Stq12 HHoK3fqpSEsDMgjGZ64oiv3yu2A6Xav2/IL/CFFTHYmwUoTdLxT1HXoJQJx/BArxVx8fl48dOVRs +K9z43Mbx16jm6OVzbz6DKXOOKivw91jk5LKP7OV/RD7dNqK1SBcaFzZnGCOKIsnOPnebXRlK9CU 0v0pNFD77Yc+XiC25b84cP/valRHOJFlPMKSe5RhJTBPVCI9oU+vZrI99ha0dpEysd8YFCezsvpF WaFf4o0EW6lkRO6B2vgGd5lPfN60Zv0MceIQ2lD/77D7EYk6Uh0GQ6iblmiHJ/rvJnFj33dp1jKf GU4YQvjVGMQrl8yu7PGHyELr9UP9Xj4Ui8yJGDJ7TR1tIkHBQxjetOKVRWiWcdE9L5KFb/imUynU 8Qg0az06Ac9mNwqKFZj0v8qVJbruGqcs51tF3n6gCFq646DMCrLK564tp/KEeFTfxIAjCGR7Uuek 6yYHyeMSB/cpMSAUSXSLUUydZR7jTzeWJ2AeAf3DXgMyZNQPaR47pz7Nm2QtfJEZp7gCTwbZPLcr bzUS1HnG5/SNcR8PQ5V6fQ6lPY5sRdYlUfGto9eFT0ESSSJmfFE2ZKbJ5d0hdShcaqqWEtg3K5m4 s3S6b1r45xlaCFAKSc/F8v+yfTWTNPzvbvr0TdnPM07vPJA8yZmSZmzHjE50uaUfl2TKxudsV3Ei CuTXqGiPDt7xrZUnH3WFqGbJ67CEksJmzRgCSf43eWMtn3+/JDeZUSkrSVY527/yeBhtZyZABwB5 MPCkV8Z9mwQJYRAs8MBQbHXJfItYDauqaXZC4IN2klxnwdHBH2ADuFPcmZtpNBydoEGk1w+mNyKu KIP8WBfosHF/O38Si3XdBAqATXOnMjN+P26sxU5MkGbOnB/b6yJWdRJWycTN1T76N1xh/bp8+Tou 9zxqxiR+rqrciE9y4kKZqu3xdlITKyaF6s9NUMH0/0HcbqvOVTm7NW9ZS7i7xhuIHDAtXUWs9nG3 0zLmQ+X8M9n+YMDo5IDiHN2bRtdr/uuT5BZqooDADgEDr9VZPIEyxYspRaJwOWMBHAXFzbIb/osP 6z67BYbubHfi4+FYsulVD5A/OQxWofspedIouDeZxFeR+WQ1ia8qMOr61wn/6ToYdYrc5DqhQBAc sLdje4/CgK1hUZOshFTxcnX07EjTvm3dpTwCj8XreepvQcb97V68uclgkgE8jLqujNd7SPdkDOn0 CyhFL8EnMebZppCUXmfEe0TvoLFvZAOM+HJiO7dRwGZ7hOXVY7Qe0RDVcAQfyXCG31IiFuT3ihZ2 8wT0vmbQJCOd9Y/XjkSsMafo9IMd0sAJTQCVUDHo6yBoGLgBLdOvoy6pDpbsPWq63f8hpXY0cOkV iAXjCpt258dB2lnsGP2/Ad3Lx0eGd/d9F4j//nmNV3I2KyJsItVVPpPcc/z2SsdMF/EO1RfS7R5u ID57RTWh2HvgugGWzmy8dpNb9OKG/uqVpkKGRSNPwJ2qrgT4Dt4TkwGHOTPRtshfaaf9UekEylUr hY2r0KHYQ4vx8AEul6u1vRTpn6xWgGiwzQh6QyxUiOw4SPCK00bfzEaQZ1U74eGBsyWIyK6BN3t9 XGfXlT7GQT25qn3MWNtsQBnB5UPVyAub6zrTB0J8kfuY3IKhN4o53FwE0WVLxe7Zave/MQ9+xw4Y wbC3318ziu2yPapPk3dVaeEyMH8WKdfz5asuLphgtmqioL5lOIFQE3F6ZqcpVpnjssGGlJB9rMIR /Dg1eJ52m99ounT0vhwBEKd9upDFiVMq6KcaU7sTc17ZikUixVxK8jeLa2k7O9JUyi8aArbK1J+E iJiR2oDNqfsUYLQLRFKJGWhT/ihR3NC8Vqyaw3jERudmaK2MMgj9pY9O3ozQ1mX4pN152wGwIl6d 3OWNI2dz1qA/aABHgNu4Ohbkkf7HXX14VUy2yHLVSKgjdwlHBpAwoHIzBVJGq46JuZK8VAV+nYGM NcIwdhFhEtzEJPFq4HUNCqhRqz2xShK0C2BIWeS+qLZh4/BxSgNILjyHvVZpPCoZUN3YZ8WCXfCu oi3vsYz1s/dA1DqmS0nXIth/dD/IsxCkR+F8wGsD3+LQFp0DOGF7HzuhrRvwOTvU2o0MhNf5SBbM Khh1o6Ejg35kAkj8Mn4Hc1n5WynOt9U9yS0T3JEY76EzodPD127TBPvlAZiouKxUjChXCG6BtFUl b0ZhxHw8s7FE9CZnYrFdqCjktnRH9uynLcsrlgRO7eOPHyF6l7hd/FDQ/eJHsPzFhRtyFF0GO7x4 fQm/691Zf2MK4ZjGenqHgyvnxtqQ3fCbIvQo7dblJN+Lx/r9zBX4/lhcj5rYvQP3MdwsoVGza1cp StybPe/nLAU7LzCoEDk+ZGS7w4H/p7yFm8KiL/p/qchBkQzNornr5E//1/DH0MLQXRgbCoNDa0GT 8m6cRUNxnofcdFAT2E30MT2LwHjjVpFPfnBZJldy9Z6ogGgbzMk7/Tuy5Xezs6j1TtdRY8iycv0N nv3MVV31OhkTWpJGAFXNxnudDn83I4uv4b/5ssobDLcARqcYyxZkq/RIAi497BvzrhPjrmdqZxJO 0OPaXxawO+w0v+dHstLhh9nulnGB+qiecwIhxanip9GjnVDIklbn1ip4YaQtwvDmAhbi6Qc4qwY4 YlTvIOoGADljfR4FIfsT0SAO/TVbf9+7XNMADY2onnAIRysYwphjx6lEqhBusv6m3/hYgn5U4OT/ LvPmijSJ2pzgOKqqZ5FTvf+Df0x67V4QSGMRrfZ2oyJX7UEnjBPoPCOBxc9a+Crw9MVt8lFeZIYw PRtBvr1V3wjOiE3bp8xQNgdY39c+xJ6r7/CZ7Kf43A8csAXHSs4WiycSPXyYRNwPEEUZwMxzYYV4 DN8fOH3slD6+xjbukssI3Ls0vjRPY3ce+l7oSn5IesPHKiJjwv/lmExP2M7alasP0ZBhEoVqgtDd ZyQ8p8ycF6Z3vm1foaZY1ikdpXUt7zWzjc7OPxo71FpOtf5M77TI8rhkQ3lkTjHx5v15Oq4zsCtU fI8yuCZqTCs3SeGRKww3XNGH2gCp4aYuUQ0rF1CLGTjerk4kVFzCedIHjtfO0P49wZBR5O0dFtX3 gqnBJFzwQ1D37cij3hzvoTYS8nmGVuY491Z6uq5jYcs7fkxDH4uqQvD0zYEQLXVbM33KNcpjZfDY SBxCooEH6IxlgWZtG3oC7plVpKO481m5jFnZngLoXoWRlcKkf0zBHf3/QVe037dLQpriWLf5FCWx um+vYhheN9bWFScO4PntLV1GQmcRo9Brlkg9/4eOdjtRg4x6qw4UyQw0z1L+vLsT2gOACms5uWQM BhtJXfxrhSVVbqGbASgentMUYlLRtKYuuiANG8hwFNFDJ0cvx8LbH/ZSzyAxmuvQu4P4KYcNZzTL 5PNuEmFB+zJvvKmrfqpXY3JaJkJ8otYN/+xDRuhE+6STJIJhkK1LbH6hrhAFTQkCDxCJsE1oo8O5 yQsSb3dx2ppV2MN80Z8frh9AeAXdSWIFOjsqGHkkoWLhmKqJqN9Cwg/NFqKh5TxxgEnaU1ux+nyE 9R0XuXPcXWkJvFXvgEI6G8PmvPqZSsMMyI9a8Qp9E+EDiEwCJwd8b3BVzKAd+o9kmcg8CsWUwbjY CdlQpVY8+NNQvg8u8RMuoyyneA9R8OhjG8dSmBkRCglIQMvkOXyWObg8mMgp/HpDZVPnHuqv4b+P i14bXBUxybKg83AC5Pnzng+B03pXMm3t0lzBAybOds9Nxc1QI3RTu5GjnKXWE3N2zv4rdlokM7qg fYbI6xF4JC3fzm0e+7e1c9sZQ/7UV/9hkHVcLOwtV9fIi5SOTpXbO4HoBF2bHiQDKxENMwRIi2iu t8wIsbktwmHnPx9inVthgqcaksBPvLiywiD/4WxWy//01huCt/CYVelY83xVt9Hp8VSFhY3YGSyp ilIPl6lFrk6lItvCs0CdlFImMLlP/S+us6wjhf2LqAsFrFwXA5NrtV7yBIN96oUXrr4dxnZLawvD /jcTBAd4FEyx+7ztI3WtyGohO73MnOTCv8ZQ2GO5pynbTPoWBexPTlvM5/X+vCl6o82VTVkXXfMm fWvgxJPrc6H0CJMOK/0D5tj5dDUJM1p7a9mXkhp6EvX3iu2D5odEAjJPwpVuYgbAMSLxfo/CZkmM M7S8spx984iskQCcm5u3Sm/vxIrHvPBueHu0sYbgI4JCholsritt7l6G1TouO3xjp9asvYKTdoqI pWe3hfZ/8fa7Kd8bPx8pXqkmkjbODg3VwLbykAkeh9GL624nl80oczNbXCfHClN/Zcry1kPWor9n nHXWRthpN9ucouRsj8IZiLQ1p1Gk3l8kSYXs2xfFyqMKx+kV2vXJdZURDIrIeTQUSYElHGi1CaDm Ywg5XTeuU2hCZnoAT+MBn1q5VF/lhbnkHfMlQMjQqOJIdHaobM/KD3LyslmD5PnXnFz7sHKpaZto haYyzc6DdLgW34uyb+145vIC5EqElcIu7u6/1dt+MxdA4fXs6o+eORXImjssbzBEOzOUE1IPBfY8 ZGZyEM3jhpSzPpc436i4nC0P2Y+maFA69l6p/cpv/lkSZihs4nCjfd4UYCbsBcYkQ+X3doFDnZ6r Ca7QM8Nc7n5D9HN2534nsVTYfEsof35l36PSFc/aGYI/Elr//jBWgd93QUjFFQxOyhhLL32UEVrS kbv4OtYdsYdYUzTVV7p1EHg4r+Mv2Do3s8kv4Fa44qlmj6ZtoTf0MjcEMOb4wpNHN2xzV+3pP6cx syV3WG3KWFjXPR0Fp6XoCH8dorJ6AI3AFuJkc/Fm8wMvrQeG4yEtcxX5/rrOhtcLO75ObaVqP4Bz yBvQNqFczdFwga0BO7Ph0cyPyWSzdKWyri2MdNFC8mAb7jF7DKmEGsJixDDHGuQzwfhRLfN+nSV0 9mKDFLRPRj8/AEr7TdaKrWD3dDR8wHP6WXZs9UI0wmvH+fvmMHsfCL5J9KwjMgELjgk33auKUkYa D8JriwsDXZrWb6JvSD2tGYhnf4CvlQA1kYVmZsFTDEfYO97yr8hSyLupRfOCpAvjGBOiRlpracNi KPVNKwGacEijCK8WHNy9MESb6Un4d4A0+EVEoZyVVifpRMO792WbxfKW4kDf2Lz9MlZcNtHhg9CX cMvWYbg5MoxMfOR6BKNOxmqig+Lr2WML58d/iB4W28Yel/QD972deOvWibUPGKOTNq0vASUb6g7D JQ/5+ibULVqvKaYqT6DjvY5lgzwsDahnYCkXC5mUWRZHKmwaSR+iATC2/Exi8hiajFJTJjbpTWyJ SHqsqQzlXjK6jK3qI2C9iDSX6Ia23uKOgDMp98Qi8INe/gjDSUVNnQ6sCnQLDYmpxf5U0O8Tti9a YSbw0Uej1Ey3Mj6KAIq9qTF3LOfURxOOsna4HuD1ARmCvXgr1HtgaEZrrA9COG+Dph9F8fyRTl0R gAl67f9ZoNxDHOJswRliZZaScGAGo/kRVoojcy5biI+lQ7hnBm1TKrpo4peCiJRUqIupJtag1pxA MMzvem9nDaSBeX08l29yZpNj8Lkh+cpKQqSK0iGnqaa3NmsuYpsIzaDAfZTXKXDMQAChuKhj/6P+ pjoaRhGSlshz5aFtwLgs3KuFlnrr+zsOeacC+hNNwIxDIhbC/PkOWYVVpa/suaK0o1MQ727m5Jyi UqH+8AUAfRMm7AXMUien9Mn2vVEnScQv5LFn1N/Bn08bj0ONDGelI5J8rFaVc2LjnNzxQYD9yR8H nRieDJWXKae8iY+MKCiKm5RfzpuPaWVnCo0DIoCrb6omaKvcK6aqE10mdUAjl8hexWDf7Xwc6AKk n8zTxHU+1EfmedZUHKZoCACE/JuYN4tTN4AlIiekergHt9GcqvHVBGWWWCfejUWxkv+zOa8Pb4fH 9EyYRbbFK/sxhyanDeudxiwSHskICeHLFAvWnUbe2RzaeloQS+1qqzkEGexlbDvxbfyNGaKFwqTc Dq12aiD1xibfXFX6j7Twzi/Ih3gg6e8IKk75i2lwNx5C2F6/XIDNY/6tFF3dsrVOb5YBQ9bHf5AO 0JVbqRkunChCUWbxY/xJO5BoJTyuxJgK8hMKBu3eQYUfI/LmtvG1XhZR0MFWpgW7qN924ICUv/QZ 2MGVvcm/nahyT38/kf9h9DhMuFoGs0VH8qvD68zt1gvDPwVgymdYZh6SRcJ/8aUL2NJWawaDCtXW XMCdOV8+VgQJEwnI6U0b/KdY6WcC9gxF4sKDebKbGoON5upffT5X344p3zJ8hrRW7dvb+T9OOAJj BeKM+4gR9+hZvhYF810gJnxJ7VFuf2zGSmNE2jXLz2hFptSty27VxJ7GipVBF3Fii3ym3QOiL1Hy 5BibnKfXsK3t4ucgs0iYjiH4V6aYpUhcxscH64bKQU9J6JeFPAmJdwvl3GsolQiyjU++Butqt0lH /yhY9JZZfWKsko51FNMm3fTrJpQARXTE+AnNPmR/VhbkXuT8ISWkzdK0iDMpA01RtE4WFbNW1tkO j7LoleuuWG+Esz1javVQiqD0JUJ1f4/5u1m2MUybwr7mxvq4gWKa5OuXCvZC18c/MWVtQUhpk03u S0NFb6BO/xD+r2XmT9+ZvvY3OqDqzLC2IYv9YIkl6pYmNMAmB1DFhSd0E1qd+uSckPF7UT8Ng29/ ILDZJUzpMQt5CBszBebRsIV+cZs0jdFATjqZGAp1zYL0W8UaxOzTfEt2pp/PBghllvSbKaA96oR/ lCy4eyJdFQbOAoJ/VBSMqflIf1yA8lUektQpG0gUVrpvdMqItvnMlLW06iTU5edMtKGFN8UWZ219 PggJogFjpURzYBNnSNxmYkq6fwdFj7AtAqERETJyup2OrFSmD8H7q1wkCxS+EszdyehL4SnnuO50 lNAliI56ZmroamcC8goydMjvGUkTVlO7m8X/0eOGOOyTmvXc3b5Lj9BExQ0fKO29/YtPiFIJcI59 Odg+K9Bq0QOMOtH/uHLS9geaqXZR+hSkloB5Pshms4cvOg4qXOqtnq0/FONXROtKz/E7/9OFkhgS +ESPU59KAf1PyjkonBiPN9uxM6k/5RMaHfc71Kfx7Q1hmM/vwxgRUonGAWh4+Tl3II6t3Nb+x1Rx kzDbsrO9+kf5bpyxf0SfXV0eWXYL1LPsrykMFPscQ/CpqTIL7EITD+50yh9yu1eFA5jr9bprXDja aCFg6a79ZRovWSzUHppMx40t22HDwSrbIwRn2g5DiA8/pLMXa+lbUobZn4yRilpb8NaRrin0Ynx1 Yi9+gwWsr7cEl5fdmYAAXiVVLowwoW5e9rHoz1QvwXn8JIqUZTrxLbQ7qQT6utmA8WpP/ViB7CII Nd64quxyANW2YqAGDb1rPPkHj77skRdG3k7hOz9iOkvdsWS6kw1ldoZ9/GkuJ12EbY5nipd3OW+A VqYuYrdbhbSuOJrUqMozGQC0WmGGi+MHweCy3HdehkPb/rQ5h0Ciog8R7tq8F9L6jMhBd9pclNPQ 139z3izBDvG/YkvpG75wYMqdnfr8U2aR2FIvolukjPgGrz8VnN5XiUCHUbxcJyKRc1EaR21UY2DH TilQQTTNgLTk8StAybCfnzOYdBRRVYpLh+pCP8pETh1Dahq4mOadsZ2TuUozE1aaCvJacr9crmM1 U1uvu723fvALEnMXZVdiM55TE4FACFLuvHha5BcJc2P5OK2w41DdCB4n6eWbuag+3WGnL2CAk1+5 NuMRgQZl6OIba1rLdXPgvxfbM3thCQ/X8/Sdonk4Df35qC8Z5s2NZs0DCvKO6xz3TgX1HJ2zwPkC KNgAKKvzXgiJ38J7Keim6jKO8OvfGdk7JC62zbqMuekL4kSlkiOZdmuP1WxLM3ctkKXdosl4lt0b SRqa/n8bmy43h0kLH6AOTDWkXbAP7YJ7YeVI5m70CcrUp6IMPSN3hXd2uCn6jsnxemvkM9IoGoUa xRVPQQ5qsWSLA0wpK0hhE57zOxnbVlPwYt8dXopSkbOSUR83ZewszOzb+3K7BcxuXdHWVjwbGNAg qJ2Mg7mCeRo92dvKsjAI/asWvHZp2YnGDVKhMG0rPUJ9+51QAMaJVX2H+hvtHppHjux5SDkzT0vA s0mJpW4fTnZkis6xZWKGft5aMdwMftZC9t4tODw2T/mKECBVxZn63HxMQ0KOkbs7thk6nbYTJs91 jQ9PwLJVBO3I60PD65XEaazukDxko/EY5cUi0N5dY4bqNjRgcDSlIt3+6knxHWG6bpPkDMJoF5Ag WbX8T4Am/VCysDmFchy/lG7jakiDw70QCWl0rUu6P5g7e6h7Cis4yTP9GDn2ASbAxVLUprnx0w18 dbihpcMtXVj++b5uSbLRfPiRedJY2/lTDtBiJGhaDUaFLZO5zg6r3rQNH7cVUwIpTGqTxVcSsaim y6+XurSA7pwqjvI6tESU+p7rElEhyMcEndjtpgbi9nwWGW2O1g5HYXg6Ou9+USzrWI1BbAPQq7ZF Axou8E1l+6CcFftIGzA8mywjhqdaB2JYgdGIZd2H/Waec0WSU41QvHTbxEcldEv2ZpkmxJdSwrLJ gIbcmQiNC9VjkigJ8OQ3R9QRgsme0+B8ttENpRFYYiTJQiIcFOXO+0W2mIdWBjUnX3fh1umjBUK/ /6m/mBuUE0ypXE+CGustHtsPCxYDCbN3V9j4i/LS+ZfmKXXW50P3wYjgwBP/xV/1FAz384R7UXCZ BeLLvqjDOB8YD0ZM553dj8Tn+LBBTkxC5kmkUlEEmo+g01XhPxRk/GskC75MKxrZCoWiI/iFusXF XJSjg1MXzYDtPDZw+NYmJVC4xi3iIIa5zwyzu/xr37909ng59Hgm5ICyk4ycKlT6aUha8krCc8lf Uc6peyTPGRrgkIXOfxY8mlE7afjxmAtCGmhhJqjCuMdfuLsMlv0NqS5yfKyM96hhzoXLHzJv0Cq4 e8mpJSZRQN7Y6d8tnjbJ4u8ysYr8CWf04lfgs44jxEUscDJqJVL7M9K847AEPg4ZiTBsn2pMLatQ qoQWytnIkcqbmT9XSrbCH8k6dijGQbujm9Acc5SVWMav4E+kdr6u+qh0BC5S5zVbFt3os/cSuBTD R8XbnefXFkvbDlq/E5koGkCjzKcgADpki7kkuBcYrkjjeLlvIb9NvE2wMiK/omT99yuBrXRsqytG DMnrTya1BfX/fbuEN1kaweOVP0qa+UvkaEjRSWSz9FDzzFBMxktCTJE7dfgcvfji0x3VuHvcZbUm bj1jyGxNVFeWf0EDVjDrvgWuvXqYRSJHSYzVvdguewn05bBMv4q0sxgQbQFr4PCBwZSuxUbKK03f bu+9UUA8N/ksJmSYBtlEa1NGyjPUaBO+vZYxXvUOddYMQICHJGMYQ2O3cBmob5uu7lms2GoKiFPe J+Sn9P/27NCL4l6fuyZ8tunCSIPyF2gIOAFeMMTd443uW1PDswsByV4JvZTTTPewZa+pEL7Y9cZx 4iyGPk8clz2JGn5tpXv3zy7B/ICmUx/U27NfTb6q55YNaKfIDBPsfygJwkrM0ZzrLWFpu2otYf0b hL8JBlSpqwBRAeZXeuCqUZriPuNtC8vCVc3FsLWAPqYFeaqMr7Gz9v+MR4RBZwZ4a/qFyegq8LvJ eY8UWE4Z2Oa8CfxEvjhjz4Cegw9NSp+Oxxo6kLtiji9Pc4xUP/ZB403u8rl0jD9m/KXkQhdeDeCo x0qqxg2TNtArRPWRXK0vzq3qSSc2k2WXACrFRt7eERq0zTU0kKNTCMi1zVXMavVr6k8j94sP6Mov Fm+GgoqdCi5jxMn/Rb0nQ02avni8D0J6O2WPpHwHNJHCOGKtMHsZAl0kdtVtbT0AQSWsRWztBsQi oA/NXvoMYJZ/KG6hveomcilzyZD4BDm8MB56oU9TNlg8qD+RY9aCX3Il2YxjeN6HiOn0Um9xrAKp AxXIddn0bMYUlR4cqa4As94kF2CTrKi+bsq9NU79gO09L7zxGxBHk47nbXclt0tEi2+n+E+SvTC+ vDAO9zIoLyxOJJG0b6bPsJ/iOsQuPvTt1LpWeOnqep+AK1KDlwcHU1mQthse3oiJpgzWcPgqVgpz 7ojumqkQLyTZeth35fGZtkRzcSJW4OwXE4Ug0XvnukcmgN+83rAi1PQ4ljNBhru2p0AOASlaYH18 gZrs1SXmPGoyPluqOxMnyeKsv2FO6W0d5Xe2lbrbQD2ycAzUEOiluaTKzovmkYEiu90FbllKe6XK PeteweUXViAfhm3HtA18i81h0zClO1js6UuGgZviLmIGTkKUJrqnEqOjLT9DsScs6g4sG1rcxDG+ zF8DvnLuu1gcqELbrE8lbDy+5efrT2CHJBJ6wzf5/rbZtOCpxRCfJOwPfpm/l8sMXiK6b0pNXqZw ZdQRzYYu1MUbT0/S/ZN5Krym3fFGTCHO40gy6GCe466j+3MkMWWBcBQVnNWqUvROyJOVAFm2UOWf ogOnJ7tpKcsVnIsjcyRobVhQKc/xPw5jHcSMe3fpmCe5vj1CksbOT6GHmmp9kRozGXRjYWJBInPy DPfVBeV+B48wjsP2L2mpcvDq+XeumxSrML8M3LYXvjZgez6ZsUFkQnPee4MtaVM4SIuz4+sLSTpk +NqnHdxn9CXLCg4vrkGpBllmUzJUkYbACjgHdRTTogRUqvAZ5wfDiXaGgpgeAdQ1N3Nc9JfUixwt Dh6ZTQeqV+LtmBilJ9LG/95PhylIAwzCE3uHlf22vLp3IPfaiSIH7ir+KWXP/4p0Eip9ixPqChTh CToLF4Gv9QSdlAZGBXOpwj9YDaLWzNsEVCrdArJxyZIGDQF+hyyeD9103YRfya7FU8kDaOFDbsYf k5HM+KTH6f6TDHPVza/JNEq9ScuknKuAN6IISV33oKPvFHHVpqCMb5GSkgERoWZE3RkcQndceQ77 q16ENAj923TOqOQlO0KxflRN9RHk4woxSzJSf5rZqyT3iQZvDA7FIfl+TugjKjZdntSouhFiQWls C90wobe4qBFvtKR8UBctzij6gt+TCG96XPzifetXuQ5ETDfx3KFSWp6ogY4L8oxD9T1cdTFxCZ9E LRWnPZsi5dgsjts6N6MDD73iBOK05Slk/WEpGydfE53F+Aupwhdi9JWZIy5Tvw/DrXpF0pOogPKC XH0YtvH9g7nc1qCJ6ntqqJHCUxiCtSSy4rqA3icq9cY6BbfbgvSCmP0AGgcdvZyEl8I6P95ouNx/ zOMY04xrbHCXegef2Q/zHHKgpxnVLqtIXZKFOR0w6b25VP8bPfwwZfL0f4/dtOVll3EQpBaND9Ac dIvDUej43EVHvAsjX5PkxNZF4tD5j7OEy0pNxacOxhl283RsXQjAii3hlPPnx9enGT4PmtGqUpGr Tzg6hKz3sMpj+T1hx+P2/+lB+hqpDWK23JtaopQnCSpCi1aWFv6WFVafD5W39i/qxK399ukhh5eZ H9EuPlrf/1krxQarVXYi8QjH+T7a/k0vUhNFmAnawEo6WmR4RinAlmIUTqOW7KknC5YtxXFx27mK gkXwq3qyjWTMNhMYYXzUrO00vByXhNVw4cwul65/ZpnNIE4Tj5Bdc8Z0Crzyx6izXMt2RAR1DcBa yX1cFurVqdzEpq2OqH6ZOt6NMuPTA51yJYkFy20gEphf12KEEPyec7bZ7rngQWRTgO+l9wmpygP5 RXBUAqb6zUDyLtCgzOrl8Yy2topx9tEgmyrFYcCwGhI3NJlVv3TzP0l2t9LDYz0DiRuuZR6FnSE2 n1BIzJhfzJEs6pRUDAFXqGaWDRtu2sdOodX1ILLlx+UebeOLrYdmLDa2TUs1fyPp8OAkQc06R3GS RzVRlHRhbOguGuvLUWUCr2N4E/7cgie4q6d+AfVg2Ka50DT6qT1pzmQFVSFmMObI49LxyjPO7gMc xDuVB4iOe7NcBkGi/VPcbT+1Ba/uUWRhegSGLfa97X+qlelUVfmDFk5/VX46+7KY02TcnUrM61gq CSr5RmIhKyOxtSWm9gO5yFN9tDc/iTS1WuBbETEvJ+c4DUOEFEjXbw+gYvIUh3zM7KF3oLAH2LJd PTXXVUbk844z/6M1hUlGDk7bWvZ6K53wMseo+K0OdmwjyrJVljbZk41MIRtyMf7tLuN7prmXt0nI /h2tAwKa+ZPxR9bf35EO+EJrSvhSHNSpLstSR7Q+miEWMSB/Q8vYOF/Mbe2JNGBOjKZV+zVauaLv EJmZBEYY5n/ghCBSPEXE8LjPS4nkrHItW35GPJd88u+CrVFoO1ydS9xYKXIFA+O/YLxym9pJtlxO gRbT0uxoFSYZEU55a4j7LBetFE9Gc4MZZU3CRXGhJDoc1RzRz00C2UceXQ+ug4zJSrsFZBE7R9qw QPdAJLHsnbFQ5Z1rxNoSgAqL88wr6zmFiKaH/RhM80JNJf+fOAZXq9rrTqCIX+f6FeKAUnHfnrf/ EUZJTYsUyNkk3kUX07/hG3UBdJr3OwkYRGTYfBccfo34ku+LfFw/NiwkhNaMPnDfZ2wk9YI2miSE BhXNtmniZay7p8v21s1dZ1R8nXlnBFvXSBLCfSxI/Nh99YxXRU+m80r9S9Q5g38kVwpd5+9QdKdW K/WqXd/I82PQpa4naJ8AejlP1Mgh4sC9udVumuXnHRDsXs3ccLyp4Qcd1/mzn6rQzhQ72ln9eFme 9UKG/blfKBtLYtuMEsILvGhM9LtU5nzJDtkq8rYsuTd3OvD12FaLftXRjM9eCOwGz9K+jXpgi8Bx GqYx4hZPPHXhI5av5hr3WTxmFbgwqoQ8yiE1TvLTka/L2lPpl3YklLiyEPzhWxZ2I3DgWqU2Ye8y O11TBkIN9wCFTS2tVRb5oBw2VB74pMTRK9IXRe27yxfxy1OmE9iRmHCFe2TKaNHVRH5BAH7jY8Cz OpHLBLZClIEhlwpDq5WH1TODve3ECHCmuq19yArB4YM6kITTAuwfqX8gTVYjOp1ZwQJLm4J0w1nm Lq/KpUJl3mbvBv5cU/dJc3yiGc537f0Ksk6I51V6n06HAhaDrE5FQaM7ApXPZSJnoqCVmkUKILxs uJLCfxB9ZD01uS/REfdokkIJXZ01678I5U1ylY+2ImPf8qPcO+Bb0D96vl4XGYoCbk1B6H/xRI9Y stwkqvm5stU7P9SlcKoSKP/lmTtsvKCigdZtVZNuzMdQTAGNiM8CRogK6x4tFEcE5qfPy2mGFbmD 3/lFXQtOMwrrlhlrmYNK0y3aJVzD/pXCEoGgkpYTND9Z57tKl5ee7jb8z0N2rBfHyCnQUrqPt0OP Aqxj+sjQl8ro3HTbOqwQJ19uya2pVOgoH61Rj8C56SNuph78ABozs/QanTKy+FcwuH0Lfnzr6+i2 LtRvxk4WXXa49EWVC/dhChH23P+MTEHsli87hJeZBdxgR9WhNFir8SawZvbUlSy3ibsfHJ7VQi/z ccNW8CBOWYgAzMD2cnUGnE0vWrLs7I3zpSTqnPkb965KovtUFX4m3H9Q42Z0AXRrXOEcu6UZ9SIw U9vUm1MtR6ChJBm2uNQ3gqEYzzsNkADGA+xNgqLP7tz9dh9hhmiTKKPVVTknxQZhkbMq7SEBazG/ Uzl+qJBvpBnmU50SDVkb/YIdJc2zxrDF8ufLuhrCSiZiDd0QS1z+PznIwopyLLocr/XImVD/wRiT fu0/jii6z2+oZkolzGSmZeEVBsDfrM4Zpxd3X58StetPE+XSnEJkc2Zp3L5aOlps1jMTg4WgO/OK HTWJbFGFuPgbT7WzNJ1YyX0bwOV0U+NC2fPaWkp6SoWauc6am7Fh/bAHsJCPBRsiDReO4cya+9ds 1FoVljooxyQggBbMTmPNqfw+p7f+havdrKeN7exEUsfkVKGZ12ZXynm84F3AaygQMMyEelJD/oXG PQ54eHL1KvDNIIdSioo0loQHv2o1HE7ehPOCTDyvvEs+tYX/rMcwszCWZnYK1zXqhlE2QoQYRdQX uKhITXj3FhIlh9EJJKMzaWStvbU80QFK6SS9QlBIw8CPezQDuQ/4QtEmZ8VeB11ss5tgpoUMp5SY CJ5Y0a0ox7OQKnCqOhW4Ma0zIQgF/lXwvbC231EiLg79NtRXE1jzOdI+As6N1soGRc55nOA9Zkez /3necv8AAUqI5PxpA33wOZfuvRoEHJi70tPglrx0zy5cIj9c1GnovA7FBlb8MPvL/sV2IcDbTh3F dv6U5w9O2rnKrXka1WosJiJfckuKHC7SB60SwQym9cOoagdokVJnjHE69aUvYTwXtiSRdwsFWIUJ R7xr8jH6p/LmqK+IYqusdO54D4uoR0oEJ+2JRlk7B2fDrL3QU3NrQM4JdKeTXFGkfSZ9sRbXVh/f FqytBKJskeyFsEeKM7bh6+AAqw3daHZeX54L8DoHq0ejI2wENmln0stF9W1B6qqoQr8d/Cl26B/f Nf5CNHLr3+bPYd2wKrnlzHLs+pI/nvdLET8q+1HIVF3KE3iMykyHAfLpfxsk7ii3rnS5o8Vpcxib jZ6itSlFuowwlgV1F44HgMtlmEvASJG3jrOjhMlGvhBxYGA50A0M0NQblRoKgjT5mT4Q5hgXli5J d+hMW+ldiCzxfSadlmM0LWz8hgp5yGK3ERHY7W4iHOGD3TPbh0cbpZnG0qTbn7TLQJ4SLKoHKM3F NJ8KBzjZH9xnuhn/QT/kOH7H4UnBUxs4Bt+uLr2b1wlVu3bsMD7/s2rStWIGNjUowiQugemUa3o9 p1jE3Jscnl7Qz4sopfGUEwfaTff+2aBb8PvqHXs1R40jf79Ua8Fxj3+hUmfzUOiJ/redDOLHX0pa OD8OesnsQamko+BgPO4t9+s42DqiteBdWGTaFw81ZF9Oqrz0eZPVjtMRsHaHWmAIobP0YPFVvg55 pRdk4ie8xe+nREyBgj/AzSnonB0ctaUKepjtNh9h1n3tQbjb3vimoy1Wt/ncPx10wOFsCZ0lA1TH 0ZEt4HBm9IIqYqaoltqpmhU2ItPGDNBhZL2gLhddaxTTe3Ygt/RFD/LB7Vm4EEWKk0QSyC0OxSQo KlNGzfyTuXM6CNCaLWXNbNYUjQ3LHkgVpbqRN4IxgYR6lVXrinhNNmGuzBUJ54c5R6dtZWn7JiwX +Juq0gi9JLgmXYd5YfnQ+olKOzWearyi0AALdnOQt8ArWbX/8CjSnUXAUlQ3iVlHN9nZxAk8R91R 19b0erukrOkr0ENtVAjXaH8rXVdf7gmWsilXK4qAfQgTfapYfPCqnta99Fp8osscJRg2pgQgDNtE is6ZTR1Yg+2PPX7vR8vVkV1RMM8Rd8gDr9KF23DWOjXTIPLYgqYjDUXdlZhO1s4af/LwQwCe2u6K 9za9yiHFYJiMMBci9+SsmhfnLYbgsTn6ZBwSCfLWS8B33BmDUs5dYHYIlhgXcSP+x3eBSwhdpcPZ g3nV0T6F35TJ8WamDx315ifVOKZGOsZPs2JTTwxzlOMN3Q55oinLsZvo94nuQ0B/T03I+bH5QWcu fOv7mIaNQurtwmna7sWNAB67p/lxrF2+YxKUf2lrpOd3ohVjLwOxqMUm9xfCT0Ext7kzVsiDNBLW MWiwmrh8hlYm/BBL9+iScmjGSeqvdCg0D3gJRyVCAP7CpQcth928YB5fb5rYXXFzRqwNz7HGSteg M7ZDFuIUeI4hZLm9UNYctwHcZB8ZevAf2PFJ0jlHi8GH58/apTFuoHjwikwZVGrtjBGrWXDXiOPX VS1zBgine6i4c44wR1ISJpaMJzIe+Qr98XApSmkyOtud2iKTvkYStwgFiCrYNF1Uu6ryBAWRh320 i0GPN3I2fW1jW+s+DJK0p8Q0Qum9UHR2qPxJhwxfDdCoK8IMQYoyYcTnt4zeNdT2cxRm4Im6mAUI 8VkWc2b9Pg0iTwt4yeogAVxd3RaqB7SccACRBsriyUWcs12ycPvw1ZGKvM1fq+vROL4FH8GNsl+T DT9bbBzFgy6dspcBQvsO4nq1WhkCFq6fZTEvc7B3RYqCKFAApB91vbkzj6Rc3MgjUmkwYUtIFWm+ LTWMgcAMoO/OtIG++nVR5z/Qms+7ELn4SExRt11CdOouQkR+8ZapAAgSji1qEfPkRAxq97MrYowQ nwXhRlOC50cGQlSv2pu+8WsiIhISid73UKeEX5CH1np/WM2ycl/XFGLjNpnwLMf8h1s8Ns1wU2bN aIzwg+aF9nT1HnN7I6tTcJDJN9Mfvw4/yRyy9UV5IvcY47pdpM8FpNbq3/5FzOV4CDAnTtOd8KjO OUWtmacabMLNeq2dEp0Lnvu8lh7NqYRQaqcN/QftjpjF44qYuzpuGU6a97YMMXwMcNHx9m1+aSrt 1aWqa9lHwb8JW93r9CyF8p75YfYoMQuKJ4JsXVwwG4Y3qjernL9B4/ahTTfy+0nUrrD4L0yo9oUK 4twkAPegfWKAbI+oAnt5oQWVUIPUWIWRSaLHBEpcAjoBCJpdphipGAelkHPlsEgLNDQYXtGaIoFu mlZ09SNKE/VcnHecnFxEagdmilqBvlXS5coOMBOt2I5ACYTfXnzQdlj9Rdub0pwfiUzKWLO0tjsR hSG0mkK1/Ke6omQ0FbZsLE0lbwRahgx9MwO0AA6U8QLl2aPQ99LA4GBebtTw1qRvj/19p7IezWo5 9+SwOIoD0DHTxqqRnaSxQU+Pn5DRigyWxWX3arXEjlJXakMYi2NEMtyZ4PPPSWQz54s2jigQCVmi xlKS5ueFEStEqXP4BmVpJMPk2Q0QUwMeKIrT8v/XNOqCjTmqZ6UH1RCikC7WOiSojjtwTXnsDroU G4P4Eu5zhzf7EXS4uh6IalEZaCSkKG9gaUL6UTc3V0qHRUHl/0jMSkV2X6I4ghMIFmCTL/VbKPpl DILUNkgRTxAQkFs1KlFe/P06SpjK/vYT7FAOw82yx+nZQSMxoIKNW8RCvkLe2LIJgZRONwvCbKWC MpL1M65puTfhz79rXr/gL3/ouyeXOimcUBiHn9uOHePolmzESY8pn1U0oUVYF0RawqByOB8jEbK+ R1dZ+mKx0YKNNQmxNPBZOaZLPp6s3pftbB1sG2NA+N3XrXLVwwUu+f7kJPYZnHutt/z7LLx5Rq5b iu+LIPZIYlCDROFu+l8cMPu70S1+97T48XDXwdZ2gADG6RC/1ujGE192UAF88VDUm6mwsFmBGvdR Lxp+PBa8pMFGCIsSmUECxxvM2CdljXo5n4NkMl88d7YX2OXdNxjQXvMRWzP9hlA/xK+l4RNy3GHm IBgOoH1g8mqs54IOKuSnR7KnaRJqKzWljBryqFUx2GXb9wws3uBfLxy1BRiSR7U8k8Eh/DoeNYrw SmdjHS75hoWgFhbg9xqEA+5HGdn+KfqnsGQp+WwH8BEHZbMclF2qmEK0W0fCjRtCQoQup5GxzOYI NpoQ21FCZVIibK0XBmoRZ/w1tItY4F8bZ9lUAT1jgqcOcUeoGHaBfSLJAdYBGPeAjcNCrXv1lYcm Ko8nH0RUoqhk4EhvzqxEs20Hrw0+PKstdrsypUCnGOfIGkFgSuD9jPKrGqAR+l/M4l/bfgOAWVe4 QsSV2lKhaHAnSISyaSlDe0Hikb2ivielxJOBxZ8G99lN8MemH8Ov4ZVHqZGE2k5HwU/7hv3ksz3n MODqO0TjtIC+vG4V0vaX+7lDEyP7UsfZgOgwmaEBOV8Dd/cZ7ecDD7bP5YaH5XY+1bR73hAG2YkY GfnMrTZYRdUGkYlUv1Qtl2C5FkNrJcAvD9qfrN6tqrErg7stwR/C+0xylBpoKZsDxpwu8E9zmFEE jNNE1ZdgvaF/v3sNILYKsz31RKFXTYxz9ibq1Ed0VXgF+5oikhobuuc76s2z/U09BYdU0b3KUfwC UWu/fy8CrO1p1JC4hA4V9qT+UamIvo4jQsUhk+e6RduB2ZAo5ee0sIG3FEPRTNOWDe8IwkEiDMdU HPBiUB2xb48YyzwWgBviHugKQE15VAkDh9ddudJdZSHmUV3uEMSqQZT+BFbGrF54WWXJYe0c6z4d mdcGk/W6t8nLcJAoJZKKBri+I1vS6Z6EqGWuvPTtopHuxGtWTLTlEcqsLaO7Bs/WN/FS2k6u+/Y6 zInBBz481XKyu0SW4uoYnZs3hWBcIUeYt/wfFp+ckDE37zwsZpe2KJrRy7SOMjHff+f+W0yr1h8z 33tZxIitCaEO24oLxx3S4ZEVStsH1f5ZF+F6aFsYo0NPBrUXj1YCnFXQKfA+I+gNoRPNBN5TkLzJ Z0MKXvoTBxTHKTs/eAdWdO4/CcFGcK9cIO/v4PEYjJYbMt+pYn610+QftnxjX1llYvJdO6k/VPTm YogoIVM0HXDh9aL6I1rTjeUub6FgGN7KYkTbqzkEyQAbKxn/whYtY6LBY90CAnFjvJUXSCK19wcU S7t4RexXq9vhJH+FhdwUjpCpW7S2HykzKuCiAzoA+vQteEyrErlzIAzGhWKhfB3nmzAoYciplUIX p1nUlQdDsI0/Za/VM5TfqWFcvahdCKcRgS5KWwqMYwBQVi8Ug7eGbFWfUMXlKRkd+MOUZHPdBbOS zMIh9EijXj0uJi/pgsgTc5HCrc6ovFrLk1gO7lsk4XnPnSfhqa4dMGjWf+Id6QQovaqllj9Ce+f4 vaWmIWMVIyUETEm9SJQkgdeR1b5fpqLxY0L3VdBZG1Ffi2p+Osz2OmUb5IGhZx9Upkq8ySFdPu8w zuNCKdkiu2RjgaU2t+XPZ8S1EGzbICH1xeZppPxlIMrko0+bfVa87KPaFq1dMaqhTZLx0te+mtzr 9x0ky3In/dyie5UmeNqgOe24Usm203fqdMU/3wOzJ/pQJY5byO2hJFRYusIgwQAH2P+GQUlNE/L5 fZoguuVJQehHzmi1T6nib6OCyG1ETrklVbnOgEJrDBxkUSuS+V66x1H7jfniRpmbFgZHoUGIZHpY 6wvfFNErY/Cp3F5lun9f0BlRRTZAVOz9mGKv5WLrmTOm2l64zX6KSnfVIb1tvMS9K8C1vEuZevY8 2157EF+A4nOCFvwMjlzs1LrZoM2HyfK4nVW9lj6gJHfdmEh1FFig9cXcYGtrdpXunkxA/yNo78Ma 5hQT6k420vrENe2BbCYZ53G4yDprspA+y0Hkz8XYzlNUKeRYtncghSRM3xa99mRnHTcKQ9xUfiGv 5zlZnmoevpHLkDxtf9QG6mGa0SvIokyjggGUcYej9FuXANA/LLd9x6PzehoBdAufHPobcVKregzt +//OahhNW1jWN/PE5Vew7ZGMF9g+TvpXGhGr/NLvBOT2wROx5dkJJXqQfwYe0GGa2NvnsYEDHMeD GTvpWvQyySrp0vWHDgUptDjzCIhpsOm8OFI+BKyih31eNjc6D0/N2xfx/k/GR/WuCxUtDZToOmlW k0q02aTGYpgiJIlnljblMSBX+ZTOY9ZvYpFrT+f9hxUFbICHJ8DZWx3QHPOUohn4jgZMG19UU65b askS4UgbekfubMFhkD9pZ4UVA98qgFAYG+ZIwKXli/g3YCzrSZye3ZUnljmU977e/wLl7BS1eDVm XrqAeKLjhYfs1PppMUTT8atd0wchMJ+EZ5VT7/n7cecSbBZ3C0GVP1ImiW9XAisIJJ6OJCH/f3eF GytifmaX0VqS37I6G7h0Y6vimEcyBZ3ir3v5PnPwdmLA6D3+rU+5pWc9Ci3cbl88YQol7NQmGxbR V8CllNk6yvHUZz0tAGRmL5XcXbjDJHeNxSF0rEgbnbdOlphb+pBrirprq6ZWBDTWOjtn+uOST+C7 lecyD4KqLATaVfs5yS/D1BnC8wqbRWuOLM3Oyg9NiZIzSKq9A1fqSzjUyo6iMTcnsuAfFlgCqsAH 6BiZK8lQFb+JPqGTrtyL2mRSDdrtqysYlLeYBrf7K9ZmpdnbbM0RMLAo+QV6orzpJDA+uTYHCgG0 /lgm5W08bDPwv9QPeqzf0WDShIkrVEbEdFK55+6/VGuzasGky34Cl1+pyLKiKNH21yUH4YRjLkAp zVZJ2/ntDFRXbnnG+lmp6HM45Y1Ifr0NK1Q9yZ5sSZ6Asb/AwSIaShkwJ+2UM1QfIiEzNsHoqmue n8yq3Kn1SQ0mb1iAKDZ81oAy9m2LCqgTW8K9C3fTQE7KiB2tP/HlunYvMf568dDxBUW+IJLn2oeA fBtl5UVK1047+L9rTbafpaDk1S8Q0xyBgrvFAtFns/mT9LXoU2Sc+uGJRInfcaAI20Gv64ooPgy3 8p8lReVhhfR3zTJpAZ0PT5dckukh9E0lDI8GCaRDnGRT4v0jTMH2RoPai+ZQqF1YgPEGJiaw6HCh 2FeNslur9+magwWGgeHxXnKKfDj67qhqGzva9RqD12LWCcbNFzZnirkNuEDBdpf+A+jpV3j3auAH Yyj0HHabmUjT8ekiG/KKF5HC4q6tyU1jfW8Xaki7E/4/YfAFvZNROBAwte+IA8UxOKUeW48ND0vm aZF7SD3HXReS5VOxAZuHEbuQ0S/xlCj/OKD1kdDk0989b4AkjLVr6/5FJhTNmUiFqSeL67lNO/bs kTQ0n4gVPU8Z5XIAKJplpLwa49LX1Eov/RNZFrm5Ab1Y9XPzS6of7fyjkbLKOibjw+NH7wW4MVT9 92b6IA/oniB0sPfEPD0ZDzfKWXseXzYYh2SGLegaI6L1XFn1LNBmHg5ugo8MxJsF2wZox3oTAAkT EwREsPUe1ocSiEVkaWMrZYOzu4lxNAS63kEKm6ysg5LYwdEzeS9KTTf3RQaJNhyhiDFIwfJl0X70 8YMNJBBdsmMqM7dp6/Np09f5ptGZP9nZ0pegXhkdL4sbXAD7DXtbEBNwAqFIBa/PBiDsfcRy3HWa wNWNTMvVCD58FZ4yxxV1Cabyers2oFMl3yhTws0Ig/pvJBXJYc886sN80tG4y7BNREXCvUVUSxLP wGdOJYhFGx5h0OEkV4FMcL2P2c8vxdraqaHjB8FX/vVl6Ay7AU8hjY/6t9ejAAK5JPN3J6vo2Sz0 2aJy1ideicO22stn9diyPCvp8nCJk1qaRGTvqA7eXZ7TBARNNNninzAIHg+QfDKMt9GI0t0ytrPa Cv7p+TBaJx1BE5oX43MUj8suJSSbkYSF2O0Fs2Fil6pgE498/dU8Mz0UhdLK9d6t5svI+02roqoP 6O9QDMd10YyKBUi64HMOpvYR6/5fcg7YOPCX0zLRpDn8HoeU4I/GOZWODNLioRmB6wUCL6ty8bUP af4U5vGR20r+cAlaW6+1ObQXeC7Gu8ycwm/HJZfg+DBAMV7pieM6KMhYpO62lbmsG2Ao5LMOkxL+ lk2+AgscdNVj7YsljAIbFxv+vttZ6U6nhm/euft3AnuhEpWfbcyzQLfQmTGpz+KseBparCGOWU81 3xsXOcgnhLMM3Uj8DOOVA2tKgkHD57jm5PkgzzzmGdYBri7B2kuPmL5FuThHy43dbn+h5fqHBUWu xbMFsc07mTG1tMIz1yOeR5Ccoav24bMe9dnDa504wXGz3DG9O8C0AzrWpS1qKXdLU5wJ1WzFO4sK gDin41jNafFKGvHb8s1uXKGaPT5pYLCnWNht4xsRWTeOSG7E1J2sh57b07q+XpEeN91KfQ9Yj84v TyH/s8BKYoDhSJ7OVrtdctOG2BC1WnW/CqiG+kUi2xpkeSN5oxZp8lMWC+lM5PavYEuKRIvNwU2g Z9+/SgsacXP1CKIGzsuy1DRk0bnWfQUaPHY1WIWnGUhfQPG90xwqn1QpjgExyGcSQsJI65HiF/e5 auV7ygSfh9RRVuI3JJ8GZEniaP2EcUxFZisLtBgir3ANxvF1xpL53wuIeMhaTogxomig4psxm3f3 R1eD/yO9xVZsDlXCRBLA/h2HIeTXq0711Vk76Yo2lN8CZ2j5IQ3qV5Aml5RauPWz+dUjxvCd/aBR 4tH9BgcVvxI2UsmtSVaaZx1XOArRU1VTMPdxRy/hUGI+oImtIRsFfwG/oZjHoDRT7xvVeh5y2dYx FD9qJEyX/JTYo4s7P6esCW9Pf4fYv+YjbGP/uZVfm5rDMvJVR/0byjbOniEC+ET4VDxRQuJWV2Yi JeAGNww51j+kRC86vJKu0grxXM6j70cUVb+6zSSqP1tcf34PDCgT5bspqzdvWAm0JV+bn/KAC6vL L/rEV8GXV/6V238HzlB6cLOk84pgmJw34dD2mv1edGaVlCMkIZmo4iFz7RlvkiNj4xp71z9ftakN q76J5npsF2Z5I+85K2Ompdk5IIAATtTj5aTQ1rZRN/875xEGcb/K51jpIdHnziSkval4U/IivB2w QRR7piYAdlwHkQ5UxksZ1c9cb1TYPIPof3j38PnXFIL1LtkuCpXeF7klAix9J76QxBA+Rf/lMG1K OWe9ZIhth2mW1cEYauLUSzd0j5KipjUu6OaCgBDQwHduO6SBwv92uEAhsPVDR5W4jh3dyRJeUllJ nJ9RTZfdxAvF+gJtKZayhdGJtGirYzSnNlpwPd3i14UGHJiOZKyA318MGNErqbDklv96OyxSgFV6 +sn2VxydnBq0hZelGenqV6vwIUiIJy0NGGKw5rdZtVqWcUnZXngtSQLK/qw9DaXPerudGckXcWTI csout1mECkFC0Hcx23N0BjHU+kNtYEQo5kAyfWCABVVYDvnN7oSSbeydUdroonwmMY5Z8eIT/oQI yzy1cFB1A3FKay3YRoq3qTF09myy+VrrmNKcqb58dhsLkhAhkF+iIKHXtbSaKGtI7G77kYHhWGwU EVnQEH4uADda6feEQqsOYRjy98u5gzmIS/gCpntkj43vg7o8Zzd2M4U4ELZDfUy2LYYluwyS4vME paydZU/ePsnIN11K7RWK/RWkzRpwKFQr5KaEh7/lqrAm+d+4CjWf1oSRvi1cQygKDLOvbILrK57h 3W2xdDiQnnmvCQeTRmfNQ46XxT8V7ZjtfSK4iDnxm/2/IHlwX1/lr4Wr5aiIy6NQTh63nvleIejw 9Dn5Uh3ZOIOzqvM0n5t65CDeJ1b41aaYUZsWGVrVbH0UHg+5d1RxsI5mxySvAdS1qZ/9qZZlJlwQ n6f19cQxGan4dOFRfQSGWNdkd4AxkrGmtPdUWPWZYULt7mV1xa0SHxBt9rLefJ9ULwhDyi94T7wI cgKlOTMDgFU/Syn+gAApnvpuS54ZlaJ6i8uYcpyxu7tJsMAw4FXj1B6GUxyNUb6v+ycfEuahF3sF 3alffFbnVY2PDheADpXt3Wkv5FyfPV/AJbMKExG2aYC4D9UysF7L8vWcqp5Nqv9kh2QRc7mS2pVs Hddp54DC1M1jtVLwknHib52dZlqLxpD44GOR/EmhMf/M9YnWy38UYPnhddFLMLahv3x93pL3fr1g WuhUEx8yzfIzSq0syJOE8Ug7UoBR0VNasjmfnJ9XCmYUoopC2aQ808MrPYojjYjuy7i9jq0BXMrU u4HGhu5W6u+cI4GmYZP7M2HTUbp2xIjJkVQ3NRDNbUVi9jbqhICpujaY5nce+tCzMhQ3sybEPCfK nezl7yMr7lkjYOuiWusWidMzIsOMJYK4JMZDcKbBXsUtfiYsaMExFnlq7vLPqjfNrGYssUXkstZy 1OY74fA6ULRSjSaowjjiifFrd74X/+7BnZz05CVUnM0RmBpoqzgKQcOgXv20GEB6kwSZ4anuahfA //adtm2huyBp0Ka9JE3fkurnf7RfzzetSd1hfa0C2PCgNHIQuEzgUBgj1kqkWHAXSrkFpPsA8CNq Oox+/IPGWquh7Fib/0Tt3zJg5tNjP4S+Aqv/PTlJvpmXzBLVcd9CxIlpGvwVoaPInfZj3Qfue35e gyH7CWSRLUKlQEIYUtsYAI2rXEyP7UlVx8L/lnDTO2/tU8aViLd3tXx2/IB+0FSVzY37rgELzACr hY+I6SUlE03R64ZqJ81UDSHi9fSO+tSjFr7saVAYHfjtbHSN/HsMMoMeSqbC6F+eLZEuPFz6VHbc y+wh7fVkiOCrhowyH4qp8RZBzfnS28Ptw8IbSax+neQwoxIWeVUF2IQKOMB7AsiUE2qX/y8kOh4G sLdYllYIJVPFadFkpwTDSPgfYnhAtKv2b/WGsYAHu7SEfPqh8AbGELTyKUDnHMXd2sqdXkxGCh7l kkyntP00TPIfSXmEMSUe8SWLwYjysLictPH7ix9ZApVNI7TukrzjZpturGi/TSFhKqsBdJX2S43B 1qdCA/hse0uSLaMveEGQkuaI/dWBceOyshFgLE5Ly9/lGDH7jGEpx2f1pD0X+9YUfuH2l9ktFYGq hgg6NCTpVHo9K8x7g6NaRZ7H+ufD688uSJO/9mq2OC1xBX566dyB7I+lcZi/wf9KXcctE9Go1DVi Caq8uiX6kI0gUotZndURPoy79xIKZeMtK0Y2W+3URNgWxE23Dbf5h8M1t8Abw+fvBDifVthNZWUL 7E8pMWwp0OuOKVvSLqctKlL9Nhi2nI72gHqy3P4Ie96B2D7HbAXYuKOJaSqssWYuThWGL8BkrPJd DmUSdLF5thknXfov8DLqxf7Ry08uifeCw+kYHxbNPvii528OU8iWjDKyfnaJE/YljhC9tFSh3PiF IuG42CYqXPMF5xdHwzzfTrPAIfAbuKLYGyvqfTHYZk8om4QZOydMHeqO6FpjU+WAsrsYaJROyyEh PoQP0xXvVIx5mczUVGakKzDt6B2o95y64+XV+ygFbDAN/GOZjECMN2DOvd7rms54eeEcVOKp1QfH nok4L6+zo6wZW0x8Vb9to2rhFnuqccU8kJqi1h5e/CDWd62E1OFUljpHmqZnPk0gWxTHQfUsuYJZ EHvvGFJAi/Clb+NbTMVBtsfg+iIEbqQ0uSB/V35e1NSyK9FljfnL9t1+efHrR2ak4clZWxQMZWMX /hNfq3UGhDzaFyTGK6kgpMqhR94NuFGKnP0Hh+P3AqTuontsKfuGjT80HGmM/+60nGNtASHjIZQV wjCtTxBTpX97N/ws3Au+ehy2UaloKFC1sdh3gfb1aoVaRiXZ/5iLFiFDqF9XwJpzU5zL8S0e2cne BwJkc0trtxfySw9O7+tJJEneeOQhavUkXZcoHtDE4OJrrceraO5cswqNfY1qoJ7jY0PYZqfr4j6a gwZFNJQy1czDPt5VAEb9ce2vchx4z7daHiuMKtbwArEnVQ6uwGSdpgxZ+DOZ1qcWFw4AebeyyDJp SEDS/eNQ3X3ZIaFtqm87y5LTgUYLg0/Pg9zhddVi9TEoFTbU4bULHjU9mp4rvS7JLqb7JMu0yico z1XO+UpVLasKVkO48SpARh/EZB2AC5GAq1S4WwlhRhP51YfwR+iYIXmwJEn7DW0IlUxhXUIK7z0a 7LgwVfSj7UqFpBfF7Q0b5jq6ps0fz2wkdIHdewhYN6uMsJ8wpeo/C4D0OM5xfIj1RRdOows7vv3r WlNGUd5OIiUt/0k7zvWnPSNNw/pzfByH0bFSg2BL0eWl5iOC65KoxX0W3oWYL3wytx8Wq869VEaQ W+eqXB1cooIwLji1PX9gLmDsHqC1p2lgxB88hZTsZCDdKhkiZrQTwrUG7B4C/emA4yKPpab6/KLV jA8bte80uCr7XtTybUYPhT6cSelvymJfo7cVuyx9vteaStG/rN0RVe4q6lQy8KBNujebXjJlYQmW tUIDxOnutOfBtufx7485VWAJF3pefRdg+Mv9VCamE+IFt6TY6wSc1uefcn1ylXM0IYacY5IRrs1U yZTtjznlOxV4w9J0ntXu1T7A63wuyIs6HL95Hpq6VUBcVgssRJ92zw30H+QTacaiJYzcDCxXObGW U8jjiTTVXwrM4afsojEO0f+kqAPfWjnycMk/7N4M4Z4MiS4kwUfc0aSM8rU7CNabRCGGPP3e+AIh guV08Chatz4wHq5mhkV+gX1H9oWQf0fix7RfHj1bOSBcSHQz065vUBZNmL0ooCdzEjnvVshaB0pa ZDzm2jeLexC6Iqx1zugV8fvFIQDz6iDKAaC5HI5LUxCD7qPhmRzFAoYLUFRdpCwepHciKE3eFqYw dC7tvSPQ3lsEb49zZWZo6885f/Y+SmodcpmvQn3lYcIK6CTohUuJZmUEIz+rb9Ew/4OyYOvM+vbF 2oYUuAjnMASdUaLzKqwCUA3rvyXWg8tg2s0RU1rmtva/1iaOxdmA9Gi+6oC3iZvjXNuvzgWrysb7 g4RiDP2L/9AjnYPUkW3mNIrRwhoyesxyDMlNx5aKBm1w90O8hSErxaIvXxTGJ7jlXrU93X6CAut4 TPpWI1BqFHqtO7/cWLvOjlTG/387lX+7hU8fO6+cYmr7JLPIihZu1aoCYtN8nFoU4Z682qWSDU2U JWP9HnU+4Xxqc60y1TbcWfpk8rqar+Ep6f/1lVAk0SutWUMTpmWuHsJKB05NRAcgtdTAyFqpA/rV uuGzELXVMjkm+BLC3NwkBuUddxe0Vo9LeLd4b0w/MctcuPbBUC0r5mphMvbDxPyseQXHlMfSEdEa K3RE1b4HBC0ww2Tf04JTzIUInYpzkx2oOAVMkxOkvcg6CzzOTtmr7snB4oD2piDmNQhDcAhgSlYy M3UZN4br2w73LjfTlUB1JGvIo8xK1s/5wqC2e0rt3+ERfrKBkxcmEEEYrP+8tg6aUSwYYB5Pbbyi Srf88ul6wNSL+CwqPBUAPaYBqqGeChoDIPEOlQAIlFXiiJLV0SwXpPmJ0xjLMYtn2hsLt9sSzP9l c9u7iCjiuG/dRkLnMueSqWRWoHhfRdwxAwTUO3a6Qni5nRc19gwKhFDLJ+tZlq53DCeBKdmtKqXd uOUDEZlYugr751ubvB4yyjowrrWMXySwIVYYRwfZDF/kIJQwXypmaA/uBp8BA4bZ8QHuDRJhqAwg gua523Ov3aFVSDjPExIoaoqXFwTl1/OiPaYsqvSvZ7NCYjKsQ/CiU1wntfYd40j8+KOIGNclJDSK phHl88YGFtmQ1t8rQjOS+o/DC0Ypy5WkrQBv8BDnfmw9R7T5KpfZiPppd5VA85h/FRJJZH3IXp// b5VWC8NB6yx2yj0/oH+2xEtQQi240nRqW+SNb+cLpp1AIGjArvIijq8hO9BSPq5bjSmA+xjCmW9t buNr2+bbPedQKtIs81UZZN0fL/z7NeNUoxnUAAxlYEZJxwu45m0L7Jb46TkyF+wukzhcfSUCVosZ WsQX/Fjh30xS7xDI+CH+oJzstgTcMdx5hIVjoDHrYqfLEU7rKEePoKXsU8rBu9xFvX9+gi3sJXzK NmSW27rgX6fNmZPwF4nb2yc4LijZTLSWvO/BEKvpxYbP7R8gUUpWeNuevD0xQmZ9mUKdx/0cC43P VpbN7xZZbwLg/MiWOvUFAG3v0Vr8N7d5n4J56dfdplgUEqQyQ/ujplphUQ4I7vQwCkbpv9OrD8Dh 8q3gP9ksnQqc2YPmIcGMay+AvPjjHlgtEyIqXGdE5T0v2i6qVIPdqV/okc1wKOUmNxRVPHuqoHl2 Zpx5g3nsMVcguOslocEnF4lopBusvQuB8RLFevvsoe6PHD051wutkRZ8EhaXfSGNJ68RAlVO4QnR Ll6CV5nng0eyJDQP3NA8N7l4gNPY0g4dzEpcrKtt0xQo4LNqimRHa/XP3dCZlAqDVA04uROBEgrJ za5JDLdfaB+n7poQ5ivB+0hNk49JpwHkSFXISyMXcTKVLMmQ50tNKBiQK/CP1EdNR+1v7xNASI0S IT6O/Xb4PpBYIYESvGF5tPFkWoMQsL6VkI0eblhdpSbJxkgrvsoE7/zfGdBYG53w+0TKwXJjmB+V S+Iuq5iRlDrsVS1OUfiCc6YZzvPibCk5dzIBN/X793kRvLRy3Q3gnAZlpxLyL7dlVeez73y5QSLY foDFNd7OJkg7DAB+fEmK4KQfAvV4W2B24SZ+E8Or9KxTK/Yo1wIp7bHTOmfC5/dzbsU6ARkPonhl mZi35+t4Zp1zxV5u+9auYF+NM9MLoqETXN8f0S+PYPL7AjTe9BZ4vJVE2+OloqkmkrMWiZn7sdli paqmFdKrrOOEXmsKcXOEWKzstVxcXqfQj3Ur0Jh6y0N5Rwbp5Oo1Dd0bzRXqoEkrFAf71zu5VDHR ey4MIT0Ta+SDQGFuvudyurgKalBcRdUh/m6KZ1dU0kg48U2OkDaBhrrY91rioCu0HVr37cVtUrAz xxE7WyQ1hTfDdJbc40GuxVPcHFqqtxxbAvzF8m1Vfs9TJQS7UbIgqONUy/5jqeNpCMPRY2kn+w/O S+mElt/5O+HBxRAsTDbNLn5yi0Uon2iu7NdWvnU7vhkNDS0ApdKpE66FevGHJ6eJS//b/st29d0m 5VQVhQ9p5G6MzfDru0I09Z5XbrRfrgTflvGT0mwBHGk8iestdZkXp61GFwrsaovIThlPeP0qNrpo 7xtntg0yahp6ubswXpJRUKYuwm0W6GT6vFPcB9vc6AjO3VpnkNjxhUCo8G3Ekg/dZsKbk6IXvJCD ze7RfQmm9vPwaGN6IXrZUdcGWH/xS8zX/+oQw5XEt/CV3KHksGjcgfHK43NRbHgNEwF5/bybC6t9 fTIv56vGowE3Q+XPdSOWmwa3ePasFwiSssIHLo678IXl2JTo3dorN+G1sssKvk39KwindffkFY3r 4rUCOJlJuprKszU4DVDnNSbQyEoNhDN7761juUbtxwsBjKLAmLzVSOhlS9fpUQnNl6r8+OcLq3Z/ /+hJIGBPFhaJmvLa6kyrwl2acmkdKlx3pgD8evCCKq7W8rp9utZ8Sh8Gbmw1s2PAh5CKC8iE98y1 8YgYgfDiGI4eQ49iqrghF2J0I6TVJ35p8I0m6iVTunRJxq3wpHsp4e4bXNiALFpomJE/AvV3lwjI a+828eNMJkPZcn0iIBW0Ee4vA0pO3xtgiBfI/qVvOUKmdmMqBL5JK3AgeeJteJK58lnnOaoOx6/5 GgIAgXy2vk7KL3YAA6DNDEl8b7td9k6ixJh97y0JBR58jJ8fJmYdt3zJqPvvYbPsus9Ns1hCsl4U KnAjgvaZbI5B+ccPz8x0ya0px946y4kTqf7FghXCXsYIjgz9rA/S1KjsDUu5tfqSXnWMIIz8Pil8 Ll+EWGoEA54MIRkVQiGWmkkBg5VmJbRfGpDz6hXbxsb447U+FkylhL643EAzuHkiEMi7DUk4wTp+ FZRmXLpxqPaEAQrKO3Yid2vlkHtW4JdjJiqKGXkkm43saW3voKe92dObVZEdRakjtYGt4n79SYdH GdVnJOLthS/CmCA+ZG3WhNoBGVAk0ZLa8NhYgHUDpUbR1TChHnFZ4Z8OTKiBNNsGPjKZYF0+cVbA EIj8pZ04O2DpQo6tQoYn8ZPGXCHmdh35mFHMVtXFJDmNK1b9Cb8RibnTZi0Fu0+xzGM8pirDZaRS fp7yoZdv+J85MLuJ7uo1+TRXSU0jVCzlzOu5rn6qCethnyR3Vjt+TiSSIGQsJh8TSEFixgcimQI5 Iegr0iMLYc+7b61i8sq71z/lR4kbHv/p6rXg3XU7XiUU15dkBGaIZlUnKIcpn2SXou2vArvNSGiO MGZspjk0g2txrJjCAKM4ay/gKnSLYgYhnQBpGSnKbkEBi6TFTblfTfqbd1Mh/tWgExQu22MlUk5Y jA942vjZ2pK2yZEge1eCZdu4+R1HL31b/VvISxhE3Zx9YUvr2/PDsgg0pRUEBLRKWYZv6l6z/6f+ 9aCLPRe4J22T/jVndDmMfGvO8swQZ/CQhsA8+gghK3wROv2/pO0djtvxSAD6ig7+keGQ1aGyeRHE xC1m2C8wHq+xsLIFqnPW0nieEkVz1QQNq2L+b1DnEaKmN3vKLdPyXrS/HDEy1MO2ri7c4HLwP51C nFBhoqUOS9mzNiHt3MGPpZJ55IynjoAfDOi8QfcUvzKDlR9IL86ijXITI8uGzQHa7NsZt9WXz7Dj pfuzb9lPFLwYbA+KS6L6uyMXFfi/X74PPwzUMwm5it3dRqtT08H2IuYCWZ2k84EVlwM0ur3gdmki 7B4GqB8jhrX3xsB2UxfWJePJ88w37/tCRJ3XEXoJvgFLcTwSoI7li9MLjc5GBtAmKMHZaMe8bAci 1y4ZKeSaC3OrgsQ2roL84t/qEwwQ038Bb9HLWLt+fL5rL12iB67UdRcWv/FtwHFDl3SrM88Bs8B0 3NtCuEYqDKkbjEtDEviEoh8Kjh/6ofRw9yCPfyQyjvJ3KiUT+hyCdMyp0k9OVgz6A2fT3re+lyK0 OeSZuOpNCBfmiqeaJvEHj0MC4/RkTMMHI7CGxLCUnvp1lR29JPV5DwaZFREyFE55yD3ep4AsmEPX LQzDF3pFZqUycBvpV2gadELiYGvBUBWEhZYLwBTauH4OXlLL7YRGQR6UHUUYIsXpCIGGdJu/YIvn BPEpSH7f7DWjW8Yus9UVUJaFSG7sq2LhBNDUiBSoTmpHUeWLCM4WyxgW22KRAnrV6HIeF7xeZ/P6 guQ28syoaIPODOhTth5K/2uaXQtzgZ0mtegTXaGgwp3IAqdS0oqgY0h6SoD6AoVx0mYqjNHNwj6R RON5e25Ga7ugsXCrn4cdaaHrJJnK2CsUfNh8cI4JWUE28WXFUsZADZANjoK93Ti9JAeO/Sgex6Qq cLiCjY193t4wAS6EqPfuHsubJun35sD+RAAXfBVp5He7kz6wht7YcMvVh5CZs6OqEhTu6T9NAVyc TDae98cecNvFMD8hS6D2ONwLiLjGjBfQkdEcC/OS40gWbLQ1+2XxU606O+V6iPQ0+Kfcpl69eS0s dfqE+JsDkCRnv6kroBsn/JXKooI6/85nCTTg+I19AbCuQgZosbbQEtGUA4zUy/GPWNiMDHBK6Fq9 uIKrrSHnQvTmp8FHX3AM9Sfp4Hd+FxU8r6O/e1nRp7l7+zzhD2cRfZ+E/SZOlpWVTcZ+ZbDaspdN TCvWFnalw3L5hA7K6UYdMb3QzAQavNBLx1CKjciMUWqrFnaBHbV8mvDCJBAwrpR8iuiFIgypY89q Z5K9u0rtPUoTIObVHQV8SS4JKRWCZ0rME0KD4dnprbGs2qcRoUEqS67DjQVRc3L2qXSbWJfc/WLd kr27liS+STCLjYdQCeGVGcd80DN8ppnNEgTLulSR8sDiXCAgLx99vEnut8HpaknlckS/L3DAbfFY muedq2sB8vRRs37aQ9J46Bknu/sib+xxZTBGy7cSYPygcZ/zuApjOisn9QYnXtr2Eu5AYGgkqcX3 xD8RqU/TXD4aq/K3n06p4ojp5OrCpTdMiURaBlTcvFwzLVVNHHtgmWwkjOjCEXFaxByAUf4ceECD pdI+/LGCf/Xj97Nhpm1H/4VF2Al/gs0sBGpwWc1ezip1pbLD5tDcEQNzyK0wdXPDdG2SW3iTgz8k NgToCUEGlWBb4cPNtSyyxle4acHnzSz1UksZpokaQeoeZQxTauLNC8v7uZJEKNv+qyDSd1g8SS6Y EDHIjlHcEBVJYdhmrGBIIkKLXMLIxcvwTL9E2kdP6XNbJJHpvWZSKBhE1qpn0Ij8f1yxZlZvZu1Q sjfVG49LlMDMcpJY8li/uCI+Wo1VEtMjXkCseswvfbScfobjVFGMwwypXzGOjkKQ0yjzE6mnTvqd ffBsc15LAaedHAn2j+f4VpRGChfajiEmP1j54plt4PjSuQlARx/WFgchP7nUNgRs34O75I96OT2M Xe5iHxBh051+QqUPeiA5qMlBPoRS0n+iqGVpuybi86fEJ8hrAozEEWNe0kOtJvzhKnqCooMnuluJ 9EUTUkTT5ywx/2Goc7BNcGCe/m9b1RbiIMsmvgCesewHAO2aKP2M+oBn0/ONuVNJzysz009adifZ GE7TK39UlLLkr8xJScxq3V5PHDWpb45BlvgXGTUob6jM5EtA1L/+v9SVoaefeJRjSehUFUsIJZ0F SmBI4LgX3te8rdaON/8qKtdpwWGUkPSZbAkpFVou7688kILuhQMcp3mTVu7dA1+HoIqxzfFvnHKp tmy4wcqe7su3r8uGGLfAQSVHgs3BesJwZLrL95mgfuFfzxMSVOZAKDIad0VOcoqg3e5QajSi8HCS V8uOplfhsCnmx/7ZI5ncoNqp6WnAIaIqrQ12ceRMm0XwyAUiuRUopnKykGe75r4N2lLNMTq5yazG nNguv4XEH4loOpVkPSkkzMZhCFwJCbMCzVcdD0eSEi6uhjaueeKohgW63+ZVs6qDgWSsV1/B8VSU B4Cwl0qRKWKCFSACuNo6UpCrZbSEZhewshR8i3R+Gi6OaSq/jVstgIT6Hj2/HTT/VRGqWJsKp4Ow MhgFcyKf+zYMFh3qKdj4SIRxxn+7qtHKCg9AIWSHEbGJDVzBVYDYaYQJglJgrtvYFheu+ompUjtz VN/Os0zKVvKbdSwIkhfUsRpHB+cT+dWgxXksDaHLNt+OZYbSeeqdTtxm0hsCt2pwbC1kqaq4baaV kgnIAuuTT0JbqPpcrt4PyklUkQai+XSMgXEHrMhJzs0lviGVchV7ByGFr0t10uUjboSeGl7YZzXx 9foQTkpOXwKjuRUIAKXEGBfIioprXVdjW2SMr6dFHEdoP94JoBWYeKZ6tNBBwZcVH/dkbVtUzxGw gfAi4Oqe0FGfNWv1K3mWaf1Jbl6UkLxRAx2y24yalglNGgqadf/8NWv5RS6zx8ALf/IXVdWggdcT Xm31gA1p/SyHNoLrONkMx3Jmi2g/TfTaR2MnPaHe+4cdtvHn5BcxSyb7ATOM1H0jlVipSv3M3a2T NRcoJ1UOy+YycI5yY1SYmxxy41rxrpKmIjz3vcI6T/+2d0grEpW94pPFANhcxYSBAKiTkFliEyKx SpnKbz3Bw4m/UJzr01JjEjz0AP7SOoQF9ZtH0hcHeErgct36RTCkgqZdBE8F/tU4wlO9cBM9zuY/ Xpyo2UVHeXT+zVcJl2T1NmvRWtTgLCuy08ZqqvZMojRv2XQl7RcEbpe7QEvb3vsh6RRzkacwHUrW 1Nuf19yUQJtC0rGejt44KRJiZICWg7dJUyWR/lpWSDcZs123pZvmWEAJzvcorTJtjqd8pudbP9hk 0pfdy36MbVq3RjBViu/z0f7eHIcQPUmI/kSiIrVjF41lar79KBbQGGARk/aSrbGxHL+Y3fm/O87G mdpEkEKEG/jj66UpE15VjAIZmqLaE9pGBncByQckywyYycx+MLbAb40yNjbwlFysbk9+O3XKWUPm 82RFE0uMh+yTAzuXoaKM6dcrZqXJmTq6H8P902ZEblRcpQXTBIREd35l58I4d9Y2U+SI2shnKeSB DEmajqqnVgk7EJI9NkUVLakjaZ4VZp6saqqmX0c0Rt0v2dzXj+tdPniiC0X26OR/u0EvhlUE+hah fqwLN98TPT6bC7BZQnsS/xGVw4BbsuRaG4dHhjbZCHdkIyhP5YjOd54XZU23X8+63qY0naXzp8ZK l2QBVn1q2uWtdm25u4clqJfn5deRiZWaMeJzGIwb70x8i/GQzpsIGfSGMhxjmG85ESGRn96aDYth gFQrX7RDHG9L1VLWFZXM8XPxsveiKY04qTiBbfHShVqjIPA/l5nJHLrp9UC2grn9EpQsiUVN89uv rhWFbJKbzX3Xu3XLmQY4uQUW3W57BVexdGQQDN1k2mc4FontJN1VMve7QSNAiaE/Md60A7ZB+pF3 f3Odo9N/BO6XlpXHHBpNp5kaO3lS+lA+QyT7feW/45PFQdoaC3AZ1cAg/u0SRN7qPKZBfgZ0vfs9 ulTn7B5YbFxIGBCbF6lqxmiJNmqDLyBj+oOdaJJwLxIK+NlLHdQrlyBDPsYDuuPqjO7pwrl4O+f7 A63XS4D1PztIk4g5JL+Nl9clHRM6ufGtD6GSYvtIm7WG+3uflX0w9zbwofRRisAmb9PpBy9tk91Y kiXH2IGEpQ7M8sx7Cagh3cOKn8nBKA0P+NgpZC20twLnJqpmpzlzRrXENRcQojObKumKs4ImP84E kpzZB5pw+ZvqQ3LhGbHZhXpiS8vi86XCj161GICZA/9oAQof/Ve4IBuAhue5vH+FNpLf8xX8hZwJ JP6N5Xek3dKfGTkRu/XlGlKZ3pHX3qBZa+MPulEcvDsLop2jl95cgXxLmEBDLxfuRoNT2kJ/Ma+7 88EDGJHJKoQeJR4+rTt9wSNbwXtcZkbPYvuGQR4QV5ZzlO/XbuTbEB528CkH9P3/utFnVwksXnIN rKeO58gYhQm5wOz32LDUdqudyuxnFstYocfdpzTWfAjzixia+YEKteJ+Oy2wjUvmQICAQl8k9xX5 vAAHe/ekXAF2cmVXshIJk0A4+hyVwKg2+W9H3wtf5F4RY3D2LWGmHqJ5exUsXHdsm4ZHZRBBcGQr GkZr8I+xAfZz/Ur6WfT3X3Srm6Z61FESVdg0OP1pSXZ6uqCRkF3vSenMRWZixMPKexXDU7EdW/2W 4BpOwN4spKLJXvarIhFtFL2QNSRVimW5tUASZQHc4x39JL32+z4L3pFGjixu+RSiTlrXr5VnY9p2 m788sOiId02XS9cHlqtk1eGndHjaXuq56Sxl9oCmk+QFZKhLLDGJ/nK6/NlkGFtp8v4FxE2cGaCg ETjamn4BmiM5zg9KPkhjuI4ffvee7e1wlklMcblTO8F3BPOprcHh1Ru2hrKhXYTBtd7YRHlbRNj6 KLW3LlKRYP3T/nI5HFYJiUQc6UV9JVC3ocNkBfU6VINPBSr7Lkh0HEhSwUJ6hJCA+SX0uPjTxIdv GP2yI7ksr28PHNQRWp9Lzb8glWknqvORYuI1HUPcC9jae2wRn7VKiLNcGTx8OIcBxEoV/pvU+PZL i2Pfh8Vzx3kVdhPEsNMSPZvMZJttZ5zOrVLpHIMjPgmtkRTAbILvLuhnq8rs7f82l1fx6McsO5uj 9rXYAOSrweTESZGaJ2SayXZL8km5km5WqZvXYIE8RBhUDFL2hDpm+TFk0qNd+uK9BIfB0byRJ/9R kOuidFPoOfJwE6/LPDY5GQpL9JJM2ZrulAPh5ka50mQrqXTez957QlLjPre1R6J9LeGkly6kVdII +WukpnwBvrX3jZ/QiElmiNLt2o/I4XvWheg+qrzI6EfLnNT+BTkU4JH17kfracRVv0a553mYNoxA yuqoFWZZ1w3ikJtoHOTupXPsvpkMIVfrICwX0kBWuF6a7yHyFSKsZC9ggBPn3bP5cZUvsiOEEn0t rN5amAHkSYVZ6pwzqPch+qf8/KGJ1NafuwmqWZLYdE3XDNNhIbtCMFFL+OvpUNs59hUMhy74HqdS w8myEguX9glpWUokRjW01Jqt3Q2b4DI1WQCv8JscnxLBu4H+G3lyUG16uniQkk162CJL7Up9EtaH WzsGsZhjmYpTcF3E8YdQZ1d6/xZM9iQQvD2CG8wczFzcWupnHttvgpD5bnWP2rc2rs+QJqLTDFOR bVOGr3SouH3yC5DD1eAiNsZsXWqRBdVhchIHffhr6aGL7fsf8Pop7RHvk2YjgeFPiarFpeDjhCp2 ZjknVh6Tj0b3LcKK25CqYqDxHZ39momJWQFGoDDfaoXs4LQRwIXdZWAZT1eqTkwBaX5ClzDPwfrH BQ2FNNqBlI2ZkSMWt2uda8DV7WNEwjHbJuUXKNB9j5+G/fwubEnqpQMqKK0ayj2lKkQzw3q61wUV 6IOy1FxSHbi1I3Oi4T+kzlXkVoI+NC0y14GTFQ/AI3KszNcGWtRjbJ3FR31IIDkUGsP9kNxQvwP3 jM0Mkbed8zpm3wLZAczW9X8t09c1mNAbmYmjr5ExUX+LGzPrBvU+FVDY3TswbsAjg15k6D+yPshM Zn7nazUIJ8k3GijeqddZk0xnC8/gK0hvf1pbmn0DxltQHQEd6OU8hQetlT4LrPN3F1gZ2vvfsb5m 66YkK9BH+uI7C4RFPqvTMohGL+LD1LFVSmMsFHXs3tvGYQiu1J3PvCi7EUa/uCvsODIDcyv3BEmZ Za2qT0oJ2ynEcTU8cFUyzXFC7KXq7GPVz8oI6lq6EfbbGdsPm+uY6t6U33Q9CGybN7FjGgDfkG9g 1I54hOSTj5yX6KIuX+qri35xSwNYmkobaZqLoCiYae/LUIYUBmIRcH9ZWaBRsp0rw1zFBRh0du3O i+LO3fxrPxyQDWnQeWXIRkFUvFwBTwMYPJsvOP/bpbTup01BF7gr8X8Z7/Dk8eMn/yWqdxEdAAk4 NELtU+LcnfjsJ5XIRv933smyx8X9HOiqYS8qzRak98TWeihKV/x8IHipq/r4nP0A+Lv6xdJxa2bn Era2PhDOvi+nCZ4aROh3Z8VZa4AqhkhIKRTy2ezm994FmlSojqJPXdRBU8BWVDxzll0ckmu0mRwR 1tUqLk5VCpy8PN9FYbPx+nY8htETCrSm7s97wBjOEQi7nUGZBrE1RzkL57x6t0iyoO2P059/sBmm bEB7dHX6v3HFlk5hrIMKeYg5rN59oGvDJjhU6g4yAUcGj5Wllb/ZGuQfdHot1HLY36B0kTl21j14 PvbKqX8oi0QZLrw5AdyaWD0B9pkWjwr8+I1E5bG+f4LFgPjCEo8O9q0NHZzEQ1wCdyIHBxjZxn+M oG0UXcJE8FXw47kqhIFlk6ZSscNNgRk8qs6Ea2xmXY26mhwwUzblQjtQ5b1bMDBD33V9ricVziOt xx3UH3BWc760U4l2xM2ZnBNx+ESRjxPugeU7JREwHxcordE/pzQ2x5nx3q7Fe22xP49TZpQr10yl FomVfpUYj4P5EsFjeLBTbTyQ+jxRIlV9so/8U+dyjSVJga+FNgIMRARdiP/G5O8+3nX2IRtmTgi/ NbdvhOMoW9/lOPV5STzV+7hHVMD0GpRaOvmJr/TlcscSfIgm7uZZT+UBjDFtd3STw0lpAOEL/+PY Key9uQ/BqyXWkuXSy89su1aq9cnGcSHnMUTRkQ2BwliV5kFOHCqHuW1S3uneqoPQf+sB4W2hIba3 DfdSyeCbGopdQGggL9yBbmMb1PJxdxrgnfedVCdx6oYNyMrUvCucYTAVOmm+40pJsrRpT39STe/W eUGlRGbq7AXoJibn1OyFnQbnex+SOA+ljvT03CL9DnZ/Vxyk0JWXcQghsebKpvXY0+Y2hwP3hdK0 vQSOOOt15YEPM0tKr/BiFmjjfggeyIj+6zHD0jrxxYIJjJRDpNX0q/1DH3IC55elQ2IEXG/m5opF dER05m9S4djKUkkcnZ7JwrqXsruSxjaC96eNylPOYAQ6f9V7OkjKcAU0PluXEAcQ2/G10i2BB/tX imYnmMI3sYrZTdiecAJMF8E6F9hySRScskLVBb6lk06MWxYy5IOo55o56MTFUxteT9R/NhV0TgC9 vsforEcIeXaNNjMkWp35bh+NlXDT4x/vMF6A4BgTsMBpu/fdXI5T/gi0Q++MsGW1UIk4o5wh/cb5 OB7E1q5PkICrzZND6zWPeDF7qJEeUdXPCgTY80Ng8eQpScKQDw/BxwQSUjdfevYJ56V+eAxQkAFV 98X0m7Hfb7geodqfuceHAlkajB16OVtpDXdveUkJ1tHiJlVVSjeW9CbVYOPR48E2Lh305IB5rXlZ ury6WICEx2dkDb4rf6LW+CiZ9Hr2whA3S0HTvnlWCayN2yKBoh9SEy+TgwF33LX3jRzp5hR8HONM 9EtlS0hUs6vUgloRwHgAKDvNmAeRCBF1JVTy/xmpfqgKAQEgDwiktJZpluhd5Qf1fuuJmOLEErxE Kgi8++XiAQSFjUsbgaZ1A2o0g+npErWPg85zIBObvueCQUyJFCBWh03I3S0dgIa0a4LUq5rNQPpv PO2qpki8zvTlv9D2KuNm9J2WzBxlUR6Ww8+qFV9vIYIQNC5dYv8mNVurVnr6e7y/Gv3qna9UHDVH kp1aJ1SqkNt7Kqh6gS+2kSe44/wCeuoWuQVfrqQAHeQI8UNbFvsabZrbRjd1r0G5Ysk8lRN7yBrP BZ8DhAeSO8WkS7WFHeRpCYVJs5cfmzOpfD5mOmtYmgfM/Ke2Rcpq9toBesBLvwAjY1rWePQeizVN V+AJciVhH9nilwW0HgEeX5iLRQuddrika370fORMl6YYxY2WctRPFkh8yKCdl69TYH91cWNlg2rA 68tmAhEedVuzBvFX69wAW/xVcSA/sjH5hgFIKroDXeLkHLm3QlUNy+AkfZH9U8Xyc1EUuc5F0tkf OOikiJpVLsb2pJqU1uWP7cQP8rVFS7KWo0Y9OE18VTp58GP+JUQuuTyfM10OJT3ae9HTG8Miu1D5 +9OwXWffTYpA0+JxSSMV15H3j9pG8craPjsu3tAxOAd/D9ovmH035FbgQfzf3DFjiPdnDDFBMlJ3 TE1qn3uak4asCePG0gRL4wteluYQhOjhb61dcAaMKrju5G0ehicSs+m3Gh+TcFDxOFOE6qQK+7T7 8vBRFDD5oEwcYg9uts6KblV6U/8wDv7XbQqS2g9xTwDw8DozuEoBFpFTnMO0qNlwJzWa1EEKs4o7 L8XCM6K9KUO/WpC1010m3I1SJa2tEgW/cGhdSflzMqvqSrSaWClQzLJY/Jd5gtmHxXtMeUR5qCmw VeR2OIw6ADATy16coMFKjU0+ZxBzcvrYuaYRVX8QLz3+ASj29ptjlbX08q9UqwURnPPSrjYBx4fp BHcFwk1r7OLZn7wEOkV0aNXQCKwIhQ5m1P2e4GRVUGAnFMLrmAFoJZVnWrFdwNICtthxsi9IcfDa ScFBv9WjmiNgIcazJLaAfG5HpzNoC3z78ctktgzRffd1UPnabZ8LpgDSqS1V61qW5EZzyc60mtbt KbJx8h+OYXJt0c8KDzGPuLh4zpCSoi0/GMyNbdJBsPvIjAmJi08NIvpvBMLhOJ/37s3NEuasb5jE ipNqTDXR7f6MFroMwy4s6SvAzY5Z8KP0pRjMsfcouioGsVm6X8N9ZTefvt6aOwWRvDdh0pBFPxbe SPY7dUnInOXysroELj1gl7uOBux16sYpEg/l2tZNFlVt6KAVC7PTIg6B1PliFjbGpozcQ/uBdLsl q6fohino9SG/YqpUi8h73Mb5fytq/FI5QlmkNK2EAxBwIAG2wYJ9lB44lxO0qFSaq0ogZGaDyxao 3tdGWKY/HlzzB3QDvdagteQjywH0/GNvC9ubhC0jwFKJXbVgu11VMiv29wOf2Wn+ceSd74D/3ctv 28mA3WRMUDM7syE17fmGwpfWgGbdRS5ev/qzg3yLZWonoQLlly8R2Nn3lSCtoNq0U37nJWrdFwxS EHDlrpEbBjjIKeTdG4OGSYJ04zhfZ7hMJNIknEYedXansCL8JUoBPOSBLwQ7b1nw6zBD9RUIp1Zn Dsa/ZrERTlCtovYdJFzh010nk7auivAc0DsoVtUQvsGLF17RWzgvt0THDOF1EMxGl0b7ZURf9pGN tImsB4RLxTyMlao2vdMwCugtQ4R0VdjSrGF8w8zkrw+p2RXnSRKaTdc86TZvNUeHob5wVOzLLCAW Rr9bwGqrua2YfWitjLEhDD9r0eHdvW8N4IIFKzITSVXPgNwYt0fid7Zb62ZoALH2zS8rKEBs6yLF E9dO+eSKzTIGZfNwqNfIMim/xEfPCrnqY+B6uTCJXkcrGo2Wjzx4vwZTBhOlIN/PH6FNpHuar13f qyCBkdtE4m3pRN6LWk6lSsd8G13VPAZmwGUvUyA8j0l4D8j4X9Gyer3phyC9x935ldIKMZYryQUJ AB8rkXD04jaJHyA8oe+c+BlfgztL2+bg6R/O2JHGCUgMeinPm2rJDpBoL7YL6qufuSnDf8M/HKh+ /RHkSXzEoTb3F3CqRbRgjoI5ZRIoNcB7nbw4PSFLM+gK1aMBQwkk7ik+RnCGS63Yaee+4dU1VrYU Qd6Ga+drJOIdqvaP0EIfXVr3ub1vONLuSCe/V6L62VV49nYf/CF1NywTnSIEr8++eUe7x5q+n3rM ghQDfnz7loOG+xpbgnDzgi/zyW8T4d5m2nT635tmk5VValK7soPu+7jq84JYCE4Xs6gNl2YGkDh+ MNSq4IQQUPhl+Lhn4LPvShpG4/44PDMdHPcj/A/pdyPsHqU56km99ibyarvH1tqzRteh7mKouOtN tWDkBBi5SP3H3vbqjlmZYWzc743Tq1RfCgFqD5bjYlHdpnXjabiFgrSyzixLNvqbgT1LPJcI/NZV bkbDqBkx9XyFn5AfW0AdDnYpYyTRffulIP0hnh/P8pKukGjIcGC7PfemJVHJTfKBP+pLlztZH7xf M/B9v7oZ3NtS5KXzrH6ZhJUMPlNGs5F/Jshdfge/GEUBRt4FLEPXg1ZkEBTjW8nm1EXHK5Rkk3Ov gZvN6Yv8kTJzxRiI0fU8FAxzzsBYyHfx9H8S1C6QtVYcJoKUbTNUsfaXeKDr//jmHZX/p2DE2y0C 1actXBYLKb+Ak7wRzqgyNqa7wa0mio/OfYELUl2HjS9LSesfSDxRlPqsYO+/bnSLNDYLZcmc1DMR ZPHxXlhsp4BPp2IvV6FCYA0RNC/53buY7oFSO3oN3eucxWadPg9mEcQ9zPSq0L0iSdr4IxBfq2QQ y6y6fXLfRtc/nAyhCatmPk+SIGasT/xvc5l6JpUpzTinX5VtJrV0QZS7HPGjGxjTi7MPwrsCJ/RJ Ln/SiHCCG9jC6pcBY6u7v+FfVRfVQYzD2Jx5GiG0G5gaMV2lFXMvE0EdKILe32uEZnb1R8Xa7EAU 6UHy+g9Xa7Fwl2T/k4fKr1jOJRrrZt36vsRyYTQxLgE+gssa/REokZEpz7qAhu+eat/8CMqmru3I 0d9zVuzb9tfN1XfcIrT1+1rh686IdzqOqce4K8eveOejCe92refsnX38rsl2MnJad70x71w9dXXc Jy6+3qzZkLMupsp48XYwZ/xD5t1RU3hSnAjoqYpRYuHF5BOQX+w/lqWxXkSctwtohqmwHyVOmx64 c4G8MMDAvMr/JppapqTZNbuDVHPb40/yLogKpffZ3CFO/JLqAHPgOYFcFna5Uc4FzSY33+cHlhM9 UQVe2ZZ6G8Fn7muZHlMpdH74yl98mpnN8lLqgl+oChoP37e2WfvovQvzkVwkjRftTGEQc8DH6Y9g RGlYl8VU5zl731vP5F9bAFZp9e2f5DNkVdb26dSivF6jXF82roQXoLTCNFWycgoamfL/Jnilqzdm tU7PF6khnGi0C3N92ayr7QL5HJYt+RAYI9Ttn71414UY5KvR5ht784AxXWCY5C5lH1lC0paHA9Pk yY02gg7b9SiGYbNaATp0HoXfJo4cp9dRErt68QyukAqenFCbaa2ElsjBIMiKPpK/abRar6z3Dbtx 1yLDB5xaGxmK2g5WYM8O9ZigjvINhUmvc2xbfZS5dzpq+9sei4ScbKykZuM3UzO278G12uuPLyLk eb14iOhOXm8sf6cWvPaYyhBxnVor1fMdAWDykYHGbx6Kf355kGpL37/5wqbAddfCz5mwv0d5Hvaj 3IRqpbLaMbr6PbRfNTDMCRa/qxDN4AxQuTwIdKZzXOHsB+pNu1r3YjrbsK6NSL7MtCRxC5YrYI8t LlrqYF9fZZlmWco8JW4iXrIQBGz4buUwxkqlcwilxitzZDnWngKGCLwsuyBtXHBdZZ+1yXjJ1YyA 6PH+7Io5xq7bumdKe0lsCTQxNHhGAyMoR2BV5h74BpGles2+XPK5p8LoyAGSwgdeE2djtQUVHuCA nohl0GQWL6DjhbkbgtLLxV672nSEF3ii8TSuz36ErLrBU5D/Flyv8suvUoFkL3LhJDmc1q+IFD0x 4h4Of5s8e/7FIz8PLVsdoe6iqg7zl6gvcw/NFwZF3FOcGD+ygwnjkiNN75UexCaNM5TS8/8+/2Yw FRHR1kmsAYPyHZsl7G83V0/jkdkcUVeOSmDb+VcHiU7BVPEL1ytwKDw6pwYoSEWrKirWliV3V0AU YEPGkZ/i4g+XLRn9BSBCV0wZbmPcK4od2qy6mVnAFcqZTeWpkAQUuKitmdi+PSmza3wMLR3FTe2y m8JCLZ1ipGZpbF8mOJVZbMt2WDggTwk8Pgqqcv7NGfiibahvWPXaMjZxhOjNM6TSf+WJhN1R/JT9 plwp3lcNx0HQIpG98DfbQhZmYC6d2oQbR38QZzj98aMHNEN9Koza+t/L3FaqxYAf0UxJSmY+2H8u RBI4ejTn5hOsqR1os8m0TtgxtT2xNp2wROiV7wQZggMpHUSNR4epJqWdaAp6k1+kLDGpbDFHsbyY yrSXRDfXxAxxLvGE0nrZhQT72ADKG3SkhzPfQGZXVOmFMevxVPVB1DY0Wu1JCLGH9rhtvmXDF28Y cF1z8ANBbWitlxTPygSSF6RS5B82b4dlb9Cw9A0wqwtZJ12RsYXkswxy5wtuHGQDTMtOLY3BmFdK CuCv9IF5BnnCgOPeyCKcVrl+gApyrRPy0vPcJ/64DfSO+YQYlZMI1Sw0ZJGw2b86k/PCwcO8BB/U dspPunqioUfchP4P5fRKQRMR9+4yexNv9xCLd05Cf5+W7JQggSclCf09eyKhrEhtNmbpr1CY27fR A93rMVUBmzXTeo/hvqmgbeTs0HVJnyGZMUtjSE1KPnCp3Qal9Ubh7rWeobjW0AK16Hu4vjszZAzC 8CWkG94ZX1AzJw2ovA5si8sT8vM3yCGIlujVS3CVgDRPEVJMGBsm3FzSVI0XksxqhYLzIeGJncsi lGd27TBfMe5wjJbBLCX/83xlX9xxjrtGn29sxcdGLb6fNR7GMeOV2Ok+4i0GQH8ldV0wPWIRAVIl G1TnPV+cuEv5YwWjCObRMs1Jts63pUXodaR066v5DrRCMmpTHahvJIEusybIaVUfDL5eCz2vLHvN p8R/br7ZeVm4Uk32gz5MQsXbL0jBpwo7qmL1Knyew0bb3vp07YR2GZN+6frmlqiCuPeEHh/0AjTc rz8HjEtstJaISh8uOaLgQJrX8SS05dF60F4UzfL2cnWhhTVBDyDDRmtSmatxqdB5wLQ6fO88X13B hcQFJ+/qR5KhGf9q/Y0cyBKjkOailONiq4VfC9L1IM0JXAP6AyysBAMz4gCcQEFdJASFk/g60wE7 tw/hJXP0D2OiHh2QCxkgp/mafpmFZwA760IVPzsGkSw4unp8G5eHp2wpaDYMH9M9lTuFa2J4yVhS G8speABGar7of5z78V8bIIawZNkkD1MU/WIEk2wUs2qMY+PSoG/LiMT5rc+QxAyHu/ip3p56zK5L AT9gnzKegXEzop7YpROMNg0NDKB4wwKr8EhCena/+inqhEyNYAnQIrp1cqMfY2ihvk8YirjHLQrW rwd91zg1HQ3MwzNh6rkPjtIULRJBKE7mG6BLG8LYP4Q90ITGSY5+j0BZhVyO3PreCZPf7mQ3LM4J MUyzmJNqRTp0Ukn6M5U4x6jsMfun5H5udFqd0UrjyM3CTy2zxBEewCEOMrJIbdCzCkJzd8i/1uUo 6Wk5SG25JBtwEWkGwONBYCzIoyeUiikRoZXBEgOxwjaP10XMO1TpA1t207Pa1tvPtIgxu0JPnHll uId7SKYklpBhzqbAc8AwLAk8o4Gpq84wohgUkesuFQ1StEFpcXZUVfSy3wPsQkDNEGW/WgdZglga JsME0V+1ZXintfLc5LqTjXu/ffdGZoxT0kZKKZ9he9FFfb00AsVHuZLTv3y4Nl+54hIzWuqD7tVe qrMKiq+R7STHnUKoL7R0iVd/mw80kzVlw7zx/0ldaKFLWSinL1543155QMOb2TbsY9X3Du/1w8Pa mwQhlJ9NxYMqXV7mTl5rZfEvvuU7zugAIW/tiNPF12RbHz0lfNV8oAsKTJA3HTgUrusIkm4wY2hq 3PcU0P9VkNBG+34f7XC6wZnoFkpPNWLsSwRRXahvDc5tbD11xOyirftKUPrCvsCEOTboNJZRRjGb Fh5td43YZU15BKidpQFueZKx4fruhNYIlEEjvSOZwdTt/gB4dWfsu2hpxBmt5HBEJ4+GqXNWNGkg 5s4ulTHD/WEpEXpFOxCJ4TBm7BxTjUQSnEeJUjOWmUrkegfy7J8HG1I3mwvux93a10W6X9RdsVRt uye819GQjg0XKiSwdLTAVCAJSDYRPeehP5Igzle3beu/gvh7C26l0DYLSNHacY1HmrGe1gnVLZYT 7roKVYntONAPIIndMPEshdZVy9KZRldxrDXQYnnOUl5zYDdORWxnVpebka4drKR8gwSLyUYD06e+ NWbFX6S/eauHhmhW4ZCTDTh9HxkWtX6h0SirhULlDST4AtBczIVMJzodUns4XO5j4NswYIcpvii9 lZUS6iJsuYIHKgs6BnAs0jcs+IDvIxVRdWXYfL2akGFlEwaOWcGKXD1mC1s3/tO70OWZlLUy/ScU /Hzt2HC8tQT0I0f2XU+UwOgN/0ZI8KnsXQJ1faDSpUH4BqhQO8WTwnxEx7k2yPKl820O1Ow18D+M wkTHfDALeT2jPqE7182VbIlJGN462n2gjuMRqOyRIXu6P2wnslbuSezj+NbgLh11psUucDGzb610 4zakpLuB1HSnKWQNSsuodV8k2McEB/Tp92Az5ibDpA99MVCYAwpI4vt6E97hsbaaeIEKnVTDP396 W0R68EWaAJO2BhZ1N7f9L/Z1xSksLb/9BI18tijOOhJ9P8S0wdQOa7Im/ycpTN8alykEACFmR/Lt 44hB4M+KoEMXzci1R8fxjF2BbYSjuvauhJ9ggEsnRVEj3jRjU92XFjxUYSnqAfwUoJ9ki8qvUl/V jpYv85SclLQHTQadweMMRdySz0qwR8VDFEd3spvgD76VEesUGHiP5l8GbvnK24C9s1f3NkpXU7ku Woopnky3XM2U2XJAdbTOnvWNftu3B1eOrIn68noMkQGWXTMCzmtzU0/uawBh2UHG/c7IuidJk1By REMpQIsrVyXUR8UzkrCMsGvLp3hkqO64OcbUfllrWP3Jx9QhfWmIoofOPc/GMDX+9suXpXOJDupx Two8cABjGyXIw82Hzbcm24/XDF0L+7aclGicbFEQLg+j/OawGjy8bhYcXor+Ak21DeARRlU3QLbF gbGNFKEjr5UhmaKl0Gwk+cNKAPJ6w7fCnjbI1t6yhEVNcP8hKXmZOErSY4CJhqaJr7CkoG8HMB9v HIF5Y87hGWcyPRPr0vACwbQuX0cSsyHgIL0EFtdmxAh4mk6nXDnWf31KDUebfIp2Hcx2Ug6TlYR7 NGYGdm//ajtgUU3795wOCVQITvHHeOTeqrYiNTp3DWXvAnmokh8lzg2hRiKQPGagLQdrgphLRFG6 JNuKbQmBkAdSTOUGAWWq4vwQkS2cESonqBU0ZaqvwGkcXBDC+b8UddC5vwHvBC0j19YPKj7TTX93 UEwTU/RGdOAEImVOQKSxdYdWerzpAt5NOT2NGMx4DZoPyl1Zc5y3kU9Ts14V1yRcSQ9QSXcfdoIG RQeAY3ldLs/al8YOfq6/G+DUqc+kzBG2EdIwd69Z/RwIMwSHZxO35eJ3Vd/awjZkg7aVXvTtiBiA 0mqFEFsMrzDVI6H7x0O2zIG6tCtLCbA/L6z8asKhVFD56bB+bwq7k9LCWLsuf8LOu4NwS6DdSd6a 5BoBS0TUB1rtFtZUKMdf66gFW1mFpxLKEoHT5kAXsZnOyzqN0XK+hsLVtus8Sj1GSdSJXNDKkiw0 wd4fxnCR9iNOJLHKYFYuT9wt57f++jeASqio7ciTIMY5f21bmXNWgByyCyQ6op7Dvex5mT/GwGyL 77/psscPeg9ATogculw+sQH3CNSPj36tnQcXo8JzXy9k1Pfeg6411Jx9lxKRddJjhQvi+5KeNSB1 iH/lFXvY/ATRzwQ5gmjqXkZQz2uYTIBaZGgqRD/DOfoFAz5pu0hPNCVTMNfjIFoqNqwXY6mBVf0o /jl9tX+M3obB1izBNp2YigFr3lv+gNXXf7yXMs7QBlTRo402TyNT2PM4aGEW/kdz9pgSRqVubb+4 cmoFL+xcTOsLBjKuAb41rkuPehuVtXVmMedIbrmWDtux4aEEumqw0VYWJiXjPc2RaPj9q8UJ15g+ sh0HP3YLmJPHSfgTBZHZSLyWMZVd8ZfjY1kkuBCyj4KTxmergPFz8JeLDjjT6tUTa7C3AmdNZgUD tQ0HP31iGrHo3pThvJp8hNFqRVIHWA1jld+ROiCho5mR/Vqm/B/x2izXdAfSgDK1ewDzjz8QfHDs jTUuAXPxypMz4oRjSnflBeq4ZfLhbQZPkLuZW+rJy8kggKWyx8JzeruNk4+U6cxzeLHIprJ3nWKR kFPYX4oSbVrmckpZbScND+QeXwfXfivOz/pAc3fpd+ZvteQpEwxaKTiRiWqzFNCri3PGDt9GYpO0 luo1hHKHZWs9jR72kxdOJfd9WBzlqGYdJMu+W7nW8NBPxWzEIkGIRB2eRdJjCzhbr4V/H86Gmkm9 PFL5zn4HpmASPOjaXCVZDn7adby7ZhZ5CZhNbJtFrm6DLAJ9F3O97zBE4lSJgQaurCCNQz/InhY1 8ZEqC1Fpau2R0NCD42VougvVmPFRnwqfA4hvLxxvpL6zmQplVU8Sxk3Cc7OLwDhrs6QZn0PXmWIW 3F8JYt3Fh6b0A9C1ZrSA4TYhOZCU0ZIGW+y46N4ak96puHgE2UNzhXhrR5tmRqJgsf95KJ92p/+N OydXfxujcNdJog8lS2vhZkiKj1qlMK4xOdTIfmkXLjwHLL+43mPScpViTs8d7CosiGl8c+6cLZoz iFCMPL0PLRtZHwdZnziaOQ5aCX3Qr2LHbDaV/8wdavLdLPZDPEyjNDUW3bTww4xZ8QBxBBuY1jB0 JV07S0ilhDfg/dbCkfO87KS5h8SybCKCSKmdHRDS6/pUC9JJBZPJRUCZ42QqgSuqz2nXlZOEk8s/ ug4PXa7akNfs9GKCRkSqPSxLsCTwWRnZWr/N6KwZYSDZY9wmbEhjj0eI1DpIyQgB0D0CLzaLmkTH /ZCFPhyWYDhZH+cBAsbDcUfFAlBy/d/WC1KCPO0R5J+Uzroc5GBp68e988M+Cn3pyZm90VmFPv84 BEYZLDTeMljNFnivdjyz+z0fLYmbWR/EJ9UUcGzZaIapPPNbUCkKGXWt01jFBU2xBuV9E49fuVEA SNvJIvay700MhuStPao2eRco32KkLCQgQ2eS2eYoVsyuXEbbcZrAB7/pVZ0tGKH8ZjalVuWH5yAh 6o8qy+zfU/tof6npO8gKTsZWnaTWoMJ26P4LCfpVN2eAhSw9RzXawTQDHpxOSU57d4Og4FzFYMlL f0dSYZXwWQ7JL2sNYIFStefYUyjvMD8hKDdJNB4KPBIdCJnUZdb+4S+6jUBR+0jJyieXhRzp2gey a3Sbk72JLhh8anXQk1RU2u+hsjCQRyWaz3xqg1tNjF6ivKhpgYFs8vuTLVSYX/H6rRkFB5JkjagG XWBkvdu6iuaGVsuvG98qxIYb70xEjhTYvyjerzvgeb2wkaBQwjNyXwkSMCKhNk/KWd538fjHJ/VH e840Kpr8kfI4cjYZrp0RrIJUvkszu5g8C6/BZHaQEZJ/DQpePO227XNNR/qDMigUXuz664BApYSc IYv6PLJoO1MIZHv/S/k9vf/+z8s4RmBFXB5yBKAtPZaOt03STfQAtZA8thUENlTMqVeqYNGF5x8q BBhUirQaxi31rEPMNdXiWxQuyoF4iIPd1LjcJbtNw36Y7T/FQoYHOwnt8F4qwy+Ph7fobpMg7CcX TPowabjAsdqh7OGSwlzuVg7rquaB1+xdp4uAAq8StCs0354aQfrchZpES0mv34rlZWeURhmPxPg3 qtbRBBwE0siIyHedpRRyciEwYmsTjMBzEv1PmqVT5Lkb1rWEbiEBC5HnzLCIxuD3hCc5qQTEAVuC CTL2o7Twe3oDuwaLLEmhSwXOgInmYKTwem8aVTL9WhTFOJmLvSspGIcsjYnRbZNwQGER5O/Mhvh1 O2jgzlH9VnRA84N6NacvKHZ6PAibPdolq4LHeY3UEBsilE3e0NHir+lazgTGKQ6yCLEyMnEFz5Nt WboCEjg6HlbBNqc4Z+4M6R1bzHk8nvq+2Kx/EFdh+aSKYE/m0hVcVc4GvoK/5X2B+TQSDV2Jg2iS u/qh7ef+zoflr8zLgTRtGncHsKKkpHxVl5qwfYFFLrz/aTEQAzob8kKR2Fy8S7mRcNZuOjPdtTnC TiONDjlSSQDsqBUIEbwsL9biMdlcL3YVA0JlLCBgUVtudwTKFQjHLWhw9rUwbUEVtu4xu3z1AVIw dRvOFEJSxnvzX3p4cz7fedPffMOf8vPlsx2pc/jN7g2kN1ENJJVAZMYNx/33BSZZVF/14LFmXqX5 WMe0IHo8J75PDmq0DMOZQWUFSrNBm//uR2jlqM5wvX5uJUMAR7A5HJbupEMlzK5eDWe2Al9t03od VfhxhQ8ttRxgMZQu5IHfy1j9r618yoFJU1pexZ8fa1jlsqcu0SdLttkatGaGRhqe2tzqsjDKw5vY KPawi38EjjyZ2XycIHfQ4eW+Fbi3XvABZw0xPS29byD0S9BZ9oXLkW3ApewPrZvzPOKWkGBhximj KiRZvnk/3QIjTA6L6XHZwuxGvgSDKbp1k/Dp+1YKq1nkZNtkV2s3j38xNqQFWuy3Ip7V2Po8E9jO AMFiCgyMKQB8//HJIOA44x38KLIQLF0Da7MVhxlqf5vhcbYM686xlLNSJgxdZfQVpxpCijkUU8rD vTdNcQB5WRxEbeprmXWN0ZsgObMtVdL4JsYuhB352nZnaMqi5BuOcnP/IKAY8nRKOg5a2e2mtYMP O1J/QQDoYkn5SI3cCEkda1zY0zUpPPknQPvl1OW/NRMCdNlbFbw35TqoWrbxQLXnajsLM3IhgtNB kIbt5RocV+B2mcl1NaWE0m8rPAiSc2Z56JMMua+HrwqLuJo4jXsbYYMquIEWsQXQ5UzE/tUcp0ut ov6TO2wV7YiENhosLXdX8xOiAA5QdJcAGgkVtap5IM0BO1E6dg5UbJldVXINcaImc9cekgVYyOSD JaZpuBTrjg/sjUq/KNYihSH8I0cSnzx05w/eZBQPAnRMTNWOp5+S2hqJFPNtX1g3IV3WHNg5aOKU Rw52+xVc4RxVtqXsIbMW9sYSv5cPqY6YZebJotJWlVfJmL9C0P/LPYD/QsTrNde0QftoWc+VkClm jW2EQff92EbVFXAv0ujMQrlpFxFnvz/7gSc8O59GLu0Vbxz2gFF6nzNZsnlNW4NV9eZPimiOmcET w1HoeKcx/hVMPLVP/u0IDOYwTpf8sVMbxz14cdqsAVCDkg9wN0woFUhjSGp5eS3gbLaSaeZ/jLxE TZ9DRbLS2By6n2JFAGGTehOHxvSZZmOdVS2ulnz1n74mU8JraIp/MGKOFecO+c50ZXAqJ7cM8hfO RrV2zP13Aap1DbEUJA7O5JwyX/jK8eb3Un/VglYkxPaZJReRgF1H/Vtxam0kJSlKshvlt/Gud4U8 a9kMzkesSvfVv0VDVuqPE9EgFrhg/+dB3ZgFSSCXWQqudSTUdlLFOhQYeAC/mY7/Yo+XuLVNxlG5 y0U2Tz0lR0fLf80YBh2ORFcIpztipIlX3azgy4PRmMQXgB/+mvRRATCQWbvxeup9mWbPi4pBw+H9 PA/Iz+fS4O6Xd2a4/LtOhmOL4TpVOT/nVkvWd3VW121/D6lGDmF8hwBn7N7ualciMcKVM+pAyGj0 ZuM7YbcxiZxO4to7ZC2Sa5WRWgNOxj2C7LbEZ/m5K/LRfLJfYrSiL1y1S78MvTfPcq8LTUBbRQaU PxdaMtdv7sbpF8s+3Mn9xN9L1TSGD4Tf1Br6HUIFOCAr04mgoTNlULdk2wE3+l/xyc6zW+OdJ731 ndOvwMZxuYgEBbZGyUWuo4IcKIbHQUeZI7hBLyfC4M34AW8Os3go/QlYRnpBk6/9XSa6UTPmku1n rH5zOZVjzywq71Z/8sS1AnKxIfE2Pp8QuKl5NcJQ7K+bzIJpgPsM9CZv0DiOZU2wUIxxiSDcjesD Wi4nWuPDcHb8zHEivdnl3vPs9C+Hf9XaJ8OkZ4K7dbSP0nUVZAJVJKk/uIP/xXJ3OA2oqKVry7f3 HJh+Dy+lFpFqr184cE9lxPhHREEKlQyl2RdqU9mPofrw1GdcplCxfS/NYOmpSDVtsVN7Acgrogoz 0EBzkU+0/fPU1ijxbSIetndfU91mz67MAqz4pBtzdM/J+KomAoqQkhiR3tB1q34imJZyauWthz4O AVSiPf+PH0jRk+71vRqdkJ2Fr4sAfe+LkjNfxP0iM1Xt3ksRnLmrafTrrrASC/qLk3rvBLqSl+CX e1NpIKoyg8msTbLaeVAPTJOGuBpSFQYKJLaAgeh8qiZyIEv/1GY518bRYJt1slethQ7PRecl+/IQ Oop/wzGQa+VX2Z9CgFWeqhuao8mN8nwmrUGZlwAQSbqRtxtf8dC3GIRfthnC+OkrCl1MuNsHTBVt YuRZ4HY2IbPhH5StVqNTCADXyCoTbnA2xwl0Bq3g8IZmj8v/77TSvmdPr4zsEOnxqpRJkFqwMyiv roeoOVSNCCCegGwHEhQRYmo4MtyQ9getIrTEZqUS4Hf6XczkWie723YPiE02UiczB9m2fTHXn/XK vdWYRDBxehc5HZQ0EsJEAXf6OsXHfge1zFK12Scyg7N4B5gtd2/wXq2DRR9GHzqPvmsudWyzkdWo aLQJBPUCHvY5WA+qftBUEdfH2WgB6CKECnEAAlziy317F9SF2VLMk6zfh/+ihMjq6q6TmGY75SbG iCaw00swbqXuklBqGTenq4QaYLHkGlW9YUCjYQJU0+BYZqCjP3Oe52BI+AVd5RBVBYJ2/j1KBY1V 9rPL3A/j4ov4FLt26dpisSZuilekeYdXBldYrgEhbtf2R0IiXi8gjsr8yLWtKaWu5E3syoO4cwZ5 svNxt3ZGhuh8agyUBoeqI43a5nzLJ1yURsYzdgY3AQuil8J4/BkpSeCniNFiUM744XlGf+9S6QXb zvoUS7hatqriQb5Idl1EFgOXBCmX9j6kO/SU27JFIdT8u5OhxmaZ2il/B/YQx4E/KuVkrC19hhW2 sywPmqz3Z/BH95Vl8zmjrwuN20yV1J3iLKNFlHgOePM2o2g2ZSKG3Ke7HSneT9ucFFUkL/KxiQJs kuBEETcoYVcPANVX2fAE0v7Rc/LYp0p3pDGV24alu8WoKNF4s/PGZiJlBkEwxaI63xv2lx/jln6p Efe4qvrEuXO8GA80oGt/5LOCOonAY6flHszqdnlGXBD5UJA3SanmGYV6qNg0r0+fJLpZFKUf56nx 1h3llaC7Pey8EkcjUWCv3iICNDqZFdpMckllxA2Tm0Ur6Dsg6xld5swBZrX0AAJPJCvUn6EPINcJ WMZzRhLIhV0pdVMt9jgXFfkiYv9JMDkR6CU4Kmlr5xmnXSWDmzRzob3eMOOoZ0wMT4x92x99JTNT NCN365GloH61i9Z2UJN2ubiLSbuAuk7BLOvm6UGJGkepvUGDmvtv3WeLZCKYXGt0pWnVY9LycfTW HDqHbl0Jb0WyMuG2eGKIs07oe8lOK4S3oya3izmFdArqTMNyByCVBGBGaBxf3KECg2B6HvUO8n94 o/C4OI+aXoLQ8B6xEzcHfGXZvgoxYoiHMhOzwldkkzmEvs4sP8WQL2j5b8rNL2+//L0Q8mkPjCFf BSvyk0twGU1C0/LjrqO2mecA7e+Joi7S0MlTyk6MkM5gKPBOjLgmXUsHZ5eR6FDWa4YHDt6F7Ica M2UfA7ZWRGl1D3S3a1vJTa8tj5qHVI/FWerad2Iy2VnF97MiCoYmPbm+h9I44ubYBezLqBHQBHpb CStivHG781hhNbVNfEuQT9yknL+aLmRlYDEtv2MIjGxQp/RigqK6zSpJJ+4DJSphiJ492OR3jYn9 1OO4EtFZYxL1MFfEuBcdZSXipmkipHG1bAWzksitv1+uflZ4V8aOjTOjsQbGzELqC7u9i/3hqcl6 LTA5Ni7NAfHmcGsgS1I5lf0qKcXCnimj8nafXjSsHs8h6rOOsFn4IFs4vkxN9JCW1rOw9owD38jK glcaHnXBpn6tqCdZd5mAZ630FY9uSUsNiDxSEyjgAnj/T9a6jj2eHOF8Ks9ptqO6oa7//HphEULG 7YmgoOjg0uKhXQHQOxqzl/ta/dwuprU2/bud6Sck88zpHXus8k6AF4Ksu+eaNkUaJo2fqaQR6//k pPKe+JB4ZHIR2EA0I1sjfZQwhj3YYz8xS1LySm67RvarsZX6WuzjEF60NlCWfmSE4ddc0eGKk1pB kNFFGcsI9Q/ikbzLa49ZqkF4T8g+xhkwni/FIMeVqZosohNwS3K3z6QTQpr31o+MBmZ9S7YRBsTu xiC8cbLgdxuX97ABWWacOw+KxUbRNaNM0QyfrU5bVQA7d5XD9rQeNcp41ZTDy+s896imzn0lvTMN 7loQTNBldpGadQ3Se4W9H7ikL0SfxIT2wEDWEsEpV25IpsKUlWA9DOL7xW35HWz6A5ZAdzWKUsC1 br7ieYQT8KVsZDziJtWcfft0t78648BDW7SCRBwbCGvffSsuVOvhghJfSfHJruBvXDVEirUgEitv CEeStdrXWsGhC+R4/a1nke2k/sOciyvBTiT9JcPChEUrABUkoTyGd4rE20PaUKxqKd//V3lgljJw 48hmhx2GzqNk90q/5VUe4gj2t/WdbckJfUxeCw4QEDM6mBemVw8v7qnaQq0AfhOsen2wYuju6do5 EVLaURvkLFu8GEjlJWUT71HJS+uJqSyRg+aW6F3+gCKcG3zlsv44aN5M0B/C6fOINgpRXAe5TVIj YIrLkp6rb5QOHO5s3L+QF014qWCGr21AiwM2Y4khaKbVb/Zy1ZG4kdfNA2yXh2w11MI1hh/p0CLl heRBcJ3ynR5rUbhP7M4Q7Ly0QFHhU/FtBsL18D15cfHh1KSH9ABwcik+bGD+J+CSAZIpKKdpgU2c 36CaYzZ0BUwgBfEWE1+EE2M9wrm6cNteTWzw0bCSIKbRFl9wbYoiP+AC7fc7aD3JEqNbVC2wUuWh zj2O6/iH7kq+v8lIt/15UH3I+PHg/j2QDTUlA0nv4hqyltr5vTPL8XUNlcTRPqWw9QpWsB+01xSf gDpm2az5x+2r/GmgO0Fe1Ld2661K7iGcrZWR81wyKwPC7/P1/VOdwUuqnNVTk73h2YyNhCSfC+qC C/oPEZp0IHvGqmhfc4wmRVY6JjIE3lbMNx3Nv7VnGyttzBzJ6+N6+lW0FKW0ME7wxCARG2ldcyd4 paI99YImXXDgFjXGsgzFQNMiu+w30MgBS5oJXfD1D0uq/LgdsZaYzNr2IRZB3gB8HWX+nMcS3L8R lo5QgkK6CHKQU0yt63yFinoSP0ueqnXHkrrZwyTxRdqoKr3UqLiUYvFIRYKfoB4i5f4+xHYe8V55 gBT3aElbsTKapZE1WBX4BrPW0fNIb7ZpMKID9pjp0dUmmjDbi49nBF9YETCGgOZ/FXGvBnOfk8SZ +bv/OE+nrZ9w8MoPDcSLk/HPl9l0lCrRKl9GtVapqB08HwFoFypI36/ZK6RYml21x1dJRTsM/FGw 1FxdeqMs7kD8BC1MsOfpKGrkEiszWv23ladxSvjGKcHcwZRgHXY0MUyaenz6aE0LY0AJU+rurhwW x+GLgPFCbNyhW7qeoEcmtncTQGP4HB5E/iSCxJmu8F/pvHlHbDpzNZIvoSvEqw1LElX3sbwEQwEl F52ssvegNk36kaJ93EOxKfGLsZFiIcaEUNAQ/t+oY2C35rN8bZ5ohPUZKyAU3EoYb502fGGaJpng VobglryI30PzV5Dk/VRUCr1PghhE0yRWH8yswlJW3JwR/wjFGxoPF5ybUbYxadzKzD3Mxvio7RSS tqpu2SS1H8gOC4ooadJpMkhT6sy8XFVscyotk4L0uAkQ+APLT+ykW1vj7Yu8OxvobaTK9iwkrKO8 MW2M6ALMVo8VQX0G0TbCrkGWI8msHrVBYOiRgw5jl7lUi/m8tdb+dHY8aGn5Q6oPhXMIr9Uf/OVU 8bWF/KL6tcYoWtJqs+vAJnqq9zhbmnIjs2e4iHNzhOfNg7l5qU9VNoD+rfy1X7XUPTi9GaCLu1/6 rqba0lX1yDB9Mg6ZUKwD4hzRKmADaojPh/jX+A8b61M0jv1YyUybV0k8cBgbqM/IlbUmrP1luLgd fGY6J/oa9ariJELRNodFai4VUgbnGV3f83YYlO5sZoxQERBQnAS22lCJP4ZCi1yHmIwFXGBiqag/ 6bVFtfpuy96xc2+Qm4bH/RaVprIiBmU1RWK84qVngJZJgLqypn5WqqPUVE6FmhfIcb+DrRqCLGP8 5ZcBnc+6obClY0r1jc1tpdj9SuASpWV/dO/kOarqtyfWmm+pC+yRK+dACS7oW4nOBosoluZe/bMK QfeqgZcIYiQ1POWhwUbLIn0DhRxIBYBSNQgLvuPCyaEcwYslTCPZP44D8lZcAk/FFOtvRSZIQk7z wPSIO2c8c2HiQxqsAthACGck0cN+Q7/MQL/20uUzVNzZ/w6xfu+i0sMMbYWBL9j8ofLn2cvIXI/q bDc4xTRktuAwxS3mAqLSFnfD/2rqbm4Ru6iMj5GrxXMFqB6Tf58JpGs2r/1Va5ygzr0ALIWrSzfF TPYZsmA/OJ0knI383dN3MkSVLbV6enxpdlUmyAOrWs9O+SOGLTG49i8I5yL6k9zp6XuC1Wk53FuJ fVr9xqSi1Qm94WbRjCiIuMnm00HoF1IeMrQ5ojCnwa6dvrAoaJ4soF9bj1DotdSKfej7KT4o/NLW U+Fz+FGSy24qVAFOVs3wyUraaCXmpjHvaenD4QExmzxFaOc268XXhB9vAyrEV9XasGmc0+OAO8F1 Bn+M6nVmRgi0cMXlcHPvVtVChsmjJLZWZ5Qhgzo0Aob6ZYNcddAZWxBu3lPyyX/eqa1LXTBQN8fM nNnq7hLGj+JKX7XNeDUT3TKYP4d01pb5n78ahVDbnobMngFqZEkCs8t+YrCCJF4YNOOrO/Uoof2U aWspvBbd4I0Vg0YiVfVSLami7dR2lvQPTrUW8LpefSePY8xNO++nqPA12f/YjbcORiKpkMAMl7YV 8mNY/MKgNq99JmYLyiH0Vx/rUkAH2QepX9dVxiwWGegX7w0i/UUavYPDLC57KIqurDJCYUWqWfpc 4aQ/ZdeOsDHmOAsasKcuT4nODCEhfAs53CNKYZ59i7kA/0O9ni8bBvyuJ32ngqcVkbSQ9viR1Oqf rv1lgtr2/vu0cW5yFVoSisp6n1bUG7cKY7GXKyBpkL9tJj+uE5rNvDG6By7T34wFFZaFHdsJj8cQ Gtt5tGCy43dvLR5McmOyRKPwGC9Pd0mzx7CFiL6DTVfpVsrEweGtKdnmTrTEiIihrFIs0IeKxFyE +La/g8Sv5/HqiLfWnt4rJn9kuV6+KD0ZccFwgTwwTMKm9UlL1Wd4PpjYDVmZ039ieTmmLv1rdtHF Xu6+kFDiiwHQrNNj4tWNTRhj19lvf7g/m6BtKsJpCJaeZ2mBF8b3GpScuRZeyDgUe4aoJEtNl0Wm iW+QXyJQ0FTi7CWNkd/SMCGoD7lLPGdSlndEskQxzrZMWSxotB6/3GMZC5AKYJjNwyLuZa47p8Uq oNu/58IQYC64l24+5R9Fb1nlmOTQfmVNKWMIimXa0ynJ/9DQ7Ic2niFJPIwpEQTYDMGC/UPC5sAM Ao6HKSrplYplK8jJffjsRMoowLjpLTz4kg4X1FFCq66rOIHlYY1kpb9XeFyJuWlURQe3S6kVpu49 +yr20LzGlLlE8hHOYbLnu76nC3DnwU9kn6eawPD2HGb7FL+cvtT3aVngy/+pCTsMnIvNf2u66FKB AdUDgbnuF4UF8wjsPKtu829VYJGKWQK7Ltv3VGJlTJxS/GudYsGr8xiKAi7ucUnzoBJBTiIvACId hNbDROAT/A3fJ2ARp2oCwi45IGVf6pMAh1nd1mWa3wXD8ikotyVf2INeHxJVS4fXtF6nU2KeZaaX 22ZMVeePuUVIvNvrbryNErnyPPq9NbpWB5KYVNEE4VaG1bZaaZ90Zod24Km7GABxnLSfYP3bbkVG K80l8JbuFyNr2ArPy4QfaPyicqjR4KDynJw/Hqo5SqR5kH5N5XxLwhQPC8MM0fV95uia9mlhY6d/ yMDKzL76m1onc8Z8G0KT09UYADDuzw+WvIrd+jn5Rqh6MyiBN21xx0fr75VzW/6AKbJMMT2FLn65 H43RhpBZuFbwgEBFOpjgb4mrz87fN4EFH4gQ5hE4/ZadhchnqSa9EuVb4FQbM7ao3o+d2xkf7fXu d78/BNkGzawVzG+7wAifuxkMPrBtyy53qQbV2SDsaLqvj29+MrF/32hA2B9OAzLuXKYbak17jwTE 0jSXbYDZXoAv9Dak1zxrYuugOOX7dCaIJ+8Joyf4xvGJR5OWPKgjAu5NAzdUCw+ajRlkrzKkCZLF U1+/lQO9WIElod7C1HU6oR0lgRaeCDf6KTMFGw5jt80MP1DmqyVvfcXKtqSssmjblI2Roefdm+2j 5YqJmY9C8I0UeH13VoFDK1j4a83e8CwrE5J5D+0WUrHGp1ElzHd5hgDPbQCrZySloNIfmEOaIAh8 Ory7m97eKSO3y9tdnvCaO9vZs4b/H99Mkxz8z+jh2LGnVBqciL7Mo70eJ9OaJRXhBKujpjYuqbxa YLUQAnKHluvBU4ysaiEh8Q/y6fV1GFpZQwxDu09amyX4MKIWEMGGw8nNupHgjEnMzKTgwt4fBz5d yyJMrEhdJnxL9RNE8DlqS1woFb1Lsf4I6oQSp1+NNZSWgDsX5vwWvPjAa//qfHzs2nyq/B2EUrLu XEMYee1RrTO1HD7YKpxC4sDDJkWcDmE0D6zQBwue5bvEhIt1HymRH+if+G9dykt+IbYn2sSQPsRk CVKftIDrncu9NGEDxzBwRMzfN11wX9p57l5wlmymDIpHTaXW9/1QeRiI6wGKbcT4wBAo9K/YvKPr xE15xwd0qFx0H3ERMecQADHUmSjDdkURz2q+MLcMgzm6T8SIVtzVM8o3JYTGlEjpeCDZHzFaGiY1 h2KGpq0W2zxa96NckFGSX0P/jdbNv+FFOFH5/mTcJSHB6hWJMF3wm4pmaZmafw0LV7BF7VwrJA7m KLx7S0lYm9gx/xfdWhXRg5hrfA0dMoIYZAnG70w5ytIP7ZWW8Tb/4YG06X2XrMH+YIjmBpntLKLd YUtgdpEdDk9Xi3MCHLO330q69C0C+m7yhDqTYDXcIhSvwA+B7+TJKMlJF1V2Eb8PKOnB1SmOz7Fc fJM1MGy2aXOyLWwddwHGJ58BmaQ9smrvnfFaShb0F4Oq7ZSBc4d5BmhWm+ERYm5ltKXK3pvamo83 c/oTF7AgUeda6y0Sqa7XVBylieU7aU7b7yTaK13BZPcLSoann0pf/Iivm/aRieTDcloNZbHt5+V4 FBXGhH9E7WtZaVR8J+n5gijcwphzhvsJsgnakBjQBovz+VLzK0zlA2KIcnpFdtPZtYpJQYgRmPfw IFhAYJlpShOlizSaPzXOlBn1Z5KdzyXkRoeRLy1GmTTXL0+gKHtlxAJTsK/WSLXp/gaZxtq02oia WGfn7lq3S138amBQz28N5Syai8Vsp2jYTXKlRl5qhGeRFVoV9udr2ZHMMRm/YrlzPzY4i6LvZ3tZ SqEgOYweXaiNU62NYtKja7BXhDfIQHTAO+h/TET9Nl06o3xsnOnI51tkla7BXzBro8wryCxY1suE N1ZPCKWzZvDXocpStwnkOex9fHy9L3ArvO7jRhrUo6unny6ou9EgGkUjPSf3qH4kkDZHmoD7mgYr uqmJOQTFU8nRovRuleVSch+EkhicFnnfDrUjsdPsHgoJ/0yIax9ceQJPHftSSehHJdr5GDoIn1Sq vaJ+6cJMquEF7NYkUa6c/wNskbWEc2nDCTF4HaD6wfwEJ37xUxNcTBmA6MrW29NupN/MECOeRawE gZUrTiwi5Ga8uPt+if5wP4yqy+OEXYrx4D1piMYNqNFgYDMhiqoMQ2m2tNgIV8Cb09uZRSoKgzUl lhxPzLV1qHDeJrWfaLeggLoMaq3bV74Gpxi5Ih3pMe16EUIC9r7IYCq4VE9faShjwRAvz+5gNg8e 7BzNmvrmbN2k+ws/VJpqalpKf1ZFUbyE8YRuVWqPcGQdatQm8PWpPKdLL2ZXEQN9sGjXtue8GgI3 xYJcnYcHRot96egSbqlFi+eW5mBxS72q+HrwE1IR02HV2q/U/rd2sJhI7Lz2KRkK+Lq3LBIFJogc DVK8beQu3xnQGMDCOAgYPbTUTEe2q/Kk2SIWi8XvKLMJB20o4HNXS1QzuB2mTBmz4pxDxoZsMShA x4REKok8tBGyc9l5JIjBu+KEuHouYGs+0NbTOTA0HxX5z9tWrRX1R8n2bhruW+eENh8yX3nYKVLl cXzgzAuVNoTUUy9EIhE/XDy8zY3XKDQvZGL5w9fR4OL4OSwwsLO5yxp5FLPyeAuxeraSniOdaqza zWAlQ0xndBAJh/mupJPAan8WaBrHaVtaLEk1QqPR3zVp1uQ07HS8ippUIUmdqqaLudLta1m3YFU9 r+Bg1nre/+b2XmtB33qB2xjlcEkZVhz483Vpv1iq6A7222G+m1UntDhN8P8v+xLc/+FU0hfMffK5 Yuk0X8cShHUc37wwREMama7bw59/fp6E0Euk/gUwvuxB5NUn22uqcymKyamwfsBcUzQRhWO6YdL8 m1iZm1KpRwASU8AeTGTDVAq8iMgKIUDeoD+ImLlmACbiVPNSnrzv4UqVFhWF9Slzpg/IhQg3/sO+ uzQhxLPO+jmt+uLy0M9O3kZxmBzcLKfzR97QOLXUhiyG7WzEqBJR2Jn/f2qeMUn+0jH2ndXtXzUl Ig50yqDm40PnS2/ORunpWz2dtYQF49w2QKYWFfU3+fRPaXCXSz0nzBvsxXrdQOL0dRk2OI6seGlj KKFlusx1GTE3qqbxPjibCLcyPFAn8suoFf7ldJ/9DBa9b4opOnqUY6SzYWko0lL7GW+zQSfjt+pR RfctPjrhPoopxr6s6qpT+a0sPMtIAE0kjPtX6iJNoPhZzODFf5oKPuM2FqEdnP4v//ZftONAcWVk yQoR8HfJTuUhvA1rVG9ijAq1NcmXBJUhOvFxZrVH4JqxPAIqxkIC+QEd0s/joZcMNGaIbyN8t1gN TVWExB156OhMearkoY8zJahi5IASshGRSdReWy0FRXoufLdanaVeIokATr9ikFRUFRPRrkJmekKA KIMAz1CGhmpchWtk7AYKYP6/r9x+wZSyxtd+WD3kHknZ8k5yvrEKYnQLGgmG0IL6QcKzXyjLoEuQ GDdN5TE/tBAT4sY380qk/P2D4DoKLDWXpZK7Y3hjnt/sbyTBZ79QGjo0JybkIDxGmZp+RaPKzZho 1syiZEQhVG3ocZp5EYAr1A0f8BvsEYGw2eAvhkggDOd2ner/H2UQb2S0vvZjI4EmdtJ8vAaX5TAr LpBHSEor91Pksp0FvbvBC6nvsmfF/jXRrYi2nZstgLjm6AsWY3LOt41NXrNIjlSGwz87GjJg/yfD VcpUuPpPjgL47UahFdYmv+nQil82VqSO89HLTcRCYCl7Pe2hVdfJAACqHhvb50rYcjpfheBVQ+Y1 TA7MNtt3QvPUszzV0G+LXOUuJ9xByABq4sHHNPpQmxuxEx6Z+M+N2X9WaqUdE3gDzzGizas7MRHj RAfFY87RDL36A0qki+wbfhgUnpEoska51mNr4AD7A//v0pJ/E1A5A6WGENYGloekCYhUqDrXpI92 qyFu8GJZ4PGpDLZAZNKVCHvyKMT8TFKZWQff9CVIJA+9fr2soDUAHqReOh/HEf1nRXm5vpoP1HUR BD7fUgb5rPmHyspz0/uGoZubtFD718b3fm8eAs+ZDT/uFYUS5awdWlPsnLT8tBwmEgL72IC4nEYB v+lyti9JXp+dqSw94BdSLVJ68SovMEMZHIaV0uFQAUUHt085qun/QyJbhl7j2jb+quB/mEywTJ6g 4uV7VrTJF3ZUzWHwFT74tPWSaWqXFDjZxtyNoQwIvcOWgQWR8W2parFX5QAkYbvtzm6xhZ15zTOm FWX7NCtUt/qg/Nr+bXgJPrWo51bbTjBBVjMD4gVrGf0IrSoPoN69wfCzU/2+nxJYJvZy8UoWLrm+ GogQgafXBNXp+eW/QbZbMFzzIsH8lllo7chrX2G4eAr6ccdNGWdMkEep964R6sWhvieYJ4DZIUUd 1zpqxP4T2HfZ9ugjG888EGBIZg3w/eAv/aPi1Xv3sIUnipCupOZ6DdPwRQeLZ0TmTWey5kvA7R/D 25CC7Mns16ORYsW8/nGFx4XkNiH00HBv1wHSE5eIK6INm8ci2dCUeLFuhBAMe47HRh9VtU/0rVzG dXBfyv//LRQQBu8OwGQf1IO8iIIsbg3Gz/1lyMGrmN3lR1sYgsd1Gs8rwipks2qa7sJ1peQcuR8Q FmgqbOeanl5lkas9ooK3LZ2KPY0eIBWtq6cngwjzXgwefYRX1Vg+sAVY47+zFp9XjPdRfaz4tHM6 3vBA2sot+4x7rGZcm3NLQRfEVpq5N9XLctmK5kgSmCMe6MDwi7fXlFlPYQcd3vpMxjqCaxKkmUA6 MtkCflolCjTTVNibcqCuVds1wXyTEu/j1m3A9fKFOwDOMCqAtzqi5HLboP9Rn/ZQQ1+kNhNYcfn9 J57cb0hpwQnQqNB6BbhnBImNIxagvsC5AyP4mBOcFBUtb0fy+Xlv1tnqLBhiP7yfbrYk+uvgkme3 LDBByH7Ig9/NlmLbxkjqWoSNlECvj7LZMPdXdYtGMvD2uLl+1oOK5/muLDRXiRT4DpYFh6XkznSy Afps0qw4GfpfJRSVCnl5BwU5/tyY1Ixj4GuzOYhlLonYAccSUCVS7u3Foq9NQ35z/YZK4nzky7Sl AI66DRrf5U+goGfqO6ymXpKNvSIm0r7yB5doJatcoFzQXuyJknL8jd4E6pVtQgHK5DYTnOke34VF JC4UkBg1xENx9czt2M5lykSLqGJBXN4pOK/PHQNcj7ygS5Ex5ytOI7X9O2v0MLOffb+ZqvU7nh39 yRahD15GvTSDe3+G6T9oswfBcvTmOTI1pLLLP1TVwwSQSZmbbnaC9MKzg20GkxLfEO3kh/1e0oxM f/xY8cvNN3dMoAwM7U4gFNvIvBqQFl0/3AWiL6Eekqj3+WOIO+2S68wRGNMgooO0OrogoV+Xq/Ta n+rDQISAHBbUNW2AzGrSM8yKRDl2SshIz8hVn6h9O3FwzJbuPc0D6JFsM05m9EuKsdpkLxYtQr7B 9XlCHWOBbh7bSjp32dk4GoNIJ+gwzNt9s0xxv3VwLrHJebnTc6dJKTv2EX+WEozD8YkfJhbFUivk wXnVhe4fTz1kQ2q4F9kqBo5q5A/x3kfjXZMnW9fj1hkYXIR2dN2tl1Es9v44UVgAuv0G72jL6NKq 481S+cD+NUvcGItVpp6BVQStDVs2NioCKUNG8ATujP/qHRJ05tc+jSw208f+VPGXQFbsjRnkW7TD lQC34RI9uEc/0g3iVRMSTTySKUboT1e0NmYwpIsBCAoVgGz9xqQPBaz5LAgmaXQCneHUqqvDDsBW QBNxcDKpPVh3AbQB7woRz/70djGKXsfggDD9Qhn26xCOGuFHSuDcMJcUSDGCXdQZFx5F0g7Y8EzN xecKsbTnG9Yr9gCtLWqmhXOnP7gOLQsG5NluEm6AS7rzlDnXTcE3NFy/N7IX6Plo2v6TTONmGP9A zMA2+K7T7KGFYX0m8lUqU0HnmnnpNwvqpDI3ENQiaykaz1cgzFnos4Z9P0ikwNLWtUuqsDEf9FDY aaY7YBUQr9ggUGpyGU5IVRUPsRcxXOpx4rYpmCuXFSffFWVbytQ53PkYKsgrxHhgk4Toh6U8UXAS AP2x/t6tOqj8CX0Q2uskkzjes8EtBkyXsNzmW1ScM9vN5DG6FdLrTY9ErrJ3VWsN8RRMpgOFLJWL UfUbJnAgzwQeDLKQm9CKEjZJC+NKdRmd9Dzi5PqMykgcFloXXOtZLGUIK+AxblaZiBnWlAtB9cXD WIXqxufeL+WvK302F+FO6/JoFnjcVtcNkulYyC3UoUycRM2Aygo2zF1Jwcv7a3siL6BMA/pWZoqo +SuT78YUw2DxWOEfpbfc7Cof4ontrr/YQuzyhZvaEWb8Wx7kjqGJnsnHH54ohEoqiuWIkxqmyEaV DwsUUJq+eRdzRXipfWJr05BDvFB6f7XFJAJvXlbmDuXcsrCI39WtF/EMez+itXpNNWpwpl/C8Dwa /m42Bkp1E1XcaVfj8HjMEcld4PIHRSIL+EAhLgujRa7eE6JizhJ2qb6hGfPOiy78DUYYDNbsAJnB OweKdjEqr6cAhJylR5J2dW7ZZrh9EHIaiQsKcMKyC1/dhWJwVtcgcVHTkB6OGR4Cpl7uv4xSkXfB xImGtgIKIRgdty+Sl4vxXlqGamF1ANyRMLlDFZYraDhh4nr54FeWZpMMdaPUrvkcGsXzUMjpHydy ntzZWn6soPvTskDML+FdhU6B/4rrVPCub0PNYgVvPIXoFV6O0AQlzZjUgBm9tduRvg9cMX50NRhr xxdGWH8tbJYev8WUFnJQ3u5xYKTMdKHwLjxtgAT7TUAbSoqyK2rUjUSisNrP1Ee1+BtO9hH2fCjg qW3bBrL62xpSrPtnycelxhGLn5nfWekJ7/XzmQyeYybgPvMHqS7iqd1XC51peZ1UgCLMe57Cd1aU GA510PRx9ke2nasvTrMxgo0syECFyGyoC/od86rEHXKfv7tQraZTg1nm6cWRLeaDG0WYMgJoV3dP itxt5PNpDA+YEdeLgo2DzG8a24jCJvABYphJf9oJfZP+ZvIEv5M3LD9lA2dkDw972FB4Xbo97s3C jyHGQeXx+JkAKolLI143jLktRk8uTY075DB91dbM1fQQALTswfH95rm8BlcQcG5Cln7sTGC0XCgm hWSBlu/FEY2YFkD67AjNdWfLY2/TdTbzgFdmYGrN4CLbIiEXKW3cSj+8Fa06TgizOjRASPVxLDKy XqfeDVYz7+ED3bmdbMjTwL9CiwD41lEHM3JvdRk0CWH6Vtpxq2iBj9jqnvwFggH5YhXghwjBYtzL as8D+lNvVCGCqRkxYaZROO5FMS9WnptRNdtN7qxJFe6Q/s8Qz/RtWW8SN1oSwlcFs31fbcpuXn4k XGNx/7EdH1zG7b8OhilJH0f9/7WmLQ8CM5oflrjpIEO6ZyBUqoSz+rrlBiFloR85BKEEQDoZHnVj DG8T1S/BpIKopPz1r1SJrSg3mgqTfltw1TpClswDp21D4VYSs9POmitccLZJjpS3TjxFVu7MdGyY OJqfE3RKgCngpruC/bLEAUjILxPW718WJj5SG5J2EvTKyqk/8w1cVBuT8aAJ0R7FNFY+yNoUIKdb yk5tSWYnYBW+mbFTeRn0B9ZJAG3H5Ao1i79Wxn+29EdYwB9kNrEfhR0z8louhtGKafJKztJGd8Ve liRmzMvrkAYsdOUQOPrIg9S2pjh/qPzUzMZjuT0yy8xE75Op9Vd6GcTpunWqKlvcSxQnI8Md5V6y hJHgIUlSbInGkJNEPozUvlR3Ftn5OJukJdm4kF4RvLD9LmS1mJ7TpNsmV79JDKwQKnyLEIAd/IE5 1/VAdDtXBjr0nYP4Rgq2Bjx7mMWwQy9vVwPugZsh2+Roq/qExs+38LbVTw3z/kVWTmU416eirR61 yIINnUlymFzvGuLBDVdJLI1daQGjkDejWswV2wn6M6Y6dXDEHyFKEiylTMnPnDiYLxpJvtYaPJNP moQPj/MSrj4T6JN5fyKGt7jlrDbMBQmWWz1QuG/yas8KPirK79H+8jnxzWYog8vfLFnVb6zIY3yK uJA75VmJ6gR3Be783oCosietYR1dvtFXqGb20XMjVEHV9+qIQSToiJGZJxsgUKmYC5168+pfzhXW uxsHGrrHIJln8VnLxdBOBb5lZztl4lm9gYwMUhKmQg7fhfacepoMpLSEZ3yjrHZ0s9Q9eoXhbdd0 ZC0Lo/U46OEiiilTXb0T5UWL5TG/ol+o9ibUl707AYLPSku3t5RNhjx25vqITL8ZP+cEg27QBEVW FwN9XlJ+dTz85nY27s5GKPEmPI0j7wg9maXUilQyvyAJngEk0kDytmJVe4YtQb2NIR3Szq8mrP4Q MAeB0RoONAHOE6Z84KSE4LE+zSwKVpYDeBINAW3Bu6C1ahqIBhw0WYpquYxSw9knMIPfe2KJLDsY 5wfda9IyMVemqEz4xsDclS3apB1d5BwSJADMkFmtmGIoVZqL+FK1OQG0rBvhYDgs0V474q9FM4DM ARzTCZACbPQ9nBTKwR7IuXLu55KFbdEWqLmcWo8Lli02pmJrb6pfMhlA6a2+fDhuM1h5YZqirQjb rJAQKUlCvHuFagWdhKhAMF6zuxOQCSQ413/IkTq8CeoAQqvVSu9YHym2EA9/Iv7A6lnMD5YkU86z GgC68C4iBfdI/R+KrsGwRBrDI/4s0NyJ0pZn6uE/Nr4/BqN9KhS0ekOTnzw5N2ziUxdax1tGRKke uW4H3EE+usqbulRg9JxyEduudCmAHx4WzTAhn8oPC2bR9zCax/eft9St0uz0NOCrc70JOKzhy6Xu V2GGDs9HSopTciZ6xYlpB5NgCUdBCz/YSlqW6Rws1ZMcKJDo0TOIQ3RqXHMe9owmYqccM6XM3a6h GVnwzAYWTNou2gpPmBwVVn4f41qS2F8chY1tY0zFM4xic5qC+JKWHrB9gc49Jt/gQ0E1cQu/02pg l0TOLolYzqfj3ZCNe2ejiL9ah3nbABpZPQtI9QfwoOj/+rrN2eGdJ0H+E73eyj1j8990bkaNumE1 +qEbdNMd1Q/+n266HWGDP0eS71kJa5Rx7lR/7QoMJU9/iqwvSNEnBnAycaei4brsRYvWZnKhTDBz An1n8yHiYJtVGIc/3lWIM5DwLdM24LUSinJTvGxbfCaNpnmxiSI8O83/YwBud/UrNPY1RnnjUfvZ tc/sFC7V/wOlvI6DkJtlWYwDqoB5CBjx5E/yh3ZgUtZIMbbI4NNQIdAwf6UkuWd1RnJXFhQjNlEI M5LaDdvLMGXC4HGvW86gXpccdNKN2grGnaqIszIdd4s5xRu2U6vPiYcwwZo5DAw4KYqUZecqzQIp yj9km1MBtj4+qsuDg/Ef8CfOP44S2bSC7woIBGZgLYsY1yxxwGSS99fXQAreep8x7AtCHisgx6zJ nSMNyYsKkspuwYU0Wb0vJcYpLFO0IzcXepJ0cMGz3MAic34prjE/mMc/H1RO4Feg0MzEr8r6ufTp HI1YQc2abyAPu6c1uijk7/abJn2z2IX+U9/zTQFmRHhomPM6i9OeWQ5KzBTwIjlM/CpIIojvmZ78 nLZ1I7hGmNKYFI1k5cxGqoJOi20NYvQG+xDw7BCYhNMZ+/YaMDFD+qsvoQqsAlb2q0V/kTZ1A+oM Wy9wC++cjV2fgE8lMa0mdtotJJtvi0Coq5ugLmnYj4pM1T6rtrJM2SnHY+v/1KHGb7tKxkgwvjTd OkXjlWgQYjntROjdm/hZr5J9VEjpv6dcaAzlYoEUTXpTz0z4VoKdI4KLF7r0UcxKyJfy2PPWXz5r JP0FfSp5QbeeLc+mJzQ2xbzdjjUCdFbFQ0ff9XP1aEtOjnc0SLqbIZeoNQKkFJAe+FxH47P6WLVQ RBlh1+nxu9UFC6eFYoJaf6MZlS7v8q4pnLX6o+AtZgugcKi0TjBPGFAIllYlEioTJCzjizPi2TUV T9OAVK9wxUacaO5AVteWGDNEwQ2GvIZBLGSYRzqbsb6NekQLdl9TWlESIq9b5g6Q2tH+RZOlSH/A ognYMOe101ldCq9S5+ezbftvt+VHfRSF4N8aG5/hObBEpqYvskDDRMC5E9CF3vdy72+1/cMrwqoj A7Zv6yuduB6awoy2FmqVTlAdKH1k315HP3npP81g5O37QcBwTiHbOIzBAHF7qLF7ZIlqRnw3BaHb QBi+foGSV46WjO+WmskSO/u/KT2dHhunm8ZNE1RXJ+rdDm8yw29saMM7iahf4UMcHE/zYNgDbfwF HZQ8m3dORQaVTlMBGDOu3VCWTHWXSTPSP4LCWrqM86Xzd+RRhujrXpCm4Wlz6ArTZ64OpqlQYf2H RYLcjU6AcDMuPd3NHDsKY+11QAgyDlNvyjpVeyp/Q2KS36EcR44IwFpgpRO1uWqiUTwlsjOimAOX L2UcZCddwtz5aEYHtvMVETh58QB4G5GjkVqBqFUXXRLZeD6FH/A4kT68t/NcGcm2p+S7tNw6yMzb luh1y2kF7Lhi0MbMjkbBlEMAOxb/wZLVnUpK1Jey/IoMSnP9RcshtgeiBNHTu/NgHk/ESMjdOpkz A/EcDxGfYlX9fWR0IvSrckaVa3IWn1/7Hvg0uoXzmEZ7ZciV6saaeoOY8VhOO+qg/0rYsk8AgVr7 PzIWIRUVB/1BqPc9NEDfwf/pWdQKJN9HHigQaCIKkOxWiiN7O5Bz2P3449LN/w8Xzfz0rGFE+/du 1GqHNmIiLtks+DK0U5fkgtRvwatF9shnZIIfMRn8i1+/VUkIB09iu482wlkOp0Gy1NUvuV6hFua4 qxbkQoOHaesvnhs8OQ4KyokqzXHR3xhGPBRG9j+mJlpSVxrJGB4l/YyGywNmrPQIAIl48cRpek9X TYn7dFgut4LNOjIHPs3bdA/nlerVcc9ZCUm57za4En4Vidqe6gsZZqNvdgaQ71rBgi5yJKQzsxcD wEFsgfaSAUBIZJ296m1RGi7NIEs1uKah9nfDdeZzDsEGVcfex6SYzibGdyeNVceW+Pm00nNYDUdG iWvKgwpdWJZpuqgTOnzdiWjNrVS3RX3gcbO40bKwWhzK7SHBzQEuozaO/YAJtWQ4Zh+K1m5aD06H pgVOJ7y6GCu2V5ErbfF7CPPDmSNmgCCfLb5mgEYYsf/YEazDpc5ZeRh2TqhWhS0wtAOs74wSNeA2 3NyuklveJshBWCJYmxGYIxMxyluDYpY1YA1hwBflnR0uM9W6VJAvAK4HSwlfPCohOunVChYQEVF0 e2ws+E3FXuqI9Oh72tzZE0Ip2xG3eH+niZw1Tz5H1NLucm2RKGzBf3cF32+x33KJHqGw9JiCZS1P 0sHOaDhWsIcCXTxa2+Ide8KC2xSqOuAF+eOy9ZapM2KcQA6XyQBAW/xrt0HAamRbwskaKuI8Lt8s SxJy+32lJZqiLdGV2bW5SIUOP+OZcuHYn9ANPltJcOTDP2DV9buZcgF79hAxzp+hENCYXS/WG/MH WAhj1PKQDSx/p2kCGoyAzMh/+uF29MRIUDYI5FFKDbLf5+R8dCYFEqq/csyViNQnJV1n1SYloOTo Gx7Zi9nPT9KgWk72JcRAYPFxgiZhpifk88gqGiKt8Uds5EvHnP4rW64Rw4QundWhToBmXDqVsyD5 KVktySRiaNDY3vpmzY3bZrTRr5nTvasEKpZUGwZsHGuBf4MCISa3hTweeSShVbB9BdCoI41OulLl GKpJnb5BU5Fa50LvzqlbZjo4cDOztAm86kyg2JJI+AZD50N4Suy1GtMkq1DnUdd7y3QaBi85ln5Z IN7fnLGwHIP73OXS4YTn6v/BgEXg/yzJn0eCC09D9ZIxZif5uusy2PvS3pHpW8LY9AVjx7coYWbM eSONJRnXcseiFIyiNkQpo/9eUC+nPZUOydcclxYCL4OKzf7lWr+yXN+o15CUCBkUNzP7Um0+eglB 5eMGW6w6/lffIn25w/Y8WlV7t5XKmwgNQPLO9xOkTMdIiI6wWLuPurY0D0ezLV9dr6IhYDAq8N1F iqGvgrM9ofbx3ju+ZKtWVUDl3Y//BjiiT+NTohja8gbfGACEgwu8HuJQL1jhKVBRqE6nXXDTY8JR wyFjQ8/9RyTVFenTAljsQZa2e+t4R9f62NwiaGnUOubN7XbS6WnSTcdlJLMoxtUSQqxkspLn1yTH X6u/DEAl5OnQYLSYM5/NifNi+C4UD61VDcn5wexr3iwUI5R5dMHW+oUt1tUUK4tfHWWUMa4gVohl Jmhh1mlVBzjCHMHYHmsJqqTxqtLYp2aOwfIbVN3xHK4GuABlv8K8GPCJlTFhGw9A7QSUti67CZL2 N/szlW/jOYZL1VQqgIp+jKusDdcTZxa7ZgO9qgCmgxrlNO/LY3g0QWBVQR11QKfiZjJsVHmuJHlp KbU4Y7DNe2PwoxQs61JaYITMsWzpkNtpzSuFdkfS6UjgIphykP0f79fG+4bB4FXCLOJb13kn0/u1 vWjDDBmpMqHSfZNu1LUQn9hEjl+2eSh0TCu2C2ul4r+Dby7zwhlNdR09BGZLnRFSTCnU+9n0EHGX nWG88soPeJqsdRo2ymQfaWoGT+8bOpjc4xX4LAVeyjneeABI57s2aeur0mkxcbZj2Mwowps0hjq5 nv5f07BpHU9X73u6G4s3gZ1dY1d6n8MwjWOCvyFM/cSf3WgMN5LbZ05IK6+AfW3UeGHoZuWgQIOV dld4a7yl8QCD3Ni891icNXcpt2sMS0w77SJJ3/8yGrETsczXfRyZmd9pROBHFsKV8OLRYXPbUXsC r74CbSwq3eykgNmisZw0QVeLPEOoMOaY+MbLmPqW5VT/EY9zz6bs/o9/JymhYqHlysY7IsQqKlZw QbtBsXiF9+jjySJO+zM+gZOVlNU2BlhF3ybPjrXYr9FxD9XmVnD9d8bQVu1vT4ACH1JLhm22HTRH MoWg4bflpgFKfdV4vNcQ6d/1eOcaFtYYuk2ftrrl1yiE9Xp5qfK/fqSe3wYv+BQ0Pb1m9vVWL6iR FwGs5HjicL7a3bUdBF29BHb4GaUmKH+caIcJCpvjY0vXuP21sUWwrNPa04UOtj7eiSGCE0K+1R6S fO2wx+1w3u8q0Hhc3KGaQz6E1rcSpMcG2HRmu23h/2Oz4EPLlgK9XleY42Zc/uUSWi/vo6GPsd2J stO1PiAULWrksFz4XI1TzH5TD5r+go0qDf+i3i3cpR3NABAkaGG2FDJ5Zeq0Omwm63SMM2bepmgr 7QzTbAtIQ2dmj/zcoLTHn7ACye211S4iaHzZbcx2TX5YaPuymkRsO262vQ3qv/JBEXyimtxyhjOu 9k59ahggm/5fS6k/fkQlFT5bowvC/KM3wHbSvH1wcryR4evcaEnm4C2PMEs2Tej/KloQmlc0UT/a dVg0+qsIQjLRioBHy9o7tHKvKw0WvF45gusoPTEYvZNLls1UzFgqwSx9bu3uFoem7C27xNXKFzbb RycCmAeCBpeWukDxRPK9UNBlalSedAmtvle81wP3fcdnt4d0+GLFgx9CPXWwP4CoP8jmg78OGONQ XTh8JmIMr5UuggktJcfBZWWtLkdiLLvpeC3Wo8WZv8UcSK/qykqeSgokNYGF4Rps+jJfS6SS+tuv 2IdsO01tE7eQMoTiJUvDzVt+862u+Bop3wQa1IvDyntFoiq8TcHre7nJZ845cRvaLLu/3sClZOWa +Sq7M7rCR5J5fHei4W1PYLSWJsMi2vJZ6i8bfTFNaSsCIqa7xeAxcFGgiRqme+z5mMZvYUndm9YS zad2m3r6L0XkA9bRc+KXVai/yZKGTWsgKIHDF0GZ0FAammOea4vDMy4T4Vkr+ik5whMRPnGjvQ46 /pcZWwHGfMJb7+BpU4TGwAO2xZYixm3wqCZ4kRaAIdUB5Ql15KM9rRSLftI0KEfoBR6g8zkTOcN0 ymSj3TDq6cKGwC3+Thss8A46GnthGyx+P/Di2sqJlqUoJpwaumJjUZ7yQlNCUaAw3NXRqCksFkD9 F7cfNOWL/sS+/nyCgJALcyYPnbWMZzsVdr+z84zXjtonYWkXTSlIfsUn9YC/xMo2PlZUq90HvhlO xlTI2n1rFbpYr5pOgHpsfZPnMXnlsrerC8hFi+JBnR+Ek+q//y9sFkSJZZDmTIj0Itwcr3cJ/vi3 xDf4SoWowTlt1UnG4JvKylax/CjzkFg0wUh2TsXFRnxqu9shyNxYfA6gn70mOBuI0LkeIxGqAbA5 cf7K2F5XHMba7T2hJxaCSV1lot1YzMygm+Gn0Xzpzd6GoV4+lLQuVWYzXKGsiet94In5d3D4jJMa 2UhvB1g7qX/DkF+BvwZjwwTWDCrcOvRnpMe0jV3LNn9DYYDTFWvWoqph+thgijqwttwz3Cd5TcUX 1ZIsPCyufn2ITWICpaP+U5wTKoKkxsmo3na4QQDmrt26iMzqfoFFF+UXeDTkekEBkilfQOJ1eeTh sfnWqFHYdFgs8m1FR6pIyZbTQ7J9VqzsBzLyVS7+oqTKu4G8O004elme5JoVS6JCmFyW1oxZXvBC SJw5fC4hdXLm0RgumhnjvphhFvzLUTEQ94J5xjmlD+dDaF76soqET+bFtkbY/zhMM6a2P8QVzqAO 1iG4QDp7UO3zKAJJ3NDNbJ1rqVgFQM307xWNz0udOAtq3uxf0s8eWAmUAWDrs2JSW+gQpVwWB4js 014iRvgaMmrWbDfLfBwlnj+lyOPujppaXQ12DeRLdHt0+CJTqpXxqMzScJmbBzkp5JfcNRDVmXRM w2SMwI+rCc29UmuB+ZsNL0jsDL/9vUZ5JbyWzxkzyHKusK30p4MAcZhSXm5Kn7rOB1R3NW3GGuqJ nvCDpzYqidREPC8YyhWr2wyt6r77+48005Pkr2ccZbwSIirke23JvgHH7MknplXvhnf07cViu9Jk 33lbcfjtA24r9A5rW7QS3l97HRtjpCJkHS9ouQInc6wTMahj3SzNZsuATNsaDfqW5M2w+s3wQnvf NP0LsYSPFFc9NaOIqcmDYEiUNQ77TLTYtCfoZDP6wcsc2XLiUt3HRYMa2nT3U7zzESogWDPweEbU rnhb2Q7DoqdAyv68/014GxIC5cX1RqzbWkPqY6lQMRq/bfvQPH3jzHH9PSszc9RKZirJZIn+c2/e nT4TxtUGWKh1CvoR8ZuC/+xJawes2wuyWNzYOTxfOV95uq84LoS4XSRR5Bm4vwDovsM+FFJPJRVK UmtmKdok2tMuZxBMNdtIYhlaivqyga/ykeZI4/PGh1/D3Xd/J1o8/d9/GftpStLduN+lrM6mxxv7 t5a/7/5Ci9iIqIumjhh+bZEmD+ZG4V3vc7rS+RbxIkY9GKGD2HoxaGNX2W6H3KCNQiEp6W76Gj74 Ln8y2EXfdTE0SQuIyb9xVoqr8CLtRi3t+UKO0X+70l2OIaOWpTBPDxQ3JrWksyQBRtQh7VSI8FPM ZhZsHivjnWVTcJk0hyJ6vKVLdN7dY7rMmcxI1OaFEDjwMKUs1jOstGKcWxicKNk30aFy3dfLo/pT XGxl61LNZpj1P2RqqdxgZMmLU2uyHGVQcUmc9hgwNzmt5lBhQL3wg72ZgUe25WbseLTaVq/l2MaE c8bxvUBo7veCJqBwmUQfvq5PgHXt1X45sB0utXDbPAf4n2D4VoAxdnkqQa9W6VgEvSgUZMgvdB4l 4xCzA29dfqxrq6L5yV8nbSKFoCuYtS3WZiDqD2s9ahESIHj4yVgnXfnafr7Z/4f0+Rte7MLBiCd1 iS4YSctZ7B739K2tW8sawF8onKdUwoipHeSNfOtiw+FK8uaGfIq4jiuvEIV3JpbemkLGyglGkBXn xLPVTKmX4fErIuUH+zBKGqwfOXD9Hcb363JBmAowvZ+tr7bWcdxoJaVPC+D+2aulDrkmtksExqzC 2KsWMUv3pgagEXwm2oxPjSrvoSI5EmTvVMmfqjDec77oQGrcpfcnhBmpiIbEhpTtBi7PdHbJF0TJ WV5+f+waRL6L+HWP3JnqTLggywKdYd3N/Kwis0B+XPqnIQN3GJBW9b40rz1bKTumrtu0TDTI0Y67 9gxsECemCXXuplkFU3IuwmdSHwoAhtZYkwGCANAYQlWw2Xa1SiuITt7UDA/8f29W3JAvPovlxG48 TYPsjPXBLW4hrkgYYNFxbKV9HD4IwethZ0kGrnrtdWF7hNkbk+6oRKjzLdf58cZ6m5k5SyMBeTHH VytarXV1EDCXkXldQlp5d6Jbc4IHozEEvrl4w+VfhNRynh9cv8uwrFXuX+ns57Xjvoi5KQpRdGba nFQu3UKu/ZtMDZgFXKsO6oNOkMVsXMuP5fGDWJwEC3EhLlITUluAXpvAj6pLo9VCqCXvO1Fwahq3 3S4j5D8xxXSVlouDbVrHKe2Fi11a8+6m1TlFF80RVVxxkRCNb3gE1BLZmEG4i2MQlyidTIqx4/hz B41tcr86B/UOjFzhhnBZFUSFxb9DZ+JGNQu99MylP8Lu25r7H2n6dLTSJ3UMhvKJ3bsqjMNYS/XV Aq7nuXg1BZXVlyO2zCH80413c8NRiBNfMTLKky51/krXE2WjqchZ/vYWX3nPTgVHOPRJDKz29HOU +bQ+/IM1DOMkK1HT1ns8vILtFCcUffodNULhiZ/xiILqqmmtvUTZmmNdOTnqf53kLiGRrIGA/6xc EvlGF2ReTstUSh4FZ4IuWZGuqCr0bXdrnUZOkkXLbt9NHJxSLmcpZIDjmbpn7UmwSDLuG4qRyWHz Gz28ciYysgpaWpxiWQ/fqauv7D0cxMPVs1Aq0Y1rn2HhlTpq0PKecBU016uf3dQv+IGLkHU4uE0V Q+i0tMZuBAaI+7267QFHisLNUGVvFvbnxnn25337PvLITgiJ4GwibJ4F3WXpMjcY7ktShd2SL5wJ cUxkM3PJj3gnNz1rangK+gawhbcMgcsjIW610Q8A95Wjlol+N0EVBbB8IWtKgPde35XBRtAI/4YL UgRI++LbH6xiAss/d66zP66RkvgOcqyyEM4kZW5pBrTt7hr9fFnJlhzX6S4vbi8zBpKojRlklW8a ZyFVnhw9V4UANd8UmOV26d4zbEZe1eSHZ8qkpsCRn2ei2nqY14OL/5lq7QzqpXmRp4EqC6SWPLi9 X2yFGbgqgVZL/n9avFuGlS0wHTHe+Hb9pUgDRpVE56FlHbWsls2bLptG2zJIj6hQLge+af4InFmU xx5OjPY49KV97/kQfGZga8HSgmmUnMv3u3jGa61z6nhE5KPIqEBTc7qEcZzZSgeePuwKg4DrWwKx +kSPdZ/Q9IRey2SZKgFqwjLerCwr53PAqlRkuv4ieZqiSGnpCKdcOmct7ItgswcRIl5RaSBDSx1N R1uljy6XolL6SxuoTbGZTbjeiU9JCRNpCt8v7EyPzKi17MGTykTZQZpkfm8HDfpkf2V1u6q8jBMb g8gk5GLEe+taMf50eIsKIrzjSKqREiIYgQiqz4AUonwy7HzbKJoAygCEeEQVq5i7el73bN4iGuV2 xUrzu5ES3Zi5uy5OO+ZDSQoRN31d2n+xQzYmB8DHPzY5vdfUOegi88W1ptfz6R6VuUKXWhOFLOAX b07gqSfOUJXUCXtdHZjM/hLmHZQs1yhn4Hep08XuXyeiweHoTyfmtBCCjr2Lz2X1fYi3O3dj58Zn uGYiejYEKvjiJwbwuD7yhwH27gRzUjD/AZgY85mgec+ACNJDlQN91IirSOikVkSr1WJVhtXAndBF aas3uBWRt8R2+1TuZFGxJjHxveTzfyVVShmAzER/N6y0YU0zykMEvUjVrTv+41p6PTaRaL3aw5BY tpmpLLkQz3Z678+Np1c60At5X6BKt5CVvN6Z+A3ovVARPWknaQBLG1SGr6HQddtnCPwaog90j3Ua wiabG/RWT4KcpGciOZfJPRN6aXkijPJajXZ+bRuTen+qyBKRaHEKvsQF+8hNUql8MpGsTrVl8UUw hV4g8Q2C88q9b5vc9Za8ObR3wHAxujjxJ+ycsz5CY3UwqCEaRCCLE4ZP0ZRK7vIay0WOsJ1y3cxc tCmKxRKqAQYjqV6JJ5ZLDV77U5xPyMTjQP4E/RFYj0ndKDxsQxPOvFXL6rOpT0soRIvOihk4Ocy9 zeM9N97lNcgflOV0tuL3wHXCI43zhtE+jCCvTjbg8oSVgVrvTdtJNgXcGV62rRs/bFYcR4CgrNw2 flcMWsQ0KRIJZtI9yZzwzu6JGwG59Nck9eu0rYq50OoMNGZZ0OSgQz4mtIXasZdtfwcY2gz5zpRy HFb7FE12wATagoievgxcl8MFeLYnwoiNG2XPKNZMPph2Ra4qF8CP9xLmNuZZhWHgtVhpNqcmpcpi +zEkMZHzICK2WEvu7X/LNR/keI78sdGg98X+1dOchwQa0//SHuODmyF0sHMzge7R6IaDUB+zijI0 0673HT4DvnwHnvd+tqYRExysGVh+HDfA+858xTFQZIPj3E7lKH7RXVQlZSchFjio8Cu+qs46UeBY lwrE9msrhTojsBqx8jyN2Ztb8znHbOgunMlZksA3lRNFSJ4OOgQ0xl9VGlL0BfXO/vMZNVXbk+XR 0FrCj/YU/GUaYwRdvdG04+3ncgHFaP01GC3/rgCCYCftmVJAhiZOcIGkbxOHAJlDCkhZkTto02/M IBWWskdNjI0EchXngDH5xjimPeXRCrQqkfVc2FTbxgiqwIX2TQ9XT7lBooIqoq9wXQd0KvpCTZba rF0CuBdTZRriDa7L4VfQA0GYPYhpukOWw2a55m3Q38xc+PDqOFjZvrGyTabJ3J1V9zk4rrhboFo8 YK68ib/FV2N8cYr2HPSHRMHZGEYUFZLG2WI3Pfyl+ioPM/JyRU+Zxd/e7Cl0p1do7cZTa3PBSvGj VGSI8YRXkMkvQ3bnyEcApIFuCcjqJ7drXnpXNTbJxZhY5jd80w7kA0fEWt31BE+bzaV8PRnMJ4XS wmnPaxlNab7xrrEN0HY7obbmyxIN5lmtvPDrZOyOKxntH7ixb7D3lezCwQLcIRBMTm9ajzGhBfjY 6Q7MTcEF9kjImK5+TPCTEaqlQuzeKS+oV869UHHVi2cbZdZ5GbMs6dSRz37eonx6DBlZX91TN/xR n+XF2BIREUhL/ZDXL65CtJ8XbKze16QHB9FPf41npEYMppFDREsrtG+EuXVAE5KeQVVKlVCAV17p iCHR75bJNyHFx+Gxfe9QsS7awhNqBDdsES4wS320qA5D05YeOE9ouXq+ktswL/i7ctyOkoO+vsI0 WGLLDC2zv+FUfaA9vICHgqItPIGqjPBMBZ41XRuyCSoie6BVFHEKgPO1myBm3r5VJB0zxGwVRLrv fWHHx9wCHhk7m/Gzx6ZPqFvWosSs+tQdoxeLvBmnaHUUFOchWIEEZE/0Y82P8IB238E8elaw6fLp VKmCPIMHaQATMCmdWoThOkoK+BMU3GM8HKkjEwnvohiAO8d9wzOdEhfm1NoaVw4nTIhaNdEomhVr nSMpNvxRVKOF0uEWoPXQk5R2tUYvCC2BnrJrJdaOvZs/b0EKXEDnpVF5aNqlDEG0ZfbBiS7yf5Fi kew7wsB1CNVanGZQJoCKxJCvbhYgUdOI+r/64raKSOf58J7UxxUtubWZ8ux/NeTQRRUd0eJQF2bm 53SkSSUttmNQXe/83xe1Qr/qdhDOejxXSNbVrVou8yPOZZJPShnFsQy+i8crX58DJr9/6DMUWwSV z3ZfpNDTJll57xykWQx1SD1+zXCEHWKhYIWdYAOGf+niNVL8ef7tDw4V7IGys79NxlP/r+2EeO3q +z7xE3StTs1dv+eNQjSKUWUNy2+88GVIKJrIIzYG4QsV80Pk6Ma2iZQP+TlIUBW6VZx5dNNUayuq rR+CGlYFnamMlkBT2Wl921LyjS/JsQkzqbcmf/2Ig32yMjQjQEMReJGC9NgX48k4V/HCKEpJ2KRo 5Kdv+//9o4js4ilQjFeUPPVANh/+GxwO109NMXlujnxSz5sdr0+G3rhQ6t0IZwh/6Ori7NiqXuLN gnT7qC/PdVBgSEe+bxj2vgfJbhsXcUg4kwlUc4DNf5Lnnj/H5BdAtjv5FFo4sCDzbH32nnee1R+1 AiioTp2M7+ZnO6EsalEEPrz/jsbnLFhVqLmI3w+EBPuwmtw3NMp6n40Ghwztez3x5rwrxJSrTETs M2UanyyhQ14JpltYl9IWmBS8iiV3Q3tqrSNBXOV8MbST/FI8Pwrgu37H6C9VXh+3UBYXqKjrO8QT 6JMgug9I0zyQ16EKRm90iWc7ei2Um9Mxgd9skwtoBkRkKUMV722CYSPCxLn37t7xJsZdFliTshL9 OUx3kNl6ETviHhgXI0ez+t9WBUdxh8R8N2EbK4MekX59WWP42TwJMmljwkwzWIGV5GJktij2c+0f YRlIktKdmyBGVX54M8Yd9HEU4J8vMSG1kacIYySRISpkzbZ9YufOwmaU5buSbE8cgmNkIlo9WRTw b4STsIeGeDnP/nzvjDbOzsqt2YuloxSOOMHHTCD0MDVQmizHOWDHUIjuxPO47tgwmmyVv84etu+T SZ4IPRvhRYS3uW8pgaGG6etAH7n3yxTZ0glypM611Vc+8C7fhDvB65XaaKJfoMuvjd5yDxfQIpR5 N7OjCZI4EfBdh3+X3tKhP1FT1Gg+AhrN+NIg5JQ8ui6TEguTiesEw3wo2Xy/9wmir2hFSpNf5Cus YokY23/yHovyC/uVReweYVm6lJkOug7GhIcsguEQLbFG3E+1h2qKEzjY9m7tZtX32UdC3EN05Osw Rj2LSjmILOw+Eu3j6jRz7x8yF2lKlijq6HmiYUkqEta4lcSTIwnWnTb/IH/a52uqFRfnZzAUDY2W pxFImAyC87YJ9ncXRKXXfEuf7myREmxqiH59DByd6N6y/gK4Qo6STn1TiYleh8qOvj+HdwBMPrUV CWDil95/QqUiXlhhk6d6dFGywnlLMIhxCXDZ+9HkJoiXwXCEbb2/9L57stjM0jaSpqUCvp5Kdzt7 UMZ+BRGh+j0ao655FAzBpJygCZA1qT/Vdk8kMQAepdDQVGi5zbZ9LgliNOVFfUlFl8mlQayDM3ct paEKx9Eqwzch3ip/FDybpD/PWHeuxqxGG8hfYXlM1IshoGllDO+ay+7nKJX10qSyiEJU5RgUL0CT iysQ2rq/iuPwYqW5NZ97wPNhSIJh8jvmP1CI6R+PRtrAE4fuk6XxMtDpsN5idZEVIyWThYyU8DOI GnAcOTILDrEWVX3onHRcS7C6L/qcBttdNw2TKCywUy1WuTlfS7msAs1t/Hx7gF+syU1Dir9mIgIT BZJH/GZ1rfz2WxAUlX1rK35yXytLqsFM/CcntIDASocZ+AXnBfdtWRJj69ZTA1iPjR0YxJr8nAU+ b40Iv6irnPCse7oK67T2gr1oUF3Y1g05JD2EDHy+2dK+pK/8AOm2WP3u/GaV6T8iR1OdkS6xUiBi 2tqwDuQCrJQUPyPzJWlJgJ25CPYSuMAMWI0RnxSYBhMTpxWdxnxpWR7Dg45Ke8wWM4QRlF8WFH6c LUO8t37aPwp7n3+A1vbcA63hnzrPFGNOJIQVf1UbAZxEMRyAkJsRDpWwIX9ObU+HeExy/K1tSjSg PLKAE6EtxtdZQ9uVKzpFXcMY69Rk4IqZHQkFUdCapsXMa9N6za8L8MTqr2IHs8XksRnYX/Kdv+f6 1D8c61qYaBcEgyaPK+neJybdgE7E6qv8xT4Rkk+Y8BvGx2FbG2M65JtvU8SjQXv061linz4AqbeV BvUoAfBpdGJvvuwQK2n3voN+nQJs41vkPCk0UTi0OD3z6Fcl3jiJ+3JihQr70lJWV9dwXwh+2qEk h40hJK7S3EUpaP4HjrWb4/uyeMKKddQ/U6G6Z51gbZJ/hFgTJjQiJ/niXE/ZWFIdPy5TRraQTvtu NA7MA6pjGYa0UYP5VIE+PtQawiMSa/iSkWpX26CHPyZudD/l1wgOXGlMpBRKOqo5SkHmUEdSSvt7 XylAzZ79V7nw6O1MFmpWM5XtRw/SdHHbaV4CvpFGNeCcdOST49mQZpMNjflX1JTYGhdlbFuPzj6J Tl7xsbSk9MgJ32cxsCg/d8hX0saBG8WNjZj4jFowcIHuH3oobQ1xZZhFv2bSCPUUFvNwkpDk6gBm JpZSZUnZAqgT6lodxeUCiGA7sGVo3CgyGcZDc7PxdQmiNV/VWvNc4xFZZ1gbjRGAIJqNHi6kNNaF pcacq1aR0dbS/NPXW9FIWf5esywCRspwO8pxHD0MXreerDC7VtqB+g4NtzpHLJbqyC4Nt93rsc/V vMOc+lyRqJIdGQ4WasRApl7iN8IwxTrVK/LQ1V8F/geufnYW+UaRr9AEq/OdJC8/XihVE/rmcyE2 OF6IVk9vZRNauMo1JfDg3PBuMmpdn+cJlEncScgyif3Eo/hRpGwK4JLz6ALa92lA4I+PctksIa9D UWbGXjNJd7Tjj0PriRkEZCqilSKxt+NIyCwu20wvHWrGqCSQcVmbjvVmxQO4BhW0yO+Of/Jj3BxD Ptx+R/YLZ7gM6wQfpSFMWaimzirqzs2xVAO3RAH3S/C2qlf00dwukL0hSar2HATbM4Jkb/A6R6CT w3L458JG07ZIxg1Xt3vH7GylglPHJ+5QQGk1ZacN5WbWdyeNmIWpntlcLa54D/vAsrF12B2D8vIx +icEzLOln+hOrGFrk5zKE2D/gtUFLhDnJV4yNW743kuf09wwrQkxRuTAO1PVtw5iYFFelRVLA2uH sHwv7zE4hY9cuMxdQ5BK1fcrXrLndNs2wh6bWV+LjF629ALIdScD0S/xEhGuJCGonWri5CBN4a2D vtAskVVrwry6N8jJM8FY6JPfgbRS2TQ25jDEsAAsqWCqskB1yq25Veu84R9IYCGOe2TFEyxvcfuC cHyGT1/wlCXpgPYsNuWLp+3Vk64O1UK/+/S2liJ0s+03j+qmpT2xgxB2ZBeMEWV73qbWlLOWUdFn 5oKZoLh2vAx7upng8nhfbfgkq7IO32H14ORRvp9AvdLDxLEiXBOg31qBLDYRCaghXPCx/bbW1HRs r2/vNXWG5wcHJe1Ei+fqqLtpN5/50EUOEOlkBcHWQdVlYnxHmP1pSaf/xy2p/yThr6O05c/TNPqA AcTdxqq0yHi6jnRDEGBlK3la7D09YMYC7cjpyMX/BlfUbm6hkGXnBr8ECQ8unPY/4xqN37IbTEck a+s51fH33B40hIIX68ZyZ+et5CFhSx8cgRHvO+0uRRKr/u2ppzXZJm3+miiFJpdAjwf0AibtHYeM hMNGgauRYSnMOYPBJoubwvuk4i3BS1tJg9jIkmwAeBP/88XMxR55JbWD30Tehygx+m2RWVhjs7BN +moQ3JnpBtqkOXaZwZbmMNX16VIGH7qcYdjWNenRMI0b0sYigZH7UDE2yT+YnsAv1UxK2Hx/+0ke pLyJF9ru00AJ2ah4JOH+TXe0vyOUVrn0zBD6OIQxiLRO/vuFBFChSoeWQ2rPriWf3oE5Y2unzGgT aH3CnIWGFNxcQKTFqNtKtgHX5m5y4LumIEmfpS6fziY1xGbmGkUFS+Vv5fjcCGwPpe9D8SPKfcrT dgZEtJP2WteKTlADxB+i5oSG97U93H4n9hxABIau/crMmw4qMEWDpAIhzPsT4PcFFZuZ858jd/Wz FPt74WfsGO60hifDaOLAm/N7eSsTeZLI2/2JYkvRaAq1qLNhQkvzkJfOsUnjS+IMV5KxIHT4g8lA mlMe1RPiZt4wcRqqD9AHkm1lJeK0dcf0o6ithUTFuHpVk9VDNxbDOyWFkNvx0VrAtB+3DHoALye6 35KnggYbz1svz4B1R/80ebFzYpeEN8K/R5zby1qk26jwk/uqytl2hP3Zyhyys5Z0AgEFmXNEBURi Iqxt+a6saYasb/q0Mzp1u6veWDIOxOHqV+LrHWOyD6IaVOGmF375ZRRbLRyVGf+TQw1IBiiU6QiM o/A85xgi0PSn3WAUI30HrxJjoA1LFgdQrP2HST9xLmkYb+1Ff6tKJESjkAtzkwZ9iF7sGrracUTd mgNuwu0mWqAmpcQuCGOE5axY594MvTGIrSE3JyqiL/l4EmVHL+K3Vv3U5LiTWJVfl3r0ZGD2MyNH qnynsaK6aLzuy0+xd/d8JL0v3jLtS69CxgwgAsyQNHle5mUEQP5aCSjLF0Wbo8jic+OjveDEfPfD FKDWNB5bEzR2tW0qKqMl95RaBtl9rDzdDvn5eSF1IRnnz9u9PB2GR4NRVtnJOyZsi7BVTr6hOT03 K9HL1+pwHGjCT869kCfKkOPWFuwouGdEA9OgPyO+gDPNKwO8u7bl//gTL3Fv9zHAwg6F8g2ycPKe FcpoUn98DMXtPSooXjUbY+mTdzWBjBn1hh3g+gWpHWJ1SclKL574zuWqleEg7PZXM7GWRSEijktq vHFP7UMiK1ecHQXurK+zBWzInT5Nzr9A+tjnxoDt3LABIC38tv01GGGwhBOvTeJ22irkO453eGDc tZ+jdupSuZ0JICJOGUwwKv5Ca5J/Vv2Gy9CbHE72/u/H45Lz89GRxfb4EDhe7sApwQBXtNw8ozXm lS/R7twPZw/AJV1wEANGp44cmyQUX10lxdCPiJ7WgyLFxL9bcNj5XCipAGrXREzYby9O85BvRLYw gCpe5khIQ3CN9bVem9w9rBSb42x+Pkfeotdwj40hCVuFbbYY/n9gmlriJ7c0dbR9j2Lr50ru+g8+ UuP+0mgrc8kx/UdGTrSdup1k67++JyTAC0JTMurdHIAMAoAkhZhiz6JXXRiorGIio+8U8Pz766UJ Ydjg4QfhvLPq3HUjFY37WU3ZKh/cegtLOdfjYn3BVAe7miXoGgEeS7WKV6Kavq4zMy4mgNf+Hbf6 rFL9586gvA4oTHPwyyi1Wqi/BIAgJYus53ody53L4UXmTOnqQ1F5xh5ksOmlp0qQBW+upM55hHcm 4uXXvdz8gi8QgBS4ZnTOYtpnontPGh28dHMVZhaWHq49+SO0b6Mvl/9YlP7ctfbesr9PRKInh92V 7ruT9Rhci7aCas5Ps/1ec1WfhTQnrT8RA2Mk0/TAJpGccnfqIutt/o0Vj1v4YMp8lzk9pApqTWdv NY5QxJrc8hGHxKbZMCwkZ1Xzk+ovHLH+7qzdJOXhxPtUaIEqGttI+7j1EpkLfjmN8/qFclLqtWu9 XnKzFJdMA5Su4A+5ZUj60ZIJydEwHZgyNeUZYj69Wnd1UhvTYZvT519RqGRird2iGAH3EprG3l3G e2vc9l7XH2IG0iSZIro30BHoX11cl8g+QAf1fawwQ89EbTLQQQPbFI4E1wRHRqW/5EsloLVW+YP6 X/GLZuvCYZLCx/s/QGmHh7Nmn62d6APxGtLFpRV5ADVdC66kGuGpZ811CLPcnyYjbwsV/WJtTtJT dS08LWayJSa47LhYAUPs5oZ10NNUALD07eX1QWCWjD1fpccyHU7cRFp3CQqiN3vuI9vG0/yYeyqY 9LmKEyuYl4CwcocmnQaUuxW0a3rbRrdAQl4tUmUivTO2Nvx3NpRF/iG8AAnkieWCTlcJgkDnnWK+ 9FVj1tOK83/79wDdRHJtNWXeWJG+kB2sHZJyBeFvTG2fsMU69zSivE/j29RNJbwo6xec/mP5NirI 4PA6whJI0Fj2LRkx+EpTWyrwUZC7jyC1r8dy/UJqTuFYuZL9dMuqnb9eqVtSUaAHsQpCF+64Vaz2 djvDnZFU8vcboq8KFV3wWxuNH0o+vwvVCqChEH1/TAUI5iP4BwaY4hB2ngaUMYUSzXXIk+qC0+6U 7KJMb8Hx75BcvwlujQFyhSjRp8FT11gtZ5/iwPfRlXcDF/PFUjGQc8cMm/V5t+SjSi9fRDUMpQZL gutjvvUzwA+xB9RJVbzdzpReWJFyfVBfwOm7SnWuhTf5JHiG2Jb3y4irZyYBfOWSr7nGd9LOK68H /Bce5F5ZzQFpj8gHIyDlim47fSoPpE9HWqY7s7M0N1hDLTAFfXF3nxnaB+pzGXLoz+0Zb9tZm+Ls B2KYN99dUjAnalPzTGu60e4z1hK51Y7iaY7rQO4lcVgjKtTLkLDYsLonUH7hn0TG3G+wToIpTwGB oGzYYuwNFgKzRmIUecovo4/DScb5t7yU1pz7989OzFio5EC5L/cs37PYnPamI5bZyGhl/+2ap5I2 nx8+AAX5phL7sv0dUDOIw73sYtj1RohDb7shQ4lyw9vdKOhjLja/fWnAw2Lz/1ENZg2Tl0F/26AA hWdBClYiyddTiQSno5Z51JzMY40yhUtC4lhkqGttCScC0uxVOJFPsQpqS9iVK0H2Tw04YQAxHKPT nQsP72SeZtUGbgDzA6O0WhXAMgwC2OtkBedNU/ePQ4WIN+g5R8ur1rbYcPY6yr0cypfJxeLw84Nr s+AdNQEt5mr23j18FV/Q3KSULG3iA62ve0uLp7iyA5pxz/UdU9UnUHBV/N2P1wPcNsbhMxhtnnMM 1JDBzEEmu+2Krl1FehgdHzXxohX4/zpaeLzUAQrCVByO5lQw20un2lW5VWH1LGAqAwsLz1mdKroZ 1hLy4ug4M0Echo3pd9vU1HoBMAcDJJg1eflW0g2tBJcprKyJFOCU+W2f7j3koFxbGmzHJyvEs1UB eSzP0PNAtzedzw2pTzz1TQg0zrPMqwl28QCZo2ZwJBE7/ngXqoEmOI8cDFFB/IcQEowXxw6UP1JE eh7vMAI4/3ndEPgzy+7tCqrGKBf0BKnowiGjmx40mALXJPhPgFHNeUAzXyw+iAT/nhDBcB5kdylN sKBBGlu3fF/UamSzt34B7x3MYOxvubg2zGYILaeSyR4+wooNf8cS1gyt3hXblQ5iZON3P/Boyeuk PPyeqBk1QgYMiDJwdgD2/V6cwHmIhwCaDX42H3SEC9hDHuLbYW8+geqWWu+QEG5D/LzSnirDR0DU CbcaY1QCSav72C1gWvtV+QuFs7EPFohbrwLWRZxfdbcE0UORlZqQdkvyzJLof17OacO0676u5/t8 8Hf/j71+6zqHQvUGNCqaISaD5QmsXVtzB9u/mXovdS4UPaVzLJyQzNr0IS3inzgJ+ispVCUu5wXX WWtiD8D0ThJO5hoXUbnfFmDK+fjcZUFUfnzhckbboRpsqyjGzs/KH3mZ8cSM6OeobuzwnJ7HN9oO abnKRYh1ZY6cFmkaBvrENQx+TW3NMNGpKXL5rW1/DfjuyMnAmvVNBPYqEfQUOoPb5Xvi8y1Kdk1c GbG7suJEz0M3ACLT/2xjtjPs8ZI7kcvbicFtR2yWk/pYIjU2K1CEQf4jtxZwrzvRtpR5/s/ci0jN gYrgymhDnAdg/3jQDpbr8Vjh/b5RKp+Gy0dsxjYM2tMAIXmMWzXS3XzaazqoA20rbprT1n7EW2kz gcxfi2GrocbmpabmwU+Yvgvl0NZvy/FcNS5QA82czy+2QJZre+y0GgLR7cmvFmwJM63mgPciA7Op 2Up4Qg8VQbC+Sampy6wXlgJ1bhKuzbWUtpUz2+TK2vtisILQzekX6tCAlriaDFmrB+8VGWJLElaA CtHSgdod6aqvJpfM2/XJbBzvwgJ+RMDdpqIMINEa3orlSAY8nDPKaEr9sfp8f6ZWrmU+GXZkFJXM mGADdbpLWuJWinkGXwvgGhKhXG569rD9M+kCnAB7UScMadHvEK3bQsr92/BGuz7lfa7tIadUESBq G5Xs7Jfa35ceZploBZYdPv6D4/F4eDnkwbMtFhZpuDDmKkTac52qpDM0L2Yw1C0FPNGHc6OoWUhF XnrGxd4gukaQjZRZK8BFCAXzrlehHVsvvWP5nuuHDGOG4EhrWnuwxIt+sZvEPdHjGo/vWAAUgkHw f43CctECIjwalMtwvhf29zylcP2+vQ0SM8w22SOQ/IbpXgcTw0qxg0jQYT3KHEM2bVUaVErK762t qU3do7wxif5WzHc8ufijPCXyKmV2xLxMm+gh6BIhMFvj6A244cJeJWOXDB2bmkZCO5smQtgQQ6+Q KwI9wGCjZDvq4L6c2iLDZQpU8+ZtZoECT0YOe3PTGJQn4/5/K86lMFFaXVP9X2mo6uoWsM9QeAZS gW8XcAR89/FlklrUoDEG1tHp2s4tqCe5jHFSrpAj6apKbeEy4nUfHO9WZhTXtuz/LPVbUt6lSd+y eIIEaV3/ljm9Bldf88PM1cm18xooYMcYWa/OHQxorkMgNrFdQGTAaC/iEIKXPh/ZLqCRO6rt6CFf DCvzUNzIb/8n6m27Kt1/ZWwPxQ6rKy8xfY7SIn1/Q+/bl7Ma6IRJ4Aslfw3Emrq7130dbrGvsbxt R90x1XI/9fbdm/+oP8Vf0DcqDkA6n8ZO0BDpWEtM0AQ84UZ5In3W0PwUe39tzBo7Dbado2slYvj3 9pUCpAkdmon2/3puNZ7uh2HbmoGkpv4wvwVWN+94xEDgr5fAVm3j7PMf1iYYZ0HhNhFZbp1+pDh2 jLenrKrmG3Ii5bXf4Hh+e/FTEP5a2aTo7oHYYR+l1h5bsXuURYiAynqTmnSe4he9fULsbzrz1QTT EnJ7pxJl2OEg8aefLaFPljgavFT7K5zJ2W9SqQoFq9CSxsT8+X6Vjs2mHuaafXjo3jkwS01BKwRI +mliqlRR8K/79DIw0Td9SkcyUkwHBuGUs3e7jHcTraNBzU/k40VyAFnVduV1l+D5SGIWmmM+wu9I D7KUcyjDORvza+X9169djLbG2xVZyZjbkFE73KLxZqDRuIxiCZv7ocZITvwM/ok94hWNplvygdKm tNFr6SRANIs9klrj6gl+fhPLdXx6VV9acenkTGeSLg7a67WzruwXP1K4kohziVKaofde0atzhUGG uZ9mPldXhi5cBpucVTKwGCrHXvB0jN699mtJXlUSOLFdFNPAGdOMZybXGAPT4I6x6quLrwfd9Qmf OMaXuf+aUFXdNNej4Lcs+mOUljxxxIn5a02oxSZih8r0NjtMPHENet1iWYAEYxBwYScJjfEc4jg5 BiwCcfX5sqyYC15v4jN5O4Gq6+c8jDaBz+Zb9NTuRvOMxpyloPi4hPcPF6xbfUfZoEKadtGLeoL0 h3+azd9PmER6Gz+r0BX3T5M4k8ImPrGMGOwrC8pSsFYZFwXVgunrzKitW6f5agUkpVAeXb7us6UQ rMWnm/67ahH3dd/FOF2pXMytQnmOxOsqa1Uq8xLFP5NbZoGQGpXFkm3vifboSUfhyI4JI01f3LO2 La/BiEz45KtwIx5tj8CvRWh1m8fdqjmAgMTfzOJaBLbndvMj6glm9ELXfadixG0MXJ5AdIi1hG98 KQpHONUKWmvtO0vUvttVj3E1PRs5tubzVB+aWUh8x58tDXOk+DsCkLM3DYoqIQOya87/FwKLOkWy jW09xQHZj4seJJheirjxLJGAo7wocfPZ64iocjBUvs0QWqXuy3Lk2zXfzlK4K4UHK1Q7oHkHQC4m 9nyvl4Rc5lQLjyXJqVdDhuzZvzK43oxsAo+AjLm/ojN3CcDVwZfxLsRjcr07RF9SFWEttqVOQ4sM Rp5rwRLlTvRMSnim0vOCRryVKfunNObDTAryUAfgPuCwzSVfPXANv3AX8f+8tOg/fbjrpDmY/tQd ZElc9qCG1bHN4HKtiOhVXbg5X9CedO7EZgg1lNP8bJ0TzZSrO4NFlTuEHVnGlK4h4ZpCZF5qPvfX 3owr62Lj12piab+aajk2eejEYHKkUGgXDEBrGWFoaNrRoWgYIzdcMJ1Jut0/zvh6mwuHLrCK3RI9 pLricIyZ8NLhFBR8+kC5moruAlSyDP8d+1+sWLi++CT9hHEJrYwyTTfiwFPw03Jxw2ptEiBqnNdP EjpjjuOM0HX4L3E8R+7kSnnOLS1Q8jvKniUJDAiYfZ+iSlXjeobhMVi/xftRwvcPeYGbY9xUXWLF MZ/gcmBpL85gIF4OQSrltznvKkOAOSY8YPZ7U76nBWi12gIf6bDftLOZxxsNQk8jKuBvKbZwkjIm Pffm4HUmcbJpdtnvEDO0ZCuoLoQ2TDz4nRMFWOILfQRDWuEsQE0jckVHEXX0nXdH++XZJ+xkJmwH nR/Rpvgu3pB/DZ2d0UXdHtatbUHHirkvZoH/Feep0DFOF1Zu1FPOnJ9jjCQCDPXJLmx6f3mlCp// 8tUXWdIl/VWQ5iPRFAW/9S7q3Sd4DJ8jXo4OxZEQf+4A/QjMaLqCiox1aNK/1KOO6Exfcj35a5MS GYKzlFmZ/CL15c1JaFhw3QpexBkmJN6o6/SEBgrQ3ublmLXb76y46TUurd5nJ1rwDBE0Drdt81bo P45F48CFHsHyt65+JBPoGA3LvVgTLNAMWGAFJh2LIYNmQ2ghsoounkWXKJFJzsI9pKr5PuIunVls yA06/F5n5q+0ehu5APEdjF6EUGceiCDd2bBqs5VWjxByTqJ/Es2UxApmHSE80y318IR5+npCXUHM eBClqlp4iOkXcz4BHvsQm2fuMQ6FDz36NuR64bO2X3RA+Mk2gv6rTIXfoIJh+8KVuc36z6h84ssv JFAM5z95+kpmx5tZYQFzLcIvlDOQeauU6pqfEOqC3cG9gLYvgrHnlnnHYePlNwwx7Yw/8glJgxvg XjOw9VGalzWaWoQdlDX6YZgdh57R4b6OyOJao+26sgq9hMuy15BARsMlKnRKNk0vqbFDmrdOcNM3 TpBGjZreDxrP8AExFD+iS7K7lsfeRTTUXRLMdfRevRKVYUVWEAN/qyruH79BZ5ypqIFyE52R8tNI xaP/FmUDxKVHfahWJTtOaCu38Ik+v7kUv7vNqAODkiY9zD5HZZuDJcUnzGacNtyOLCeQRU5qYb+F 711ICmL+KYbPVxUtSL1kgXS4I7WHwvUccY7uGgcmADgc1/ktNs42gkePIZYQexNPFNGJBtlVB3IP WZM4vjbmZoWGUl8Vdimk/lLdwVtKXEtrjdFF+F6Rzmy1HGm4bS0iflzKiOcGj5dKQM98ljXi/bl/ e8sy1MB2DEXqEbxZoK1MonC41doT+Mc9oKmqAb0qNhqmlqsmED1rbLKmTG6L/YGfLyZMPn8hfj2O Yxv1QLkQ1vvJ1b9XZ/kBwbWsb//6VITL9E2Epo+PH7CVpbwOE4PQ994FMOAWp0zhb3MZ87J9Ux9Y wQddKOz5a9RZ4D0dPmXc/pf0AlhoL5reFVSp25uORgzixGYLD+XOrAFYFE6nUlFQ2VlH7Yl0dnSs uyiajLPiJgkpM1csvOGR0PmD+jZAfejhETLCpnTWBqeJ4QcrV1vPeJvnvTCUBhRfXWi/7HuwiNs/ mrDQgNQzmAvdDTevTyNNwfOcXoWlE6QIzuqP5tSLjT6anyWDBQiqzCg3/N9XiAspDJNIeOflQSdZ 86ptXpF+YG4NP/wgWUkQBTu7u+10xIudFwDpYV/Z6XtZSv4TZC+2i+2e78c2I6ByIXjrR2w1lp6M Nx1144vRYcZR3tQzHXVN9vZf8PlDzLZr7bT5kSE2EFod/rFeLY0WP46TCs2NKGaLgTSHlgaBuJP/ RFRGIdQW9exc5oqdftdQlXtXD0tPnw1saTo4SJXAoSZ5+OK+BnnYE0rs9AVelzYV5BC+kV4P+6gR gh0+KL5StUsxw3MSdO3dD02LU/AieGzMLv2mQI6rV9678q4xRO4lBXrAGHEtVfVDq9c/x4ackJBF urgEzTIhpI8MzKDHB9olqlja/EfaWrli3ATdItfq9w+gyeMfK3hEo/aQ+azO3QOMpT7hc1zZ7hgE aKLosCclkNeA/HG8eBAo9L6abOZ7EbX2OCF3I9ALpPVV3Q8Iws2vfhHsBbVuSRhnhybV37j5HdG8 lJA4IC90wqJ8tGnDvOhnwHFL5hIa+qWmu04PSMTrk8o+GgnB9MuGT6BzoNg/Yr8eQ5gCxyik4VCj wZo4IbIcKoyXapU/ETKvrOvXqslEThxCD9BGOMB9+5ELBmAn4zjjEnv/ffEw+83I3Y5/M/Zfvc4d U9hiDNsxVuUjhRz0GRmG+Kbr2MN/Fq0QuHDx4gOE6jEEwWlBhzVKYtn9FHGDRB70SPnbOvAaI1Ev sST3T1oklOZDoRPxTzhJ/6I3f74Dh+SCbaRQbLknsF+tFk24lFF/26d/kDebWE+aW/ZNJVMq58kF me2p2wxBwfN6pf13noZ83/NBf1ATZcsdb9Ekpmimu/XCyRI7GuTQbUBrHzOKG4bGV7GF20ixa8UO lXVfBR+AvtGazk17h5n676l3qUeQVXv4FWW8JH60fKudVJ4SyTnvenqNYZIgiwsGe7TBRxFYXSH9 VzvqWYQhEkCMaIQHnfjPPB8MamWBOvpu/B5RxpiGoa5IZh+SySvmt/0JYdTbNqjGv4ZeREcajKJ/ HkVZOpbigIdtNXKOlmtuwJFVgB1u15HK0GN4nhgdVQorWc1sysGFyZnr2oJbsp+mVfIvRUepoYpC R5kE3WkVbyigZRolou3wsF/Ltq10nkcg9DItSlRdkkuPZX9L4orcQBgZ6KKvwvEzMQVp68rjUOkp jIL9Dzxa0evRvKNgQVrLi3KcUq65/ca9zwDPru60iyEDWmIIDdj753/0f0T/7d6ggIMyp93f9K2O 6HphEvj4FGvYcTwn2QwSGD9/vrJvBHh26/mn5uGaUFD9AA2WSZW5fPiKMKEnP6rYvEh83FxEUuqb AztQdSFNVlTpHM758m8J1YHintdJVzAJ9bQRwEtF5tvjWuwhpi7+pVMbsVlVOF2nKkt9xkLd+TL9 oQJYFCMMzy2cVrjJ5D/nmjCLE2st6rq2X2zHnzqb+PA52oMNmLruWQ811pIYu5fnqNnTbG9PZ/sT wrt1fAhhh7orZmfp/cLsbcKEFZCBAVyHxN6SIXNlaMXAYTdjfMqhTTeauWGL7Y1vIiPfpl2rubr4 jw54n0t0dtq4rcsJ7InUjAWIG9UfEQ4GxnLCE2ouGaJk+Q4hDQW2K2vfygHAi3TM8H3AFaPSspoc Pkr7IPqdVkG6AAmIgSzJ7g7JZtDA1oXoRsNsj/++YaKJ6mISypxkTLtcIbeh815Hv7a41U4N8Yun JyROQXjBKB5ucbe/g7KNqmO4vzckOWEjRpfjAnh3YZIRtu495rOPHxvqX/lZVysWweBbCc3XFBTr reRySe8owuYcDIaMUIM0r2j/nvOjJYKaRM2whgPm/jt1X6FV0QMb+rR+jx3igSC5wpkCx8GjH/ib pG+CHXjvCt9eQ7RiV3+yR2CqL50fzkNJk/NgrYlNJcGA7gEuIQUM3y+kl0X0qUwAZ3ryArkuSdU5 G3aE6hSQRSLNetZLSkmuhl/AfHMJXvt0hkJZoan6LIiuCYve8DLALSlu5J0E0Jtttf9PVAT9wA/V /MZTILeaIXP3LjIrSdB80Z/EzCIYwtbnBwsJHM8p6G3PRsEMylLxX9E8yRjkgBemOo9jZXEsDGRC HZntznSySqqBt2/Oqpwl4xuESm7L2t8QvQslRx90oz8YmY1lS5rwnpsSIPnj6M6PUTvWgUR+7zv6 Q1INx9cJ5Bb2G56HH6prJoAl5mvVnvasmNtNMga0dFZPi9dLAQDCGxA2gw8kGeyJE2DYr3UXfEqe P4hsq1jyKzGzFui14MLbsWved8bs+ZlFP1Ny/Dus5hf4oniAnzsP3zR8AwgPVp5YKdnwNmLDfLLE dR4BDoPQE02Jcy/iDve7w6O4hNixJNryanQLlKfuaAO4UmhP3pC7rrlXMQh+NLmFq/EtbXW7lm/3 tYtwB3Zl0Fe+YTENOaAITfeEp+UTSXYaB6+k7IAN1Rb8VCeSTeXHrzBpJZkkTJwnQQaVdIy6G/0K 18rEJputl9P4ZodXFmb9rCxgMei/BNBxC25bAnBKtlrPwSxEkujrlqKgWG59H8x5ZUsYaF5wjwwU HXlX+Cy1BRqcQM3B6ZGBsIOQhQVWtCvmgcTZEg3r9Qi+Fkuoj+QjV/Q5eAa9XP6MP5uwy/4Bel+n bghhOsMo73Av8Vbp39V3KM5nzzVshMLf3QcspHL1huUdciM5wPhPwAXqSBYXEfvFJ/NOFVJpJQ6s Yq0mI/eYmZdfWxTIRe9koNVbO5daJ+FTECIN7D+E7Z60i0yTSdWAjsvQ+SSMbr4lNdIn1HURTb4p TJTxWPWn/R5B5ISuskYe0wvZaAUuEaKBDeJGRA4c5LeR9GowV1MUEWzHmcTs55lYe6BMbKSD2PLC 5VqxZ9uvwut73L2toZtthWzjq3ukmbCAopWTy+bsemB2Yf35pVpBJyqwgM3mQGKGGU28sF9547++ ywg8E8i+WkMIjlk4jAF2nrdeh9J2VBevRgNNypsKsSjmjplPZ0dB55z5Be7+9fcAgy+ZyyeGuHUM hoZdbCy4ZFcmJp1NFXDMWeSn8RR24Ri/78uWBuPTEiXqZhblbTB4b0L+3u4S3GYXljcn7Yci24mC hu/dRPPUoP4dt1mqJmLI6LM3GEwmiuA1Aa/XD+RJnaCkrI/5t4/7Q5Yxaawt50VEa0Ci7OgVonP8 CL4+xw5QmIj2ZNcfude5Qg6GD9wzxWGsHaxDYjb3s3FQJGOOjHOJW3LX95CSyMw6soqvBjfgxZa5 JTy3ppHxunuZd/oG2IH+MtVSppSg8XWjRewrLM+bxF3U1YTD0dx4s/Hk4B+sKnZWqDvqBGmG0SsO 2j6Ge5xJks01KlFGmJs/2s2DGliElHFwkwoeLmYGX2xnMmZGYcJAbhtl8gHczXEWMSCkfY/C9bgx yxfdaFYuMD5Gb7SK6TyBl38dCogVN5G+oNblvPRj0YSenXgT742F2enZYwxsaCFx4meyOdaSTE4H jJl8Y9GXWOik1sDV4na3d/BE+owBKfItp2G7pqk+YWUAfeEiirEQKy8RfYDnfnZbLSXXsDrh+OA0 q4JrBGYDVqJxq9tEVl/jOCzjKDM9KsKnBxNs0+j53tY3mzYTWVaxXvo/N3Nr8FFoy2u7ReJD2dfM EqCRrKw1xZQEe2VdTrIkm76salk8Eyqv29EkdEwzYDpYXHkuYoOqfllHItg56TZ4KwqR0HE401zt nPvFWdTPiHOhPsY1VdFZbsPvStQ+JHM7R09YB1bw7u5Ea8rDdTq3dQmupZQTOjdfgC7BbW8C+ZYJ HFAu6pOn8vFyU1IZeZFD780Snu25++0Wa491cl/7mJzGQ5kqcpimXy+Eym4sH12teFlwcu2uerDH RNzOvtPW6UtIn+sKhHIYBu0VDW6ayTac3clck08mz8NcEoMgCigv6bQyZILc6InY/CvPI/avbZ5Y ChSgzFYV9Jxb8hdVNm/6PtR3js2b5yR5FtOSmjnCp0s1e9VFInwrFnq7EzO4rctHGXCZT5LH2deS XSiqTgPEeA4wJG3ry6Dbo8OMvyCi4DCDv39TLe4qTymZ4rJjyI84rs3jzPZJyDGPq6yuCfYXfnh0 33+VZg6E9A/pv2gexkvuAhHY8VUYKD1QkZSQJ0f+nk9iA1//8QWIA/Y/ONZF3g9XYhpHfvJTofpN 2S14n+x0tG0/2HwliXWj7RXvrGxqyjdR17s2SnGvI9qsVmeYnu83ct5MxdxwJ1cCaQfhFM8Iq6u8 yqIvKCRhpoSH1uoSyfmmqni4TbKhnaW66cUEfP98bVkQw1zaQhA35+Vxhyb2Zj5uaoB1rHIJPx5g NGUY7orD65mxLV5/XVnE+BcWQayCAkY93+LEOtPtk0uv4wPDD8pnEQ65cEVAWLKEpbWIyGB6Hcgc qKcboxANYbdBlnCBn7zoU0IzFqpR/Dlj0q5t83xVep1EW66c+AvOFgfxbT71ZssjsHMPeDD1a1PI H9yfAcCEM9dA6V++afY3kBrkUA47aeFOeDWARQ1XrX7uP/iIwp4CLqArQ8TPmtNstr+7QQXDsiNY KsAfpAa74YX8WtNIrYMHX/RZxaWeqSBOkwN+EzrHnWOE98yJeGckCRD9gWracSIEmVX8lkrKTuR2 XM16u9oFknA4L0VirB5GMFrNgilN53Qh2M9fzdaBqjesF2UxDYYKhlBtR5ZTTu765nPfxT/nvFaE nKOBBkkKDY59c8VFbMrgPBxoiHlYDC3J0m5nbMsRg6B8UU0ruy97zfwGsWFWvpOWlxYlLp71EgyP HCCrekoEPRCtSA7BpSvBvSPlXyusjCSTGsJuJ4ew/DKhbICBdRvhFwmqVnXK2TxHTzAqLV5HWbWX QH50WCtsR8h5S9mlxb8uGhp0arzK5JA1xJgJGk1ZWzh8ybHSQ03N++6T6XitzbySvu96C/HSeLPR HGfsLOh/MC8xYUlid10ipeIZKb1cmWEskfP+5kHzAb1vPS1tjiKTHSY+L1jHAy/2OGLd8VGv7IyL jrkLiYTFcfJwW/7rwoGTOfw3gGdiYnSZZreLivb9qGa2hulDCe7Tdhf7hKwITLrtkWvdUQuoZ1r5 BNgcs49p+tKr5uU83U9dFrLLtqge2qey3tMby6z1TQbOeaQIcfW6gmzDxQyRhC6bepaHrDtPExbd out50cn8COUCD+hs2r8ifNc4GxeKDrMeezRzGIXFAdbwLmFxVGJkv33ABVLqYCRzLYaNzrPl4sb/ fhmkP0XsJuaErheUUIN2q3g3eqN7a8iSr577p6lmDHGRL+NlVXBON9Ve60DZihCSBLP393nOPcDZ KBtPNASudFHjRym1Z541HiAzikZ7XVbPLPfwxspAFNgnS3oG6gfusNXflzRH2ofKQxbyX72IryPL sg38F9gTA+GTWwR9JPg8O2uJdthbd4wNC1pt47stCq+sqIZCemsxQ5yLVeQSxmg31b7dJaOvkLAn +CZynWbXmX2aXM+vgVFEuiwvAKNuJfjFjdiuU9yW5xzVSZ9zQSlvTWrTMcelsn9MR65rNJBCKBcn BMUy/I0mQIbGCIGe2zR3HIF31uHTTp6kHcTGMN2ADRCbPfpbp//WhdNBsAypr9dr1q4KgR7kFSO+ yJX1ARw6DH1xCGJ+eTGV/4KaPi5poUj4dCbYkckYmKuO093VDO3RlrheJpZ5vvFiihB7G9efzwXT G5G0bK3090ra/kEQRnAjESDusgf8X0z2ioWQy5/CbhKfLR8QE9YeYq6Jh4teBe4gCgf9fw4OggcN 1vQ+h5v05k7TJB/7TikUaQ0P3Cx6QJlA/TI99raw0+UFVBsg5/abZElofTGJRiXuxpB4qkusLMBR Vm0kezaVxI6Vgfc9x2Lv4cx1cOBmw2zyqMNhilvLSqE7Oi1ZfWmX7jjMuzQmX+xL0854ZNzPxaA8 0yAvpiiMTIhC70kwt7AEaM/M4wAL76WUg/948jW/XCTvSC62Qobgt3XKxemmNMNM+/nE1YTXfOqp T258PKvKTiq2p5jMk7R2cf8hUVNBKF/nP5yId+3j/VtVytK7Z/mp2Ix5o8TbveFzjBXXG3OxTC78 ETG5efkUPs5/oCQMvmNnS12Iihz5YrYsB3/jDK2jVG0sZMVXy/hnxCv09+JKbU1iqgOBmaxcuT6g RJCNILVoG4tbOEGWkZcrvZK3HlvRyxxE7o8R/26viU+yEi2MPr0tbBPgGRqSY7XCMZVyTQoII9vT ggh8wrT/dkb2Q1AjSNnW6Rr83ymXRqRfmzDYcFVZBtkYwIH9PUUW4EXOSBXI+oSxxkJF+8q43FIy NyiYcA5E/gBVE1m+xoVDlqNGnm1W6oQlXeyEzlcTeMw5WFWPZzRj2fEpzTlfwbFiHSAuxqxmZ+hz FBAdBN11dCpVYDaa7l2MhPqYtwoRN/p502mziDmJoGMbOPZ31GkPD9axv1EQb4FO+74b7lnmKSdW 0m9h3elB5cTDEky2gyStIT2PryYc+BB7bZjCQxvLnFEMEvlW1tc+QXSNfzDPjM2q+cULMX9D16Ny FCxrjfln8LsQ4n7Q245GDnXSXSe8DlG5NfgHqvg+aTbBD6OsDPa1IqTVr3WG9JtFZrYHwULAuVgz Gqk3DSt9+D7q27AXu1Zmo8aI8lHP3rYEUqhvqy3gOw66bK4oGSeOFA7dGAjuXpChT9Dxc/46lmUe hXajXhwozvCXzDJdfI79nckXwGJeKkWbvYvOXyDSSwFyaLpjXCZhybqFod2PWW65fV7dWQpeRYwp ErovNSU6nUw/U+19y5lxnyTFgzvPjQzwPcNnGzXfgJtQIlcYMmdlPtSOvFsPLf9hLcYQYHpamyV4 EexO+5OtyTMiwHIXIQarbJ9JpWwW9rB8dPnpqcyqj3JjUvp14FdRJ5PnOtv8DYoOLb4oovV+pZsZ cg5MPQ9xh3zsterN9dMJJYVs2fL0mXjtl0rnMwXIjIP2GadteANUzcElQUXSZHMdjpMFCamE2pYk 6fsAv+KONSKNqbaZmCqE1I022T/8oYIr/9bIQskxnvqjush+23115bswB5CC7F2RWdIndPe2zjWI I0pMAXC6sO3CX7tNXx3PtiyRiQ1txjEhFIQOYW9bgD+hJtAMNmC2GcvSRcxutZD6zNpykgNMJOvb 12eGz/uZx6QzKwREBA/0xWg+4let2LGYsvm0vIYQHBP/+Wel6KuQMQSRvirp1OZ/0Y/yFOeYak8x Ki8El++i29FNMvg4rdBm4gTGrHd0PlpyQxi4gojAIgtKdSMM+/ed8FYa35ztRqydApQaycmEPluz d7RBWGiJQHccJ//l59tbrrKRPbu6Gc4uJBE3BOYLqWyZEJ4hVQLtWsC5GA6fbcwm6dMMP9rtln5f HaYF9w4Qv/OeaWWVu8z9rRDE/+lSdO/Vo9k2vz/ckdU8Y3v0VrssuCxJwc7YCBUQjKGzt0AXSpO0 cpgrAkkpJG2K8OqCl9YCpnlFjf3nkVB71VCX5WRI8krkbX59LnxkmWRXKGMPtnfK/6plOp/WpGfx mwRwMmWNNIqlijQo+UaalB3BF/qhWmdjMGQsJdvIa4Ap2s5BBM2M+aemr0treh6JjENI13S6B8gF CQZBH92vhKeNaXYH5oCXE+62mP+VAPZw5zvbxMewAhFStUdZ5sdmy1yDGtwbuT13x2DaeOJTMYUm hTQCi5KAu8Wv1nfnqHpiU20zp4SEm5rp4nEYmd6HWqFdnBlKseKt2EkD0Y5Xnt44fSTFNZzBFars kVt2Plo/m2as3ShxYcP25IX8CkzGsNgwrkxP1W6frigndJ8/6hkvRoqkCE6CgxvL9sqjdjgMV+Ns 4uFvs93C3eLt4eJsoBSbTRZX7wfOFUYHwRWoVfKI97IowaxzsVNaCWuUJHmuWvnRaJngjcy1x3nx 2V3WYJ6gHTV4tIFRITvS/Fl+aTCP2JEGn4J/QRnflCjrH+fRJcURGv2S/d5UZAGqm2DzvdosKwyt r+EqwqywIK8s7bzsVq2iWyiqeK2sEUmRpXr1qGpDhKmCCc/9JF6VjQqAdIU34GK13UvcEijyUayk NXxSH6bywfbmLaLE4MHL4MxZ0dfS/HmGFRGrn6YRkO8S509yZKAFOCbC65XC8cchykdRYyA9rTpm o49wyubqvH8P4xIu8twQiTE7SPm7jwMawiUQVxS+bj5qFYltPK25+T1HDIziqazUdbYiaJBKTWYQ VFSU1PJPhRfg2Xv5AKPClR4zbAQtVzIKhJ9ksrwbF5kGadegeabhR/0wnHpp119jexKnrUmpSDMa Y+UK6jxCYWTQZCyJNdgH73wAaVnkNEvC4J53yHOtNm+gvTo+R+627ASrHMNQf8zyXOQjjHbcDm8T H2UeGEx8G7ma/ntjZWY8qTrDlMCyqxTv23Jsojc1NjadSXyBOUyOY8Goy4hxTVY9QX146guVMjeF jpySjKs9YCytOCQqH2JZCq40OlwRLlXucwi5T1pnlreJ0XoTI8fpAvesM5SQW1mEHGKBgdul/0cc gjKebIoI5nQRP6jfseCG7inseRysmywBOdat3cfSJTlofAibPgSF3i8rnzvJ0u1RLD2xWTWiUJj+ CyflhT1KyM37dis8zBG/WjTJXKI9YC6GFqzDBBmtSrqQ08bxLMTf2GCzYmJRo4541H56iP7KC/rn 3wyoHQYrlDsOCc7Fxqt6EoHpGF2rd1RBP+MoLIZasbj+CQ4glOEe19Fg3abLBC9psuYelC1MGOUB cdKEnP7VKp8YEh8HHP7TWXDwVtPk4nSBXXSpmcDcX9apL3ka5e1AaQ9BXQrQRYoXCkNFRVu2nNUp LKs4lMd3LQo0KC8EvWfhIDeOLlh5dXGro+28abbIYVXH7kivqanvo0uZclsm3MFw3SY4Ap1s507a Bq6i2SddYWNkdai7LESJDQLJ8FAkBqfUIK3Z42k3c13ocbE0F7STCehBlDviMvzZsHo5srzrThya J9YlTpgZhSRzp2GczZf+/RSAJKhJXzp3DuW4/L25tPPoAlAzdZiIivP36oAlDkl68xLiXEum43cK PX3+wN5Q2Bb0Gn/yqcmYw06LNCq3/FoXPI8eaNMt2yO9XhBw3aFpXqonkEq1BS9yxy4yY0ghHZSr fnKA/L7twjf0OtWqaPpv4fwiuaLI2S0vQYECeRDJEgKuE9e+971h4sajZhTimjeRbrti8IkIJYYi +UxvTe2tM6a09y1oTWQTH4GSi0HrbcwnoCemMNR78eoFOHQi5+m4XrJmbdjs+PoN12bWtsBiUBH4 D1qMFcKeKqzyLd7bvOhmRjbJI1XTjoR+Hrn0uz9eNQ306AggVqOG/c0UxdBUJfhNn434d0v/8AQ3 8h/6d1NsYXlqTNN2W/VwJq9vzmuv2la3cK+aHJYoUbpKy4mAE6C3jEJnKb/I5LTiORLg5J+Ml65o nKxDdMCPVnwxazTyB7Az973n85/2X1iOP7qbnsIYN/S0+2jAaRcdmn30o+ACVrfTNBF+wvSgce6h Y4hisZSHgIvHdHqKXq6TsLo2d+clRZpaRwgq2VT+ELr7A1E2Co2ce5053BQCvEOsXQHnzmykIMUk EU1zbmiDpQ3tlo/79XS27R5HR1WwSPMr15dYq3H87oRD0QbeVJUyjnd6fTAhDep9cXXDsVUO7LJ+ 0rvyzUa/VFapdna8ZcGWG7fUWu+VacinJOGCIGG7Uhnvja2WvFytBadSycyKqD2HC0svhJG1yzKk 5cBPix4ia5xjtmD0qLRPQdCs8SkgQLzrPzIDWcIZwrx643w082W0yuX0yxOvzcVPENpsLKkWV0sL APk2rFfaJG8AyOapkZl7TV2e44GPhKZrTNVq1i3ErtcFzf46COo5MfyADfP2FYygDtSYF2zwK1R0 bbDFrCueNqHFwtRXHcC/5nepOFZR+oZLGN2U92YI5fozS9BZPsV0EC4F4WH1E499fnixjQmv17Jr 6QVYtiQssX8BRsrmyyLTDOqIWh/Qas4c43Z8g5QlY0kKfudfdMq8O/WAjDr32FAzlgwVjOvsKE2Z VxbpJvcNbgVQdBGl5UyUvZh1TtCqKmnmewE5mPEKuZmwsSdG38mK9VYUOQrFIsECcqGeJayTud6A OCS1mDpyVCN0yti4f7/FBURnIe3MfQXCxKud1XQxTw2DxOFbBUkyl6ui4Qvms2/effcA/hlPjJBb 9djphrKTzRNSKVibBmIMnL7gtd0g1BiNgVJHMf9vr9WKUDgiFkRJ5yso2JaTkBFkSJGFyeUwDOWA xZrAC2cLMba8httByXI23bVsBMr1TEstiH9GgLHSqGfgIyoMAY3Ij+zMicLY1U5OdjtwK+Vg4RrW 7j8BlpsuZC/AO7TvJxfQChzHpeagMcRyTI3gPdAUewXtXm/DZIFT5cLdBTR+cyevGfk6bQORpmxJ S7bxUWE6D8PVzIzUTnGdr3vTBk4FmXhRFCPSiJD4h4JNU362dS7JibmhVhH521+cH4g1dbuqLE9f KLWpuvJPe89+xWsnIwD0fOA3C1/55cfXjGOELhw8RJX94h9SjKh9aIIdgF4LHKNz/y7iy3+uIYnL nzUD/l2F8G03C8bOKPkLwgjiQbKW8MLgPcKPm0z3h0yqTmwNbDDd6Nrbi3Y5WkCkYTOVJ+yCkrxm yq+QJIrgdAsyUuCLlxKE1Ijm3JVUmUXUL4MSq/IE0GED4DvWNnsrCV8OzC5KT45YjW5mb+0dy7fU 8EQeaAy3yWI2A3gjfQkaVNWkdFaixcljmbYhhxzB0xTWmMpWqN9q0h6NHLKD/uEXEa03TJ711Fw+ 6bf/tZXZfYjsg4ba1Bq8djKz4fXGtnvOD5Vd2BuSW5vHjjws9lvoTYamo+DD9YIRRaA5CfCXJLVn DOyXYfE03Wx2RiSWxjXPTXMovyHuDd/izXDwCC0dSIzGqKRDo6LZnDAqaG+w5lXrDL56ML2W3lra vLtFZBcakkLNXrry3Xl50Ps5f9OOew41wdqnLh2wzBr8Si1w861H1DPb9UNxqOyAU5jqSy++BIPG TsO6SBaqf9DL7izIfiNYN6OTYh3ZW9TVQiQU6Rs0U7YbTy1tAeRcLbKTUOKk8a95TDW0Zr7hcSCW FlpLsxXiEUNIb15MXfkiabzA4WHAOVy+1fKhBN4pgx81stmA6tjOevdk5zYwNoztHzMpeRGlU37Q Gai+SUA2OKi6wjgVy24Ot1jSUHebDFQP7cT6yn33GmG7QPaUV7d9Mqlt1IBY/19To2CdqtMVM/uN Tes5QxC4Y9zARUAeSJ/rdLVJzHIgGrr7OgsYboDM9LqpszhvYdVUf9PzK21gBJnoqP7F+rhBdxiZ w7yAxbe7uiy60WyadlrqnPhtKPgbZAM6mN7/Vb1ZIkSs8kIFp0opTN+aShSQKzekEDpEUXcNRD1h ERHcoOvesGkSdE3k480bthxvngJZxi7oyoXJGTSLiz2JLV1lAnJwegidi0LVwnMu237U/gwcTt/l kJSW1iYBqoYMj+k/bM7knyz2DjnzCIvxB4DX5CGQfWXsMquVz5VCEos7AjmVhTs0AJnNjE+u///v dZWe/wfB0KaKoeXEC5gJXqePeWfZyXd12JqjWVOziwf+GtK943P0+IdYjOvPs9kGtWoifuHwBCRY 99VMEfo/djURFQwxag4SX0i1l3Nj6ZeO3v9yi63tJ6qhkgMTx6bQIJFZ1yDvaqJ9v9cnuJj0tmKh BR5lizvK7TuSJPG1YPa6oo6pPtB1h8a8IqN6x4PJF2dFXkylSysBYP+hlf+8jOpDyCpDAtN3deaT ZQA8DbayQTqPUuSWEMC8+w6Tm9dirvZ609XZoGDsR/sEzQIbgua7m4a/VgUad2iowe/5wOiHAkMP BFlKFn+WCKwNmQzGl9itH02Qe3pyG/qfD8rrDTZ14v/TpioVmzqJA+7ycvhHtGkoltlGpDzKW352 Stw1o6BmkSDvezQtnLr0GCZ8nyH5qJVLwcvq+QguBhsEHwIMDdQ1IKhwyHyNO796dsAOVtQY8IkH mErGSwsdjS71rbOSNirq2es8Kvhfs3E+gmFLUoYYok2t7FbDdMNS/faz1EamyuQ253bdM9maXWzP Ymun2Ys//GVe7zpMsyCJ+bAw53E65i4NafvbX5kXgrYv+LWavWxH3KwePt+cCfiaGxUJhB49TQIS 6ttxF+4k4ojLR4ZUThTVggeCSX2mS673BjOaxqd6AvNiHp5kddiWZR/S8NL11edoGE0MQuEHUB7w Gha8lzSvZr8W1hHEgfq5mCuet7/zopdWqmJxcfB/KYdOKHk2+7yI6Vg/OYJDkhUH9CFdvWBp9LRD dvhMnqWmPtdMA7deRBWBxjumNOKZ/fZ5UcCeeZWWwd2C0qRnJNVM0DTsLq0n8cM+neZD4CcxH8WY pjXU2PQH1SnmeUjssoSAh6pfN8c68KwdyxCVStETko/j5wvjvih4O9ys2MKIc9dD5Bca2wnOxopj DlX22RH9jAEpbMNrm95/7JBFMlR05SRLvdA/aT+DxZhrIdI7IgXhGgnJ6ovExETiB3qD9IccBbD/ jtCXNIZbhlPJHbJqpKNk9l+r9UZI0ro99Oxz+yYxh9QGrqK+91s6R1CrBtbP7tjpDVxLLvxE9oNL /X0GCPYaQ6TaBkCUgGbL2r9wFbNxCEW8yqlxICdZQ10vIOqkXNlZmGE9eYvYqptIvc8Wu7Kp2dxw b06e13vpXYCwpJgpS13t22w5wbGXIFjtwmpEO5sT8dKu9RiAzWGeWE0LgSWL81VCZYOaaq1b0mny ZHQ2L8PPeRmja6muIvOVGwhc+Y09XnrcFhUndDPDyCyGug7tG4llTdNbywzv92/ssO/nTfoemSd7 KU+z3YnW8D6vfnefXoc78yoSanx0pSvJ4qKVRvaziut2KzxWb8VOZdRgAZ4XODPJaeMemhR9f+zh a0HrFTPTzKbeDqv+dgKypq/F9fqtwGhlJnZXcsmGEwI2pmdcZnKOsvUYEbe81V1QesI8vnVirpj0 Amq30wYHEm9J6zxJCCz+Klxcnf5kvVLGJxB/P+CeTcf6F+FRJrhWZi/DjUQHVo5oWTu8GETFUord jJa6jj2ZfW9E9enjuFjv3d/o/gRVRh4taoii2tibTvdVmQ6hT/x2SnOKY7LTv0TgSY8Ut/+o+0vK 0rIgnCXIUbXIcvzqe/Xwq5v+xyb3SXH10tlWigyFtlQzkZIVuFkm3LxRCNyzKYxzVIU8Fuit8usR WILN3RkJQUYBZj7ynAHdJFQ/1tLhlL5GTSGEwvqBTOa6vosrjVcKgL1qVH0e4XtUR4dzQqNSs9rR OWjfeJ5ZuKworiQ2SjiXYcsIaAPVL3y3zXpJ3ggELhukAath7E2T3c7La/qOKOziiyZVEvbc63xR rqKP8jsi1S999HzFkY0ERb5tiqYjultdv0ESfuXIt0e4qvP6zxM/GBaL8TLi44ny4shKC9OhC7z2 AHLVZz7Eu8w8mnNry7RcsD5+OBERKm4SRoHWmOu3KOpMAux4j0oebMEiiogdmQ5smpb5+T5TKMIG e8hcCo3g2EOs57KpgUX5M8id2+RTN5gusgIGkFU9Posk30CY2ht1TKvk4K287I7+EU1nWRyBoBs/ IORzOYtomGT2KX7qeO/8/qT5pyklQ2T6XjmUhuNYEXlOEs+ylSVXb4J1fvJYZ+FtWBsI1o+KY+yo 8zgAAY/KORPZOGyPm5LKGED+ZTlccOWpdkp1FKGyIhV3gGFnsVaG9ODd5xn0GquV4wo4Cpja7Aym +9Hh5w8s+7IkXXuXPndQ0dJlqum8qHe2+KwEgbccdvKd8E0r5JNE+5kXCvf+FAEzlathB6PMonkz mvYUyNg1Yg85+Zcxc+PwMBs3M73zgQ3bNTznKewic+P4LJz6S8MFEiuOzg7WhrbOfn424NyqY5zc 8mSq4QoYGGcbGHTw9NWQJpPvaNtQ7QsQEDzAlLteH/3TfSj5IE2eOwJEX6LzrW7njlGvoYhgoGFh zySfcMFfg2XRLZJGwGV6Y8VGhJtyR0KyRzlAWzY9HL1w/uK/X62tSh/vXXlN6ZmSZuB9D6hq/Hwh SuOluZkB9k8iiCVnMGXew4gDEw7Qejp/GXB+IzOvdxukEGd7ri1NZEmKdoeWm7Xz6hkj26K6+Ytw MLImgoK18AnEaOyHvg9j8u6zKJ/YYwhDpAExuQ26jDtpvpgBu2yK9GkTfp9/75uP/GnWLXPVuhPD uGhrA00+uVFor+iWPDfWZdpAgzOJh39RIcVrnmLYgd+GCqs3C8C/++kNc9vxW/ger/WsOJfj94uN +1qUhEOpJNX6qyQrj2UZHFQrwKfDrHofMOMhivmmqHiW6paHv87dYWuGAaxBANNhz2rXmyga+6Ki y8V6rYuLP8KO/s7/Fx6yhnYxyjGv5otePMxx2Ns+hyldVMQIZw4ZwGoA/vose5YQSsuVC7QycZcO 36TGccriKSdcAr0ojGCQKa9WroR1hPvhQEs5JC9zpHreG1j3A8KHue6j967atbYau40a5Hh5rhsR AKtrIWbScyBZyJfZgYrfp+tTdBxpWIs87BIRvqtUkJhTLDKCi+FVALcTm80QF688jGbSrrdylqVi 83/qnVWqonGazIjq/ja1bMmSv7ZYpIxetM85swZw4rHF6qw6Uj1BWWeWh+uuWZxrDj5ytB+dfL5c QyXHgUdRelbpbYVxse5RntC6AYwIiGhycyI8zXzGLej0JHSxaJRxBcIsi3mMMI9K6VlKB9FHbDS9 P66eXR8UWEj/D4gPpcPL9vXI/64796adNJksVaZ2lqJwOgcqnkQziNVKi4c/jYLlWTIewfn7jh81 J/vCMKVrQEfSmG5VfeNGQJ3SPKoc5PhDkLS7bjM34dbD0+mfX29+/8ksmzuzX8+JAI2RlJqmUZpc qWjnknTx6MEGxI86zPB05Vwwiy+8tYNN7z7FFzhZ+6LnP92a3B4HuAG7PgMQDpmlxr3A5a82Dc91 6cMBvFLTy9KAB8jAoVFXrw848ipPykUXNmcaTe5MD46bFpipI1d4Ob6+dDEak+D9SeX5tvd+h6fc gW2t+AUootvz+MjRU6PD53gELv2uszq8eX2+FMydS7hg2UCConcw1sB6Bnzm9DAR3z2vh+QuUUz+ waO7etn0BgPbY6NBlds6AoQA98JH8E/60js3J9wsJ6JszPqqhP3aht+1LHhpO6w/IwqEsQusflEA 9nWfOd8izfndWHPkd8PCBZI2cfYbT+CyqX8sWbESTKKTWpOGuHZRwQAFoSXzXPWYC36NEtli8uac jUbGXLL+fn6nA0uuW0Qs12hLYfR+5xs1ujkvZLrMa3865lTq/hVAumF949GOxZRWHimrAlmZD5ru MKg6r71uwky3tEX8247STGrwC202/c8bXPr1xeeo0Sfks7CGeVrBeCCkdnv6wC/N6n0Tkwv1T+JE 1PYu8JLwUBZvRarkgVLr0sOF2+qA95L33zGgWmpVXCGE3dPJ6ehsrrW8WWdujeGiN9FSl2nuD74G MCD7tabX/fynBfWiSwHuXzQo19zb3wiW2R2dDtWecq1/jb+e7pk5nFibhSXS0tO196fJQq7NxX79 +63zdYi/rpcIe6Y3cgNhVz/vPKMl0m2Kz6qBdExG/KN3bRwowR8ZSLTN2xM4norF1HFW+VufKqzk pctsUpI31DMvrb0/Cdr0JYovEJwjmIhTHW+mBfBXqqrn9aZz12jTUf/NQhoAzV5O03O42zUWCdIA 4EghxN20oWiY4YcHPOsKQ3s/KC5DILEcyD5KIpBByhC/aelb2XdJU/896x7MfpTmXHEOa0Ns27Ae mQEywFrVqN1W4odBDK75XYjJQRZ/R+Dy2Ay7cgExF7PbJ7JiGpNvbQ6uD5OOrq8XEa8osbHvyZ2q kZtw6bnThre7pBkiSZ3wyuU95UEOnp7JzfxR6UOo0Ru0QCqVt62ukQa2H2jGxRLZzCYL+1N2GGVw A8wJjzyRc5m0sElutxditnllQsT00n4QFKwY1bUufCpAhQdInnWmnhz/qIuq0C6Jm5aMb/EOQZnI 4DnHU7ynmQX0o1uE9g2sxOnVlBR0a7gdZmm8SZhRhTJCDSqw6Bw8/EsXB+njlewWfyIMBi+/NleI CV5ZbD91kQLZ0KxfHEs4FF8krtCnD+KCjtsqIt8ieP0dfodIb7EdSTTRMuQNajq62CgrL1Wty2Zx lScOK5/4qRyn4g5YlAxvQZuJu5JLGGJbXj5jWkVRPJsliXMRKYiXBecXtJ72mJrrpzIjcG5hIl7h vWIAAZwEEnkXtDvNJsT0R9rvr/OX7QLCbd4MiE+Fxx5VH4UOyhgBT/P/6RNz+CKwbMxiIDZu5X+A VGvyvXYgUrkxq9uNmEe++4idTZWkU26gYlcJ/JJ4ELZ5saEgmGUAwfeqA9LOGtJKw43gWm7mEsDr xdDFDHJ1hprprux7suB5d8fF/Frzudvap2PDcxIIR/hMZzg00WCRE/zb7b+XOOKzHj189AUqMUyS AoS8ayCu3VDSt/D6bGXzeqHjOvtZzpS2BQypUHOCqTbCyCaj2ezg4x72yknMOBu/ETT7nIyzgP39 MYss7GhAAZ4mtfH1a2xYarwRUp4uCJt5W/JWYa1znR9o54A+swjBuyjAuC/2F/k77kxGdnfsYSA/ hPWF36LOrZmOcHFfYv3slvvNyXF+cxT70FI8ch9Wo5QZVLJq4Q6VnVzbt5kXzsnVI8waVPllpS/H v93AXzm0o2lik+BS7WF8KE7+baNZpr3RYUs2Wm+vaRxsAraswKRwSj2NM3wwEh73tnL9nVuwXnqM tw+SCu4J7KO+Lm2am7Q/PoJDefaCLMFwJtCZiM9OB+SELVzEwhfDBtIX6W/A11CqR1x+sdqxZkon bUnoB73W5UdQw49TFBNF90QnpBvVujo/UaZHKlGHW/afWhEnaYsCf7mWrqebSPsXdGC2pRMNywc4 YVwBA0t4L1B1paomyyEl+tGICdhh554m5cMYwH1Hf5tCKc19QM3f6WZNHK+IHqCK1tRhhA9Bqaco C8kDrK2raGt7+cA/PJCP8ntNMA2vgTD3ACRnJK1ZEy10sk7ds+jzLGoiADa/jdit59mfBG+gWB20 HsrJgscaFyeDGcuYQzmy4fqG+eJZ1lnW/BGISGq0a0McPvN0JtinaphyLO9UsyrliM7CPCEQfW3S DFPovPeHk67iWG8xRnJqk2n3ueKOv1RGUd+6+8p0ZNPl8lwpU1xOEh/24+WZwPLGSWITgOHvNp84 hw+XFFiZjReFcCT6UECRQ+39WV5aiaYvyl6cbklVgeftelQLIqykg1w4U2Itr97p/48R+S9+8lOj SF6unIm2e85uhQUkCpRC4BxactHzkO9hwvjKeh48AySh7PpZNEsmmlIjAlYdEnv8QyYKfDa/VIr6 g5zGf2cCaUcJwbVT/ajiaCTebo0teMvDTctW8zMDNGAMLSqb0OA9ERETnt/txrfcNZSyb/ibX+9c b+IIgeuH/IIpIqcoB9eAAtlwXv3wG0chnvudkc4JwP1p+GLV3/KTQHzAWeB5Y9DKvDGZiygwAJqI 9sWa6UGCN7qhu3oKaoozqdcI03a4McuQavzFc1gUitJCk9hcz8vMKjrXm5aYikL9D3ui0YZqKg04 WK53vt7qf+fE7g+m77pl4yEHDyg9jQNCG1gPPcnGE7POX2HjtJqQuNftH/7AeWQVw9lQ9M5eJMjK EQelPV7DmUIx/puJjwxo32eIGSn8xrM0+aHVhgiXsMby64eSYykQeHMthwWdE/E4hGx8PGlXkjFa yvZsoGr8ml1hLsOaJHUJh7LUtQkdc2pDxinbSuJFgQFq1Ew4gYhhieHozTyFz9L6s91r6dsIdJPt Zj3XssgH+3SLe8evrKr8NisKAqglDFXry193CsOvH5RLepXj0/N+jy7+vd9AIoeQFb3fbqCRa9D/ IbklnqKMGWYTECt59ZhpMvL0FAdKCUzDz99V+L6zP7V8YQ9lCWyt4i/ERUMpZPUP0g0tnqDzZ3B4 EPX8yBokYthb9KakjqSdpoZcawuIwZKY0rPg3n7XuLzCBHv3Iv6kkTFiJytqKgY/TlPLv/+LUH3W uP+GcpBknHMfd4YjIgCrukA00P+yiBtllrkfBF7EQNHp9iVcS+vkkQX0rOMVv8Ok+zlCjLVSfLU3 RN+DoLDbeO1MreKsBM9AaOM3LOY0zB2jnek8IiV0aaPTOLpvXmZKvshAQam1YF9k8feEM+kgQoXv Fpr93qDwsNOjiB7esBB3Lu6Rgp2F7DEEsYwQ0YNQMkoma5+wn2hbNGvYz0e0Xqt72FnwdevUEk69 dRAoDxSRXuboOTi/gFyZzTWCtIt2HjgTvomHycrRoNLfLIyYOQHnoPgTJ0SwnJ1M0Vo4kKbO1knx NNmqpYrTFVPqGmKNB+iz0cwQBT4FwGZXatg6PRE46l6qjleg8qWEWKK3s9FANsIVdp4uV7z4e+3B GLHmbOfOi+8spyBzSvPNTWK5vvaUpUxdvhGY76ebvn8XQuPa9idq+kuTDg6S+i29yXa5uTA0Boyn 4VlYnEJZVFBTQ2GV7gMBQFi56RiRx38obpJPuiLqIuhSZD6UU7VX5VS7b0YE4XWyedBRNAKKWNIj iIgyGSTsc2t4MlIVdZzXlBS3XSOI6bjZTsxa0BRjS38cxI9WyTmWO06tdHuyKNqpgAjV0cp0ECjH CaIW95q5S0uHQrmV6HiAXJOqYB0dpHu2Omjw1QJgjGL5oYZJrFuSHABFJ/UXFwI7crEtTkyeX8s8 uMR/4/8UdA6jeECQjTtdKqKsGF5SWhD/K8gHupelW81FyOvbZQRqzdI9+PQlWycreQWq/eDVuMRM 2+q+gnmj/3RMgtVCgGXJumowV5T3VQdO/fsde1edzA1JwDN3i08HkBX6lff7VLrSALlI5o2Suygs lTfe5wyT0Vk7eZyVBcAlLzlCxmt62cT8/gkT0YgXWXNOcEVPQO4VZhbHD1L1egf7/9O6fK5aEDhF VV+2quOqwGOkzAqNSQA+isQ+qk9H2U/jHLzNuSy/zqtCp8gbHESYiNStaVOxx3A0ziHvvHERP5VV Ejsg/EtTC5/BrnwEMi0h3uU2d/Q5XptLXx3WAMnZXPxWV2nemt7Hpkxz2zHlFPCfH7euuVYvyPVr +5GhbIfjKHs5N9C72Bfix2NIeKp3efPhp9ul4QoSsXkUuoQStYDChFTJh/Jt8/Mw9YFC60ls/gLL 9LczzDBE2fJ8PBov4TF4+QMnxkvet75oVgNGE2GSbQdWvZaCsMZalIcuk9QOVqQuUuOKM3n6No3a alvxXOU0/7EUn8VWguL9E9K/4QWjs8rvHGy9IS/thfoSV1IfZ2utQHsnOg/+nUaoGPutAk4aBjZf rH9mVsx1hxhpgLNBfLPxn5kpUQXzxxa8Dqy+TX43eHxnneW7FgU7synZW1IL8/mhY4zIuMmwedhD qkzur867ngCBiyw/CPIuAKJWOZnH2escJ3FxxtYOuKzyX7UpvRkssmY14t4zkAaKYm1rXK2rm28q MntuByXLBTOfcez1STK1HXK1ZCNEAuKNYhVDUCFYw5LhFWCCMx9r0Q0ULdN5ESqzUcXAPZ6t5AyS Fh8PCPZafz2haB+Yc4m3QqAyTAQ8Szrosqwf2uc1tKa6PgEPxXB8TmTuBpy/QSW8+ER79mULNi3A mJy6U2rEpeldUoKuLqYY/YEia9IoynYP3w4OIZdaZrbruL64vcGgGWZClpzznTgDrOz6HOqYB57r H5TDDMfoxky5pkMCSxfq3xR3OMKGpC2gbgR3Qnz7FhVlCbjorv/wT4xBtr4PvtAcdEW1fd7xiy7B fvh4zUAtuYlD8cHuC40kRDcvboKIulJ5D9pkSjfeCeKs1lh1QJKcycMDBPtuQm/fgnAvHyjCwnVO EfvmiD2IUOqbS/Qosf1QCMgyvKeWYqy5GeIOXpe/pxt3pb5cJLNCCS0Ze+nFGOK00XNGoiDxTASJ qYxmXgoTkTkvc2TtgoW/I139k01LZYjtIFGFhG3TgG18LVnsdKCHbEyJjSyQ5jkwW90diqRtoHou AFhXIB9h `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Bds85+dGMeCsD7hcir1yMlD7vI3TxE/REkPnx8PwdLXDvto8RvBWcd2kdr6GYLOjf4YCuyZymrYJ 5GH7YkzIwQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block C6h1CCNWEgO7EUKAL/CRgXRzcW+RA97RWjh/l30pdyXuP1Xh05NFvOimQ4WrD4pBnDcaF8Hj+jOF QbJOmFWQUyqCbK7gf8QDLcLapOMJv98IuE3h1+EI8TgktIn5/kUDGyhwEaZ0GVA2ssADSiwedB09 BugvAqGcFiYjbWTkwYY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nV+3dfcQQtizCD4IvxWM+E2x1KiaejJmvBiJRPCc/Gr+d6qGz6skRXcO4PVrsIJUFbMrIvGRnAJj mVlCkiCjeo4ilmjmeJnqQMWYUTYXtlGygONuFTzkLRy0cKWc8ZHfcP+bpOt7mrjiqXnr+8QSHC2X FXEJSDDutrGh1w2bjpH4c91d196IM88kh/H63k+lqB16K1Oj0JBWQx+l1qM0EMC3jcQ17vj0XLQj HnDmQjckqBiS49sGOshPsm/A5EV1H3xmNgswXwU/QIbdoKnIT/XD4oGce8obDrXqcNcozJYfwRfH cE0h2WRQFSFHesOydmGusdbQFmlSbRD7ZljRUQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block svRnjtpOOvZRuXX8Kxmry49TsUiIQN5bjiLbN9STt0c6YzwzzpLb/B08IlLcnEmBgu2ZTgWmBN13 AWO6f26CdoS2rt9uJ9S+tw0C6+CySqNp5I1VUHIFZKntx8FmJxk9pPAx9T8wClWgXQgK4UzkyV6o 8xww3UUikaP1UutRqeo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NMfoB9KbXnvMVD6+i+xAR+QUrLsPwgDG+79kPnw0uYImRBGzwd5Sta7uFp3oJhqYmHpfkY5ocgOs xeo9F72CEDi0b1AyPsuFsIGSCQ/XGTcllalbYo8Ybyb9w37hcoAfhfRu5/Bgs0vbYp5ydMBjY+Wi IMjz124Uhz7UxhJpO8ddB7Dk/DZsC63TKBj6WlLi2oPQDiOJnqwwL3bwfaodz1sOGJnpLP1vhWre ona+0YY5RCa6BjANUKbJhLuhHyqfZV9Uy12REgg4CpnUgOilileCpRJ+GN0p/H+UOzk4bPzejNg6 dhvB/vGpoo113JrxaV8qNuOdE4wENReuZORSsg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 117312) `protect data_block 29jzdEEx5D6uOOnKKz7GpR1GnJiAvNeTs3jyFjaeZMCU/qIEELQpECtoCo094CcFfl5Adq5ozWXb Pz8nV+nfd+RcpGgOpWkkHyFOBmBSn0mAkDQcfVBBLV/uMBNcoLasU2UBjn2nKoNf/UJWPae6wjUh kDxP94uSKtIaT2l04TFMDfkHuT8ZQtyjZO9kEmbQYxPXwcgz4JPp93PeL01S1JU8duWTp5A2my8m 1kGDGpDpvctPivkjsObHGLPKU3eJqPnII4gD/NeLRCdZO9BVLmv04JIZaMN5cfQLTsJ90e+LolU9 OQV9O4NDFioumaPnMrSVlVbVhsNdW8/XG10LKzoRi1cJI3KoFEEgzW2S8w+CgpHp2eVsRCy4Pj58 LlP8KYWI6+MO5kcnNIIN7sNblqUSVVLgi3SuYGqGz4eX7/4Lgd6+z84ALgzf/vBCxUA1PId7kwxz 8pX11Jm+61Vf+Q1agwNSg18q05xaBG1l2NcZNWrfVcRfc0NXKjAxtuOX3pPSqjhU5lczM2tqSbc4 XgiV/3FJqZZX+jVczFnnXV01WdBvDJCPVlP2ZptQ4lw4udUTDHYnqZ6cVSqIBkYZjp7iVHET5Poq i0OV8tkHhg0hB0Q7B0xzfLBgu/8IgnDq0AOxaguvkwikTsePSXE/8U19IJ+Od6A6oVEfyOVv5i1q H1Q47AVG5SKC0iE/e34GUvqr37DKXWtG3bfAqFLy3ixp03EN8DtqtPXzWNKqmVAwsQfkG2WEy/lo 9bgMLUTRzsgzQgqjtp0IX5fHP6fDWkuV2hMMi82TKSlQHBhdD2oGcZjeG1lLuTUxk0H5h3TirYpX 13D86ZIKFc8RuryxziqqzEISyumCuwB70R2DPU0eSGujDsoUYVFGjNP+558saFHbEZuoHoUK/Fgn 6Z+dEXnusl4XWpVX+KXIxM4o0Kx9ganuOcN+R/CidAiyZpT7Qm8fmnEhgT+PQxFW9oLsYtx3VHTU aMoDFBAAmtp3cT3H8BdaPmBAZSYWtToO1L3pi03t4W6Mv73Mp/8XDVeVXOkE4Il7DlccIy4oD20w R5ktxj/ZCmFfDrCND2HM7NExMyZ0gFYmXyBw2Y2tCatCeDj9Pb7NT+wGaYPaNivXXHG9jB+Vu3hu Tscu5EZI48C/F9el36sYTMiSEi8RYwk8+5UxvPHdi2EyO6THcwfSnpKwsYsUAhnBjWLeHIkboHNH 0YJ18wLqkCO7Zabga3nKKysHLJVmFWxcKVUjkJ4CNVD2OvC+0E249dpxxuNJDwVyAz3s/WDXnyqj RQuisr+W8u85xm46/BH7kZoE7UgldVG4xmmjs7OKQbkDhEjIRUYHb25+t7rtoGcOuZKf3mhCPqHV w+x0rkPh5XDIh5uyFrr5cDoT8Y4LrMXXH6Xgn/GXa4Pn+5Z0MOFRef8T7GAdhzLiid42SMiuY+BB wKOrueXBc691UP0kkUEHx5zOD00pqdug6h41V20VXd6KUp4R7eITRbrBAcMgOp1GSJOZgD8eUXjA iNJJXRoRaJW8SNsYycyEmqSGrq4F5Dsptz8iQH7s5aXpL23xdN+igoW1xrkkn3MHgwFTAgNL0xEL FWRS1neAOXusspMi+5usc0VJzHF5lKj+k3/XEfWN/Irq2xGwOqO15t80xqjEz5TFPrL971tSbjdd D+UTANXQOqulE0lo7zpVz4WQ/dnv812K8x8GHexYSAaI3oT5IRhHLVFUTtvZuhW12O+RnoKncZRy Eai+kNGON+DRXB2++PG4p214qS7wZy93ZULyy1EviwXbAZpWMtZHs0EHfJgMjrK6LKcU24Waf2+O sBjkEQqgWAhWE0CBVAWd6U48nukPdCBFphOK8HMYC5WSjERbFsbqx4KqJhm53BiIGU1I+lsOcaGu oaq59I1UlzVtrTAM7z92EmXCm4H3IrlSfxQRoluqf8czoEyVyMFGZTVdCZT6nlz3CZs1gQfLv834 Le7PpOTiQdrM3ZhrpTrmvKHC0bld+jCLf7PyMYOvp0DfVnR1KgUjhGcyn/Jl8L7RX4rzqW2Rux8l xhYgfIXnrJiv+W/EO9nJw8qTlX0G7BaRZhaGJnr08EPuMJMigF8nEo7jqMJyXvUXl9epGrA9WgaM u4Llxs3DvghH54KN1ljTn7M4V7BcMAXrXsz5dd+OKy36Tu2fHFjsp3OADz9OX0rnlwh1lJBNLJyv bVi73aOEa8ANUHWpK9cNjewcjHpJPLwBhEDlmkl+DmkYo6A8zrzwQtMvslhKXDSq4BY1FeP90Vb6 729dyx59Gi7eiWu1r6oufMEbZ+m4OnJrPYVSPT6VUaFsPRMZ1Kk3bjtpHfKKDPjXDnU5l2g5oycp o5hKjPDZDOFqaHEoh7yhZ/8Wn5nS2ga84rhr9sN2QVzh+NgJrFGcK8XEstYcclEkWODp9NJZWWyi XX7TL1w1Rs47cCU32tyX2nh57hmsJvuCq6o+lJ0GMxNb6oPBWXmdM3fCgNsGVtPbi+Ts7Qsoo6NA CzPSK7C4JoR7g/mvbOEql+GQw1I7hJcfjDXDZbe8TuYCfRhUohPBb8udBNR3OQBnozLsU40WjSRs HK2naOtqmEXAB+8yFSqsItGPfDhAWxgSYy4Cl9dJq0pM15A8TUQan3/4Fa0lYhOgXgC18klBvRyd ucbStqa1j6zDg78APhJ9MftTO6AnZAZrDzO2aGgmdQcsDw7rQP9T1CDPjDP49Eg8tNE0ZDFu1Dvj R7u1LQJgTfhi9jwuqtLUlplBTbp8uD4TuJDO9MUXjhBMvhsliXTq02QSKf8m4NJJMC6QFGRGv1r5 +o8rXpJPZs9r/Ts2rpptR6Co08wdw00fsW3HvVPFewyIfzvdHh96qwwuxvUKxhAHC8Fj+CWB4lA9 lfL7NlbzzAL/93HoLz806sdzRUMzDdyvH1iCkWzhWri6t2cR80hSaPx/1MudWVgRz9vT/092Kl6S 0YufSecJ6fUqEvaDfLsJWPHxdzmegBfOPpVp6JFCGNeijQVTJa9gbMQ1zS258s4Z0IWAbGeDz5K1 rX5PJjDT21qu6lxvl0eEAR8ZBUa/k5uhj9Ro6F+Q/qekLR5cMNYNen9RPZp6GelbwznpNyWDWqdZ I049evqIEWMaL0fkHTbCnaV42vTiECL7n2qYXv0mV+MDF+fbxJkDDSqtwqEI3K4dQ5kEmevqwOZu G0eUvSu79xyBYRhg4/SSb8HqdIBLfaKRWjFLXIC6xoW6I2XcCFPSfW1rVdfvyHckcOkTyxTopwT6 +aTp2AUG3KnxHBh+tpfSRndlDpZzf3MInIYfB+IjAwZ1dF35iq8ZmrIxJqcgie1k9JdiPVrbxuj7 WDMiGUINpkSOmXknalNZxg2HxLcyqEjj1ho3sZxnIsPv+pu3soSkUmU0ftPcXHKNGyOITS+YXsHr xu+GqZKckoI8mG68UoDWLmJC0mX2lA0M58ZuyK23GSWdZ7Nv3tOomVp1DfvtHT20xkh+d/I86kWS i68eWw5unQgjqqwkN2yDwwfCo26doKPy20R5PBbI/mmcAxX1bSzTtyZa4cS9OI+Ga/WqL2XVs0vR 4E7QTyXt/j+VnlkKYQsJlCqN8fjyWYWnsZsPpTrd8saTJfIBRKEym3NqevBw0WoFsCW/4gGN+13u 8UtYLjUyoiyGbxqoWhKQKo8ePH6RW62y1eFZAaeCL0XsEkv2qsI2bOx0TqZLvEw9Ti4OqCdVHP2D 4gX1VmYfTa3NC+FXqZZ8D2WBMnyEXuTI/opa4hMpYRnHMuHnZ8qg4aEkVDbo/2NWnRh4c2RvCC3f RyTM5jMTkH/eSR1RnWqzL51y5Jaw0Ug0wj3FtZavT5qcfLtMZLGZYSZ11N5c2eSjHOO1WNwrEVL5 hrqwQd6Z/41HzNG8VifZmSt5wdHQAz1hNT40VNeARpORKGQ5GXo3/E2mGstm0Aa81fmGM4KAC+u8 932t7o7Liad9PTSfcAvpBSY5GKVDGwEQSvx1nFq385+8R2y9MQT6ikyadSCPMNAQoIKbPyx+Qn75 4YiQ8kSEmhgrLYLKgUn9u5uG6gDfE/A2VubCNGBtj4maLU1YSBL8uotkn9iJkvyYml4Hsl1EfMFJ 1UExplG8XYl/b9I/kYdvNv8NH8Ks7/HFozVNeGbT4GFAIUJLIi4aTZu8oCjP7ceiWPFnDlgi1qia WOnH87R+Z1Z00cl9JDxOFPYmnvAWOD+zKb11ituQEeT9/o5U9ngp1kMQZW2HOvgm7VesvgtQ0Muu 770+G0JVzLI9yMJFMXTBzJpGL0j6eRNEljCAZgR/jzzEYjfbPtwn8AFLr0ExWm73C8nbPh0cunR2 +JI1U90w8WXcgXxrt7Z6EP93z7ke7c5uz0XpweNFgG9H+GhSjcBEVpD4xPBozP70vjM2EvXZ0GmR iiFpmzJR3Vfp9YEPq0KaJIbObi3Rn5jMqqUg1Zt44H5Z0lToPhja9iwv7pHJbETjbRG0ApQ3QTVj d+xATnEb6SQBQFpmjIB0SCKxl3Fd9YKylQXs4erFMAonhUY5SQ9876fdJwjZDdS4ypp59d1a6V9B yjQ/Oa+oFRQNpgFzLiY9gvojm7KpUO+8+eo5g22D+qXFHC2b28PQi9Tzwoi8SB1VfjBIdHaHh+Ul 8emJQbEHA+xcmXaaV0A7hbsvIoQBjaiVhKIIftfWoQOE/3uEhzfE7NhF3gq4T6zYVVRvDo5TKZEu 6sw5/2kc1pYbJJBPjLHTeLm9OdUI2YUxXrklJ1Qrs6i5wdIJ6mEjZZNF7gcGk/HkRwqSaoSUaDzu chNh6CnzqGJOgqpTnMyfjkb6RSe+zutABM8IuHXqCbcb6AT1UKI9CND5tqoT9KHdn6t+ofa+ewLV 9Zx3412eMRVioEkkyqn053OPXU7/s22a3DxeWW9joi9bPlJnUnyCcaMryP89QA+4fM8iCYE37PUb 4og4JCl+4d1ta96Bkatkl2VERR1DVvNPhoPMew2wXtKfY7hwTo3gQItHsSrhTmv1j34anXQpsiYu kFhUntbmKylnI1JGvmsKI7ovEkIDBXl47KYSGcd3TJj7lfl+fFPrlaQEfdaVuU6Mx/bOwo1PRYVO 0F/kpW3PFRk3RJu2EHAucIC/G75tnbJN/Rq5YiWSel8QTz8pFm8MPZB9Rmmw6EO8iEytByI/UWtW 2qodDf4hP0ERq9YvpKl0C+x7CtqB1CQaxxAHrz18Xtf1bEpMoC7t2pSUKTbDQ/aesM02Z2OEPUAs /1iFLlRNX/FPwlh7BzziHUirMJ4rHXhqOD4Qn5qlNo1V86WJTH8bJkg8MVZzqAs34c1c7dh0hhfh 48PVkhQXP6/728euR1RJelpkJ9+N5HI8zgYbWlKU7BClX/1oFkB+Vvqtf+Ug8uhkEB0EzLoYHXLu DYEvCTJzhY9qiZcPXFKAzkk5SefSnF9lgJUrj9f//DPIKjAAeg9cU903++ZZyLST7sICL0lLew3K qnbi/CNJ7HhkgT4H8AQnhzP/XqeniMYbLmuL1OvqMyNcfpA5yQakGK0f/pi1gdzzJkz2Er7xV1+o SRLOu+7VZ4z6b5EjZetd1qH3mrqJnEPKXhTGcdA4fVfrFg7QnKpkxGMlzyWt9F7UI3scWrQ7ihxp yYAOnD6jQXDNEEtVLlZ7QDPq/oG7Fm8rmkBTd52TrO4/MMn6eovjDpiZKTogjxOHXhXz4+XlcmCN UHB2zDbYGf/8Wd7ngjFJdlMVrEF/nCslI2f1TkB8T65nLb3DsEWLFViXvHg4YTRJHJlIDyptVIaC j8fMbXI8QOLWR59dRZOR1TrsIdgBvwlgTZrOVoCrHdM4Ho3llZV3Hf59Idoo6jSSuXs2OoC0czuf 8hdB6LStL7kAlSmC502GMVRVI1RWFei4eFd+TGgNdfPi6wUiYrBEtn2OcI7EeREPiRcuiJCf7P+m 7Sz8PcGDbWMsIvG3zYZAS3Ypneeglp0XCcjA88fswy9BAEMJrhFg0VirYbDJLEDODzQt814pyNks XeFNZN6rxsAQ69BDonMdNp3vFlCY+lnFvYU0dn71BE0eGT4OyChv160XGrleUrKBQ7KkLyztOIaw 4TVHLrR4EKypYiSuTk3nlKuTs1tNVjUqpDNSKLtcPvs8NVZMbXXThZ1e2Y3b/CttEfZB4l7ncXCU gMpys/nFikSbJunNc/9Obp7+r4OGRPRTJCjC/j+oe5Z0XS74ygPGjmEgeBiUDo2sxt1BL+zh1m0u N2fcqqkX+G4XJ1X2vSTK/HVVW/RxGKllIqBieCs3FMj7rzM54EUCBzXnCYeSQVJ/UTshLxTL6k/r wk4V8NHFhb8WsvmRcGPmKHczz6WwmYiesaU0/NKhDHgFZdkHhUzkEKwepJx78X+pulSSNvPj7n/y LlHg2gJS6UmxfYk42S6fk152qp91f2vHvchz2JIG3Vj4TZLd8WL70vUw4r2hVNdjWo4Wd0R2VG5G iwFK5w0C/VY89PM6lPG7BW4cfilqaS+OXE3oYyDqwnp/6lLClmFT5zeJhwdukwZKvsUqq2OdJi+X rCFaAnNjCKKKfjUshc2cNJ/jdscAEiAaSlWsSWO+JSMBaHOrNdXy9TUw6+1QGjVYqVjbHCbVUb7p Iwol218TEIIGOv9zysz4fwIgb65WgC0Vs919pta3iM8eL6iNeFmgU0FTETyPt2OoxJn2cpK9HN3t DDYwGiO1pOjcXV0ckYXO353+m0CJ+dLUUSl3xIJtcBe6BwC/SmqunFT92Af0j6YTB9c/EHgJLn7+ DRTJZJYNg7Lejtjrjh2LeIexAOts1I8AI+xWyRuyyKVwnsqnjeLCgEqMa3u0qqg5oW+98y/QpYEm JHPl7q5EHN8uRIzvzJb/F+RluEQNbqHAbRdl3PSGDIV1bfdIwYgEKaHcPUnXNDzvOgUFBL13i2II 0+t5cRmPCcwShPgT3fpDZV+azZJB4vAmEgWDMi1Xvxe0cOcKmlzZWi8jesPaZQpD/sDEWkIkgRWi 7EnimC3j31G7jdGqFbVVUgvVYcxkrtbVCCvFu5fpnWw0TPUl/Wcpqm+ETgXU64WcK1tXNzGQUjlu L3msswundbqVrOnoYS8GaUa+Wv1TZITrSbe0X/q8UV4U5bhc5bE926sJXi6beNguzDwnGOldctxA 24YTHDExMDyYlMNkNhHkLSDFpS2+oC2Mp0t9AMxgSNXY2SKN5uLR1SXMvYJoeZ4MFXkzpDxkggZs NC0DSumOBMjkF9M37MDfkWgiX89ZQ17NLR6hwOGexHpktHlDhykc1mOWlGP+rjauS7vi9pf1lGjB Qg1fi5xBtq3N3Q5DKhHDClmZxEXYEwXB02C2Whrxrt6XuHG0TOSr3nd8vavFOQWHU5Yu2bExoelR +n7Gr3Y7ygXjHOPPoRRNlZjFEiE7OcZjl7HYkwyMwXYVALnrQ6r1RFL41f1ZQa4vGwJl4sxfr1VB ao9D5kicLjUkIPEoBIS/cSLCpg5cc3PelQSXL0dNjKARAE9S+tFnlESV7qDWInmocS2LjpkFdbWQ /LQwv2+NGzQCT9XdRxLi5p7XuJXn2BxeYToddfKAeB1M0d49G4CWH4xuh9KX9YExJQ5FXHIs1nkp 4i8q9lFlGCR6/kBe1PQxH1e+/q49sCMmUe0TVk5jK5cIe64nQTYVyxvdq/gmW1X+iLUXlG6WkJX1 HPoviujc1021Z6wK1NyMYc7XKSkjJv2Z+IyGNK+3bl64FKR0NJNUytfLgLLAPj22usQY4lvc5xO6 NxA837cw6LOCTTQvqsXdS47xK/JGwRQtiwEQ53p753gYYupLSN6xREurvdca9VNuy3lWBgXy9+AC 24d0Mw1+zdwH4vT8MbdWKyCqR2O20rdfxN140+0AVdPP3GAb21hgYVgTeDTBAi8MJ1LdwMu9p5Cf SVwxVw7rWEzXkk5jGKI/LY4sKI3OubTzcbitvnAxEG8+YyooqmNomdYlkvzlPRJbpaAuj2Z8pw4l DvRaJkf2DvyTmAWzZCbV07+lS/q/1mWP9jEHmdo6X8rCkgNiV6GbtRpS+sB26L3l8aLE14J5AfH+ uxW/f0HnOxkc+25t/27NKXWcA+hCDIsa+0G4j3dCHu5nVwYZ5WkfWsQkHi0cZglbJp2YkG6OW5GY tyjXe8t+NSBFdIq8bjcYV72NctRvQtLTpVVHXbGjadPoCSJRbIx6WpaoBbX139YPhtvMH00nonm4 dYQHsApYn0xwUZ+PYYhrpx1Yy9CLfAMWZ0IibrkzjNz4ELORVuZ2j9Kz0Ds56cX+cs4Q6IQ6rFGD UmAPnXHTyHkWFUnw9xO+KdHNL9IGJJz4zhGqCvk+nhrZYep72GEsNZzmaWKiYmVH+bqPxee6VzaS e1CU7SRM/Mpo0T10b2kRv5KKhNHwIH1G7eaLfa2OHKbUHqfC6SfqLmtOZo9W3qsQCSx/9l7tnfVu hhLk+/C/mRqCJMxx4wmplAk2OUko7nr3iA/y/bUsy8NE9Obx3R+O92v2bMG8oZPbLQUzBXGO9dCV e6yTobgzuXENxAVspATbPF2wkZjjnSOx7EdhaNtj6TVPdl0dSJTE00eqhkTBrz9wFf0L27HgO+Wk RPud5sOMjs8tECDVTMLr6rNy7TYH+DeTNR3isS1V5Oeh2d5GHIer/xyt3WT7uIrsJdFVXhdn5U+W Giw0pUD5GP5WKA5kmCre6J7kLZNiSWTzKEhvQ+2/oIItwpnt8a0JGaoiGQWcgHFLUHckmSI2jejh DlUnIE7gLOwFsVdA/RQ3TC9jv6ztFtk36ymhviTd2eyuZB9vNrfyVIqoTEbLeDgNTL0SwlDS9s8f 54mIyu69RA1gKOYwXvAdNrnJ0s0MnXV35D76JcuoK1T1FKfo/DBVGebx1Ug3WW5AuyJIHx4kcEMy c0uQPsy42jn7vrD0iPJaUErt00fBVd/u4xCZWhp4+b+UHzQ4zXp076SCRlohyAxFE8+KVuLOeSn8 0S7UCElzUeZHStQTu/cCfukmLD8nEEXjL1P0AYI/AoJOvS0RwxhnrrgEhMCwCHqsTRBtOHOSkusG P1DyQPtvMn7A5pR1l3seijaifGtiihq8v5fCSFMFWnqduoU0Y4aGn1IMhv5SB36cgerkG3d5MOrT CexDHIcibPkjwc1ikjdJ1pmdGAKPE/JVpb9QLL1suqcPU66YExC5mMVU8/hAqd948p9v9plQSwkk owcqSfZdIlTWl1hqeE56Ogso5lPjh5VnIQH8Ip5aqYiE9S1k2TLJYjzLvi1hQOhw4RI3TqzjVNrF ISVfPp5qG+cbCSvxrH4wle9RwaWbagCJEC9NdLURK3Q9RwZDLY8GzEE5hI5qZuaONv3+zM6fTXsP NHlzcK3Y4Ti7Xwm1xeaW/RIyhXNagNn7UeELEY4NeJvgpUi/tOkY4RAba8OmUVJ7a0Xhsh0nrZ47 PFF/gNSjfuxA3zP9iDrAkPqadAIjgWXgBeJXFzeJl0H9Qq1MZiXVL4ryS/zoRdMzF+T2h5y1NG3B z4Yku/NbAYgBFepOnvMYk2omvvUeWxe15KMfiopQvjRe3TJK/tGwQqme+Z1rPnz0Fc0EBNaiudMh FB4uYs3a+dv1+1lzwXmXr9PwHBcgeDCefyC1CW7QDNK53noa6Jrfp2zvHVpoYnejii4N7m7VXM3e 0KaQWtqGapNEjgm3U2tPoCG2k/FOAl6BbldTkOb6hgD8OrS5FwhMZVFbj+2/p5loPcgtnv5u06hq foaxu8MsZkEtDaAJKjRIwLGVYfQl0/EqrQ/uKjIsrfNvOwDzvp+lkLuF6sfCkOgvLYI7ZJKUg7SW WMrsprlsWZyahRq9w/7vAmGWrGN2Wo1Lrj4YWKUYkTSHpCg6ii5kD5PREaSZ1gw1pgPn1/2flHzi B5YNMwd6BLuXdQl+4TuCbzGx6uRMHXT8z3SgkOXUt97rp1Fbq3dtQOJ8xB0QVwUtXIA4NhzuAPL2 SdPQfgNdYiA5rdAWshLTgn1TLwhvCBZ7v77TXmrtVY4F+DiTDg/JV+8F32EAfTuurztxCeRoMfEd tSUGxz046N1jSF1ba91Q86TOl3nqDLAEXdWcekBDsdD8YUPEFJ1VmcfrKbyyfZs2knVJHDOYeqak szcqk88qIBjgPsq3KlMSgpLBXStD985ofdZY7zXnf26Eg//0HvtB87oxPb60ch3ho9jcxn8cPdiE mqm4c1Atju5tPGO9PrLUdTr3ygoPo3nIWNAUyI2OOtIrL2fJqE+48kFvS8R5eSlfLkj9nXpEQy7J VFlALQftRMd2TALDoJ3OX8qippr9taWqKXtTmefg8xiQ2A9zPRDTo2uYRxAl6LVhfzOyBtIiZtsE TSXhUbBCJ431BXfKgLnDYVHgsMGUcEZxxC3+AK9u0stVsiQiGgjHir/JrwR65NiUit1gyv6L+N+0 uiQTlJWSw82NxpSENuicgF/gAHyWCAAbat6uJBiSRyLyGprzgJfMwoK6kkCqQ19BL9z4cIdQg7Ag zEIvQ0VG0T8w4LuFV069qO0tF0BosyYp0DTwkivMEqpFL5rcsF1Wdc9eCPqEar+7Mdksk9u+oEEW O4TH1pkYFUvLUiQ/wiXX30jvoqCEVaD86uCIyBI+aE5LjNcMhWuQg9kZDzU1Ua+vbui1H6/f2Ph7 Ufts80IDr60iH+y41k1ksyaNdNqQ39l5zQ2KpGM++61KXBYANk7HBombuz8l4L7pVViw6ANzNi5B w/7OpiDgYfR2Nt4kvFlQN3l3q3h+JnZp37JK8+Jxzp2FowhLBvrtkAPhtCQsP7B+tIF2G4k12FEQ D7TtPQwtIMxgI6I4JMI/DlxR03PmbT4Mg4InNyy5Acj5xoHusjHRO2P0VKdhtKhf+vLQqhY95b5d MPWwP8oCfdVlIqfWPTS/4ey3AqnpygOsOX9QhM1ssRsMSusJQDQuLcY2MEObiaAiy6szcFborxTN k/5KTREAS9avsPMtZ9GFvMxWHmczPgWbyR0HgJ4Jl662F1PvQOawquCtAX6uPsotBe3aejvpCiUS xmVtUow83SngGvNod2VuhEa/6EWIXCAkPiPi3IL4KjcnMiVQ3cyhZqktb7tOZEn8T0BNJtps0UfC kIt+7qpKk/X+MfAYmtrZG1RqT4MlfricuKEJWF84xG2tzAO6d/V/L5tRhuY+kI1pHx8bscCH+7hK W2FspKDVF6zDh7u6nEHyxvU8Ni7KjZFeD6rDHAQ9w7ov13rRF4w5KIcopWolwh8Ip0gANw1skE5g 7WC92ZxmVV+vlTSCiiqwHfP86EH+npsdJC92GgkEGNzgQRWZWCIotq3z+HVO8auFcA4eR+s3Ecpj JPxN3hXKqoz9s4R0TGovAEpRBvJg2LbroHECLDWyo4G9hllhnokRE+pqCkXOAviuOxqJHd/ZPqhg DDU3dshMaZ9W6mmvz2w+rcB/C34HIAoyNY+pOIwjWnHgxHFw//kvrK3R/HZvGUGARQFQ2KP6DTZb G+D5RqIiZ73s2gYrvg3nQt1uTWCRw/C45FCQ/aUGRdKYPUskh9ocgObjh5RgcDa2fbv3cUyyhI99 3ov1vOg/jy7FOZrt7EUer6grDLBUwE6wivFaVOohqVQ0FTz/3saP7MKULTJa2V0JbgMNMEGE35lh hgv0HkMPTXYAia0zjnurNxnN097b+1vjkjbxku6sIxHjKrGgmsyzBd3J60M7hmQJhn0Uz0MKluZp cN+DTnP6kSIUUDMpk9wpzdurP2REqbpqbWMZJSZ0QZA54fT+iBFsE5ky9YgEFkFBR0DCnC99FmFe u0WMteorcnui4y+L2hhvHYFBXuYWy8DTQ7VUXR6uULk9W1/TBZ4+x4FeY1/zLOqG53+jWrufEPa1 FMSAov+zgDbiSd7XShvaTjy0iJeU9tanDv4tENGMZZ6rwTwHYQQWVgY0mnm6vx0nZROFxiw/FvsD xMNIxIhq/7BAb9GO+WMZPMYJmCJoPR57LTzvJqClq9mpxKFgFht8dzE2ImfH44YYd2JH1WWAtJkB tb7w3jRAZ/jiXawU+O7M3ZY21pTQMMonksZOf+jNh4IVggrMX/ChTreYt60hDygF2ptlx9lCoYmB K3RMbZdwsiPC2fe83RtbTkxMuzIzUemZLOhq7Ogq86Id/SiB1py4JDVdqFgmkgcWuEeW8esysRgv Qxynlu6xZV47PiMZUPiY6VP6A/m3VArXwVAhZ1IPXtrse80iJVJwPZelUkMiMbV/99esQIPHz31D xzp1YUcZQTzO5wtyTSZYFxEVC+ik4z/hz7KtZSy8M0t13N01b5SwIKKS13YBKex5DKEi9b9utSE0 mN4lx+TSRSf1UARrsg5YykF4AonpWb5W4zcsQatK5Esw66spY4Lxjqiw87NOf1cZHG2RaiKmgPWt 1cZa20AnBEvGKhH9swN7FkBliz68RittZwEepE0JNAa6ZRcuxN0LUdBQ3xNYKiNzWFS1fUSuu71Y HqTHpdhQyIpBYvM+7DUdbEIucTpvoUyupoagzUA4Ne6CVAC4yv6FJZKyKRkg981MYjDGx3KVjwbR dNeS6xZybeiznsKKfOzOX32Puhjhog+XO1snErLjhKX1KwwYlOG4sELJ6kVtUV5cAKGF1zqf2xmW A03HiqNuA/bXWMA1fK1d6b22MB+t5JAqv8kYdDD8h35XmFjrUixRtIITSpm+4Hagw/C+l2L/fSJi 90LEzLbYlq+/l1h6sdJDdSKJ354Utah1P5PFIAuTNcKaRV9zSR/plWqwc78I6gFDMEt/GYPnGRix Yq2ZIO0DrIDa10to5lGXgp71BoRo1AgBQpI5SXr251no4+1c5zexvnY8Wi72a6xDDRDxZXkO5oKd 4lQEiilEjc694t0XdfJLTvtXaEroSKDnX7SPX13YEYJ4DMvKUiwK0En8IptB4nfcN53fGLCuxfQP TlxGzX2Sz2OnCsz0YDyCLIBlHBNwfCC+TuwJf6yOXvLwUobVn41ZF7qf1xVnQUVfeqT87A3Rt4C3 CKNjkQgIrNltlgxd5zVQz2sWyePOBKnRuLXX80zOYCdXtPbhOkInl1FFNOZnHzjFeEEcUnQJLvM9 C5r08eBR3p86/QIproU9e22FidwSI0XGL37Bsg9LVgjgdHtJQefYnCXfHTeK/vftjFLP4AzHTEWU sE7Yvm/pZ1bYsv4DDX0vC8k2JImHT8bsHN333dHooWGRVyda8/9iJUTcf//SSTfcNDJd32xpN0yu p5J4U8D1/ooYTe2F8mnJXEhNyXSHfPQLhNUds9UFsXxtBQXwSHSNNQ4GEIeD+9tujBZ4ae+imaU7 XM2DxHXC0hzoxFf7iDY0vQ1oUrWChvv8sdiPtL4i+j1xeAgSr624W8JdWXxJPVyn1obj/tM72TIr iE1aL13wTeJCSq1JK7cdmYA/P3TFueM15TcSP0hAAnWjtqLEt8bXUtuJsr9268EJIzbOMHy9QfX9 CH+t7yBPfTFQG5tA1UBreWRzTmhkl+JUvIEuqNrgj9MEys6QiWtRbYFDy5DXrnkQ+6AmJeX0cqOV O5dtaiF2IWQ6CH3htSJyh/E0AG2jyrwz8NYX0XMfSQYuAYFvg+sibvkELEusLKxDIrRFfM21Tn75 dlJyYiKVDj21AC8QRSV8x4OYAuM5O1DcXaKPVgae8L3EY/axA/LJdHdhK2x6xoww5uoockfc4AnS Cd2obKfJahB//GadRxqOC2MrKMwUsjU9kxv4P4ZaxSYF0gGZBGBOGH+Vf+b2A/6H2bXdEafgU6zC /lynDaSJic7bLxoDxAUX/Zh8aB0i1cYHCLmn/Jfm24pQFp3gUMf4SyaA0ekwxFF4qRfNsJxqnykN Ce+HL+bQsOlCJqmMaGy9D3ZHkaE52rXawDD108Z2z0RG3xOZvtnWbg62fHJhFZu9CwwPIJGjpw5C 1IylzlZIM5iRVigEceKhKS/xdaZRwFhHuekOVdqP/zS0+Owkznu+VvLNOSYzxxwFC8Z86iHT+c/a x4JzUczhDo2KKP2hoaRsxgOQSj8yKZHAHOVN4QygNfr5v9fDe9W+Fz6UOIVTfp0y0oCFSX8MVsLn Vyk/IQw6fymPE5hkaTZ0KycP8ZqCzDi/HmXaOEmQIYQsS+iX6Y/qAydgmio1wu8ZxbGaFz2StjHN Tvn4/7kSnakKHupe49NzwqbcU5YWRi6HdK14R8W6/UctdZwNrOEw7RCddE0LAtPSIq1slvOD24tA +r9uyrU6o6/41XjBhNiWZ43oX19U2VsjPpTheco2KbIPAzKokx7+yaeDbCGw3DQjRIyKpS9PdiYJ Lll66RX4E+PYBZo9IGEcHpl4IH623k3iB2alZs5KE3oIMMnuMAHXSDFkdXrQVbZvNG9/tdQDsUH4 UHdgRacQpeQHAn5zzh39FDtK/P1aCPEdrvp+K2R8WdlfADQ8WcVYUzNbYbTQ/ildYqh6A6Cg5sqF nnBbH9ZOGOUQq4DXq4l8TTRuH0VPtBLdRJRZUlC9CjwCQNMI/B3NMYG1TFcC0V9j2dSz75wPDIUf 8TjhoHJpTdj5Kcc//nCKBRFi5cVx8R7ykV+INOd5Sjj3PqWIeXINdwgE1kCe3HEsUD9CgI2iAqCF qq/lbfv7OTET9YXKpUU/lsc8eet2dY+QsMxUd52xylBha92QNiN6optbX/9nDBULEAiPXfPm0/ro Ff+S6rQgxk009STtW7OhFcCfwQB+qqho7wONMWS9QhJybomZ1i8w2LBNPM1TtSUByhY1oDXerXzd ll6UzK9JjDPRnsuvVwU5JkOAPlj4CXBctrLrePgVxjRvWvbzUGfSniQ3KS0wKxE4loyG9IULfuPP fHDPim0CtWcIvI1e0AfklAec4fDSrLlgZTg6Gh0EOp8FOTwcduUNWzfg38TBIsnXmalJU7H0Qh4z G5UyjP4vLhJBcZ8Z7sVup/CU/9b1dl/mnZkrRa1cCLohcbisPpnIJeFLIDEtWkv31QPNRvgzyHZK Lxyz7FNdSwfFWxrKxUcl+uqJug7tRcuV49LzmAmHICA21EFo8195nVRBFZVxr3nFSZnWeFLJXnSs QNI1GlkoS9XIeQPageisGC299OETO+IsAYKrHBSdG3vH2plEvtNlqSw/sIbIMkJrhiDan3d/mtup 7c8WM2iAr1HfXlvwCP7Zr3T2hr5YXczr/vaTkL+bfpYWpkzfQstexvFY9dUc0Emr42WwLBY7pd9Z kVpQQD8wK2IwxIAo/UkCMdI/62Kg+n1Hg75uhXAvWFOA4nHJDRHUqfoSVyUqJcxwONqxIQFPn7YH 2HG7TDY1rHatxMIE4BRehPBvafi/8CLWEyki8fo1c9nLytCiCuOa663OX4SfIar0QjKNemls8C1F d2cubnEi+0E1TF/ZpXwaFG3u4IT8YE9mxLPpcEdXGzJBEPpSx796zd9C5uvpAfehuRNQSawArJew 59RFV7Mhij/Z9nmTfsc++0+IHXzirv51+crYjPWZqRaJKPkzIoupFemPXpiOPT8T1cl+miGMMihg 32f6oGefvUHrtFGT3Zr8cHrCAT/P9vW3eG8HeGPZzYa0dJgfqctis0zZQvD798uM4YmhiArA0JkM 3umQ/GDLlabnTQFszsMtx/fqNzbLBySZiiwW5wgos7pyR6WM9f782xRnpkDqulqAiu9oyThfCnaC 0hBwUo/Q0QWy1YSnMcNGkvWNhCfqSZ4v+2e+E21PIUANWFmwMgSTE12ozMoVr258ocA5OjN6MyNt CWuKjpbzD0aMHyH9ZhZ8G4Gcv1XhUmAxBYn/WrLdmEE81Td+tt/9pOq+kxuBkBGTkw5EIH1oyETV 2+DlR48Fn46riw82svt/8xxLEBZ4n82GSpdf7sFH4D+4Yov0DbuIcg6lzJ84ewpkDT7rEg/P7vd7 M60J6+VoYRfsVZTWJ8QlycytPlrpkOnpqlRC1j4CylFEul91dFnpEiwQYcfvt71WtJJTd4lVOPwo ++gAngzbnSW0CjB2L/2nZqS5S0HiNkDsofjQwQCBDjRv2tdXoL/YxLKlOoWZzM0CVAbvXaju/eAW yNBMCuyyXbktgqaI+kI7wepCmpn7VJCSP8nutElZmjJYVEItzoeBp0YUh2fqY6B+iYHWqZLCgZoU 1yDfYFX1CUoHtUVDf3mU/ym8ZPEPaVyTeUuvoTCMpr/h59+ECMg59vl/AWeZSfaGZCsBFYz7swXx P1P2Aqwo5eupEIFZbiRHOSxe5Oicz/fS2u/tsWY5ifFmC0/8N0roJE/b6dQe2uG/xtjjHThvrvms px6zMs6Wgz10d4imeYf0bjX94hoLE+keE+3QYbsCnAddTzXZZmRmBxnxmcKKDgtmJ0Te56KZfuAE hjerTPMlLk3FAb0docA/zpXSb97t3zhd2GGsZsG7bKQx4blU2MpPqpQ87mgxrr3iYgvPwmRD288N i9BCBkNB115hCbO2MVTMCwc2Fmf9u1mBsJFrWaZCRXLQZ7bjRDox/HdnW8o7vnlkD/77+X+WOzK9 8vYxVVdxfZfqliSDULZWO8j65zto61+CBvbux2bx4WMO6Yc1/56eHS9BX040ybpnLBM6W1C8kxZb Y5CGzuSaGI0Q3njL/OXlDtAKM27unyi7l1deMgalY3lFnsUPt2mnhwDyz7FitmyEZOJv0dHjgKXV +ZnYVJ+N2SsUOwgUca2g1UkxPBTTx6dbWeY4bjUnJaePr/PA7xlVT47yGDh3Wbnbrjbbr6LBSdVa SMHCJk5VfeSxqNb9ZRsNw2A06ZP8uLJfXKy74r79IXnGVoyJZfn1qPebubzixAMD9wi2pHCTgCZt k2zhaXvVXZTyOJDwICBQ+7cMutRLctsQH7Sb3z35yoQtlqB8PPeMKVYkV8+5D0TvvsxluffoA+ih xHdhHVCf4zafBAUCsAAyizZVkAH73LQqZ2T6ZI1W26sd7t57TUjStsxMqZsCWjgLzeRmuz48EXdX 1G8k5b/ICyBftkKXpKV2CzMUWWsT0QbbxISVGAbw3n7lxwFSNOsUoxuxi1oH0954i2XNtQf7iwaW abRj47yJn23Ivxc6mPtRy0DL09ALz5h+0Sj1Cu+PbtaX74HUurVjiHRvAYbEXx+Nkl5T8O+cgTBW w5O6gAjjR3q+9EVnSlyWnIllQL9sdUPRnyYXgznrRxefJHTHQ41jdMfpxe7b+Z+LSt8I9YYq6fc/ EmkBEX/H2HaDfXwPZqHXZ7DpSj4Rwnr8r0vUvkPu21t2tnPqx6oj4H/nKclj7r7TBna70+KaHejI MNfF6dekAvyCxKn8cJ6IpDEnOoWXp8yLyjtMHDQrOzQcZ0TUyOXD3ozg/VAu34iKhrwe2zg2VVNe YPzRvhy0KFJ3JC9UqRRex69mDN5ExMSdZMA7CN/DnAFbpM80QhN8ARI3a9opYDyZkEHIXA5vRk5t aJDBRme7L5LkGZTOBm3u2PkvbTf/c7pZaUVZ4zMg9c7xgYPLmFIp0E+9sOo4rnpTiTBVy9gsF6QR 6EnFSJnIIbVwjF8c1VXnNrkBiGlWujAFAQP2+qzM+KeNFWTVmAMGxPOtnP0P6CKEhWijLjkyrh8e T2gvRVZ1IDe/ZNgYVB3VLUr3BuLqqsp/ULDbCp8IisRd0kN0zUdmfZs/JjBSr76ksfVfaf9IGR/r vexm3xQVDHeIfzqjXCn8CBaj8c0ZCV2HFLMHpHpAvKU4mzkczVi1CKzGH4SiOscsMdUszRTnTAjP ccT6qgHJD+s8yuXPqnvM70KsQt3tVNrXv68XLzMghhdbbH87QbmXoWW/TAoRuC+n+CfdRPZyR8MV WJqJLMI1Wp1OH+YuQJqsymUVf8ovM2i2KDuDXivlU3NScnEDaNI5ELZMmDmR58jysZEt9e5b3TBI 6zbuFwb4gA2ypy2/dAeQPG4L21W2ZusEMjyq1bbI4PmA58+I67BYA/MWVHwo+VjjnWLt/GoeJF1s OxOJJTbisyjTDvSbwQmRjIaM9kkSvF4r5oQOE1k0OChsBrPxWsK3hQyPwAf2XevWAZy5o/iT3wUV MzN0tlDxxSQ28Y5SKwRABhBxlMiElVS50G9F/wItsGVLVoNuTbFhmFVorjNiwUOr+Ozaasg77MWV Y7luWeNOAEuU9rirAg5wwuZDtY2MF0/KUBlCk/chucwNAAKrhYLFeHXEhJAZJSj432Iwx3PZ1N8p v18sNZXzbPWtvG583rNoZ03ruqGfN1GNdkrUsj/Q3NiE/ZeHIMkxknPEX8o/HBk86Rnh5qFqsaPE Afi6iCJQOyO205ft4fIKvJeajvy5MdTlDDWmy5UbGakGAvhf9MXuXBGzVHIhh6b0s09RxVLIVkSz fJll0EjBHVr59XXh8TkarPeSxns7zx5At+8sT9RXUp22mZrB/nfscxfSnGZ3EI8HWC1s9vtGmvlm xSxaNTCGW3Ppx19wk6IV7wr9ET60rmZg2GyV06vb/IELTl4Gr7zVlOm5OCEKACUmAoaopeWNb9ak 4ni4+fSAFa5qX8s2+SVLksEE7Y6AgBJrlrqWSAAjf3Hpzq59JOEakwqjn0UvDHPDnG8pEuPmGy6Y Vthsj4y0mwRXqKAlT5pXuRJBEFpe827WBZwfnFEQedei/TahPQJJtSHnk0xhlNPITtYwGE6n9xdA JD/HVtT1pEPYdnupNvKCBVISJ1iKKQ88UzHWlMF2VwePWNnYxaqHc5Ew+jyZxZA6qFlx5fZDJfBO NsnwE+1yxTPEb918fYS7k95Thn4lLqQe1DfObZX58BkY9EHrnYRhioobWc/jcTvUI5mgavUqFc6u kaU7z0uFJ63lyHGwuNzRZBWiGAPCy0TTyhdaC0X4OA8ULpw9RQK6aaf5vY0naC4+lAPa0VlJ0Ppn ss0LyFYCW/kEbWxvvvk+Flss+aItT09S5HJWi0LUb/tAFYx5wLXaW349hwIh2tQUSshgSONraCPG Jlb9qqOD8RFA0kqYULc4MkHb2Uhm3bIaRmm+zAJolnmQ+sfVWejVIS12UeOmgO829P3gbLiy639z h30PUwLBwxfzTHxDyVaTuCyAaYvWxO0sgkVd0bgU4nvnILv9p7mCAQFQI7vfKawpTwgOEkB1e4C5 k11guqYll3nIXofgdzkFGpWK8gXxxJiQvkHS3MXj/pT7/Wghk5xJOyl0tzGq7OuPmdW2YSa/Ts7w G7nTH9rKxEU2U9dJ45+3GA4/btEAX00mVFe27YHOzLDUuxfdpXmZHzrhMHRa35tN2YEH3hRiuiUx nubZ0q3wb/4J4+zgbvSwyD9B8MrfWvbARarEEZ32uqweCdmqwT2RxeI1486l5FaNUksD7+6IRrp0 Ij2glL/bNSL/hL15lODa1vJy4qTg3sBEpPE/1JI12eb/P/BCaPelaJwxKVkZJXyjwJcZb9VhY05O VphEcpvdYSb56jQFYlI6P0Sg3SvVDfp2JNQcZ/MbOS8m6TCqtOgDtlETtTLmYei0duHX/2TwXTQw ECCShsJ/ywAchbbR2naxed7D4VuyI8LkRwbKg8+BKHXw62MP3vo1/Re2eUslqFwcpK5Z4M9jJT/Q Jz1zsmbsyLDTMQ1oM2f/9U/Jk5j5afheHSRN7c/pwiBFX6ywZkgFd85ls6X9vjRjiDi/LgwFBWOF ZDWM/J7+QaKpKsm3K44yPrGVKRSRSf64x07eZBVaNn9IWK85ahbObu5CUm+RXaYHj4WHf8TlIvtS +/z2s54lYLVTefis2cR0MKnnvuXjLaaqhNlNLNyDwvaVORWPytOgIdm6sGrR6lMeD3g/9O41cZWd 4CjmGuxTWb/sPTXpOwY2B8zMNITXwC7FfMd07txWz9CSKPOoGXB6mM5sFSkYULgM3Z84WgNh9c5n DIb8lH1dEqy2FUuqYj1LbVH12dLBWnJ9hR7XFGCn1FwOWfTvQKUZL7Uirwo2LfdneVAoRicYGWvJ 1yWgETZ9Zv6K6HmR2QcUi7k7CAxm3wYW3dlXZ2WwGJiIYOz1axpyjdOgJylXhDbNv37rr5pXzATC FVWWacn9geUPammre4uzA24LmaOzkPTVcYO0Hyu11ra5QzxUlGxTw9BONpXwr6LbZDsy+plraCLC 5uHWml0kNB8BxcYC/O4pcxXaXz70l0Bpm7jH5n/Cx3GJ1r3WjEEjusczgWG7Ti0ZkCeSZwZPnNS2 nYH78mExyUgMFaDb8azGweXsk+d/6xlMNROcWFc8LNR6tLjnoINoa/vqeKIJEWEku4i1hK1Hh32Q +tLiJuPXwZnETFUeNTm7B/r/pvF83vXcBZ8Lmzdgw5YDdugOGESjYZt2mIarwzIMrlLfm2pCydaU bCVlrqBHhvnX2xyX2y6DOOb36D0CvTcXLqQ0xGN/3SCH6eQPfLZ2WuY+W+PdPDeD0oF7cXSgany9 77VJr2d6xOM00gmk4vnzlSkPiDWpHHTQF3NBgmFkeg0RnCZl/8HbcMYN9Xe3drTPjKmM193KPTsL sqx4lXwyGd425Odv3/GZ3/TwWn+eIQl/ruertAxbAkei7Xyp+UpLSNSMrDdAdC/7HClnscw64RLz 18gEcPlsMgynL3+J1zUlA26VKXaJKLEeQIv/il5SeD3ypwnvOAyH7ShhtXk3mMCZjQq03U2V4CCr Xi5vWSN2BdF2qiUMOhH9Si9lDbZqyKkXAkWdCCKkqSA+ubs9m+5s1DcXBleoMsEiq7IFFQMsUXln 7p6KWtcsSoydDLvnXF62b77xLCjzYrMmGMR44b9oaW/eQJt2CQ13t9CCPTMWibpSv34mKJM82Em5 eDXIJGosCmTOgpAjWydVhOv6ihKMPa4oqTR7hd1yTS6SwqDsMRJ7bAMjJ05pn5I6qSWpayEF1LhF Q+HOH+tHzY9CnsuVzGnpjwCVAkRa1dNcHpyIXt25EaFPWuiAG102bBE5gp//y858EiQvKJ/XzTpB mWdB00sU24AoNys3wBBo+xIK642SKSCOcA5ALgSxp829eiQL3LDZjQjpCwnkRPT8cLcu5kekaocW eQfzmfRnNNlAMw/0ROpPPxMjHMcKZ8BedzcS32zOyqBbmLl0yxTSKO2TangQ+JtYvxsQ980XXjXz fgtqRuIDGu2rhutP5ZjrNkAr2ivCPipY/JzmozPtO6miNJqxLxBQTZbMb5fd0q+u6kveyfB22eq3 wkQ9UWakeTrDG0/T+ksJ9Ui6SvT1TYG4X+2ZoZ6MPtBrBPVGJtOqnHdob62/Uhy+W49oT4N/4BOk uJCm/O3EXOQBxDuI1hRa+AGW1Wyfur7/i2uo81x+OkJFWHVbH8bEBpXW85AJLdD4I3G0rWtSI/VW JzNGZ5ftJFtCm6+h1LMXJY6o86YZMEdS4ki3rQb91yaKzq/KhDWoXwPSoKg7/dzO+FmkHrR3X0E1 dRkdmP8UR9kb0QfV1HTcYqBifg37IFXX6RYb4F9NmfSyvlToJ3QWdMOXDkrhTWGCbfY+oa9+w1Dl ErJi62jQE669CVQRclAsq5wb0kEWcRlnuWCUM59wycH5EThGMpte94qmkO+cIlZbeuD8+So1WScS sUMeYzzw8Kku5PTtikqh7QyKnY3KslvDE7zYfTrGlrn1VFemco8bWUUoouCEZXLY94CMv2F89FFo 0026rowTpZHoJSKCwecbiloxsWv+Eatnhb4QMnWhg25ayjSS+kgLbxV1i8MmnLoQvyKgNqtC0MFO /jFn/rXw1OrwvB4RRGByMMpef8jpJ5S1rL5YdinE/cbQZ18t6zhZVZM0OXU7W5O1KQQvOEkxz29m rD98Wh60D3BLR/9ZRTv9Tz6X6/4wC8Yf7qT4SPrIlTu9tSHw41y0SlHc1srcDmz6Tz8Qzu0+Inbs UplfoWkbL0i0c9wH1qpI1uOKaU+ITs434a/2k0c5PqrfjX28dJgneO3U9w8mi9Aw50+86/gfbeVM PhWuCwCmCtVzklof1UJZzRAbeooWhLgwMpnva8c0E41lXXe9OAzBWeQYVVG3xpWL8gqcKiM1rCgo NJ4/GfE9KjMgBQ65klyY4Nos7beT6SJbNFDHKj2bBa1cv+h1nvG/S0T9KMtEWs5YHZnTQa1XvPw7 haqCkoAed65Iz7AypWJ3qAoIBUyEtWkp2MHMxl3WSIhbP1A/Rpp52+XQp01dHvb8MYnb83+ypPHu 5BPS8f2FbNQWMstAVoMLVDpWRKL/2QCtieArannDkpKgVEgjCa4SHvS21cpL93ZAMlJ3oIHJm2Lb mxsw7pWnLMBZ6w2dKSSy68G4GbYf13nDYJaCq4TlO/cQjfn4iYV9hKRwBQDb0rl8tK2PYPzX21nK aulnJVvgEkEgnKn9OSziuHr4iLxnt/AZVs/++k7F3jtKdShn7yNoeFwKiRKUXANOKL/CPQcY4taV poCVlIGkT6iSnSnxwH7LB9hwmb+0OSrMl9VSXQRtuOwuIFbL5zbY/BTVZdFCXg+zZYiL8y+N8gfd vMlbG3zvmY/NIOLktmJYMmmwxpDymH/ONhzTr/ALkU1F2bwTU1KSYn5oOaTqTiJaEiUV03fUTizR XKI/4kkdnb5EQlCE0kjxTxakOUsff0snezkBWpAvstcSn6MktB92i2UBVuNVMLjxn3WcpjUf8CO2 4TA+Rssmk5zTTD8d1XR4k6cNo0Nq3Lmy1VDg4Zht6x5qJTp1kfjw4gThw9GAMEJUJmr4LFNQKvwU NxsJ7cJF+4/Lh3Pk4TB+afFP/yIP9wT8LPChsfqbKMse0s+BW/uCsf8dEyxtTIvh//Uub4ySBFlI XrEs5smXBjVZ+A6VilL+dEkUjcI5ilI7mwEZr44pklF8Q7SDhe1LT3vo7uQAuwGlGtou2sOAJumj 3vXLwebVaFYNpEofBrf6Pp8CuFZYF1IGI8lNGgwf0ZF9ZZdTrkGNSqefA0rVW1DztTXK9CcCDglM Gzl3GYZp51JDhWijilVxnzRfdRYIC8e04gfv55G8tYF8AwrCnvVOq3mQscwg6WjhP1sWA5Km/mdX FabCqdcFVsYIbE5blW3XJ/zyyXXBr6t+5s09yuvonPdqPM26ao43Rzf/yHaXVtYnWLQYH1CM+iKr o6YJwoKgTAeUpXZDVsAPxXMyT1mxSoEXiCwDmHx/6zwHJ1jwmxmSp0R0NcRCBsx6ATLmQ9wxvcs9 tc7726IRuN+SrXALfedB9k9+r4fZRiIunojcYDd99ZbHvTnpRlw+cyv8t1KrCP4He1dG2XVFw2kA 9M5qcj4k5ArbxAiZcdrLK6yWQfP2MNvJkSMNGTC+7plZd8QGOaq4XvvZM9Q3rdytbHpbNQbUYbRt X8cCMR5tXkpT+leP3F3HKkwngqvfIXYCN6BF3bW0xEw/LY9OTEINxH6yQGPeoV4XntJPxHYYjjOY xVA8AAk+IwmhqdBmAQYC1l0HAysespw2llct/r+nGTYAEH0uHotpEW6vZbEp+/OTD8Sauq6wfPh5 VzLuDYO0DFX/JPKCPr6ZaKldz//fSEarljbUTQZnHpbxvMJGh2Sfd6lPz7q8mKQFrWDVlTm82L/u T/GhB3PcU1CM63MQ+HB8dCsw1X/ioVsbCvZaRGh6uLp7B5nm9UuzuBSKsglPemuaXbGiux2wAT2i meag/ozD2fNxyViLnVtt88n3nDos5HdIlgO+/w+nccUBAaDg+BKczxIX2MImDRO5WvX2OCK1kt6I IFIc/ZhLFR9opMZaKXs+vDM+bPouOFqAQHCESn9flmS1pbvvpChbpUAGouAF6yMW1iZyACxuqPl7 Zr4OKu/T4lSBy1IDQngunAeGTGSCtMgGuDHsrkfiDtbhhobRN4cWXXrzvmf6yAK9BTIReQ/m3gm6 Sxs4H/vvsLmahPcFD3xbELTudi3l6Z43BW1IyQiHjEPmSJ/pWW+UL9TPDOlrbmv5aMBylgyq9mZu 6SYT/9P6eYKtZL5mEecHTAX4vX0nqZo4W+I25qByVQxGxsU6AoXfRsnIA0ZdCnwqRDuQDO7CJtGs T/W1/Aqt+Kh5m0q1QwdCy5cXhiAO1ZNWcHwoPkqslnNadvPg5b/UXmEt5MiPmkfKpuTfDLDM5MtE /ydiGZO6bGk3KKFVXc9iJ/faPe9L/nkaa35x/Vz3R5JFdhhHPalAqxlK9oNo/XDdeLjU4rrHKuji cGpCVRxDAUHgAqEEue0Ior9XEjxEwEsb+4CC2VtajOYSfLhLr/G1J0yDsRLD11hFQ0hpWCE99xzv 5pgkiXAJM+wT9+1iOftQ4Aga55Ksd933sQp/qZ91PRFBmCnhNdhCEc6Sp2gNCCQFI+f612svieRZ bT+HQQGfTpiVeTa4FlBS0fJA1O298D72ZavECocZ53J26eJHr8mHqSUErcmzBRq3YUlGaALsNTPd epLJf1kPFU5/9cTeHqaV41axlxJFQ/YepqwNuSST6s9QhU/EAj0vPrwWzt+jhvUNol46MzAcNYOy lQygj6RsqtDTumjA/8fvXfadmS0KfaEiVGJ9VUrdh3Lj3PbebPRg3RKG9dJ6cOGsxdhAvIyNRxhm CoBiyqXssYp1DMyC81lDAc2teGqInTBlJfueOWO0ynJ9fqUpkKS2grOKBOI4n2o6EZhqG68dE/n5 R/XhFFWSBP/WXqy4cKM1guyC1ynS+LDi46cpa3utOSOsUxyfNekwVaVDv1QC0hBEdVy9DLAqzUu1 y3vN69t1e7eesahPgTLGsaM4YCCKLu4TvOQRi+hLrZpSg43UpYq8d05Qc5gZysCsYLSR6IYiJDPO kx/s20knipzPva0GukwN98GN5Ih3d7WQ5oqShM/KQG6fs9o6SawbE6vX4JYapNDDYk2O1DkK5a5L xuQo5QeLruY5kiHwnqSV8gJEO9UHDBSrc80yLU6/HDJjDj9HV4syxfRj0BC5BvSxtJgPb+6iv/YX mLjCMfFVwtv88pyYN/d1GRyPqOHkxpgtQvhXnJg4jDP7ql77rrQgfot24eNa1ZC2ayeNQ1+8VefZ mMTOaF9eSJildJ5Nl0QRlFfaM/3ntl+B9QR/QEkXJd1iMtpc5IOoSsoYcWnwNvHn5sskRBsoltDD aJ3hzmtoiBE7kp+9xcCqjJ6MbvOo3vMB6gSFStkab1XHeBZDj5/aTJmhDEfkp9TgEkwwHv5VXshz 35lHHv4lAg4u03lBQZWwr8wj79JeV/Ijxh+2OuiUy5ME/Hh6JbmkkvCw1Q49hq4ieQ7sz0V7vMEN XP3hPZnwiikhg0wCzSYV3qqdvmIPr2bc9zA3GVdkV1+qeLneb2+9/dG1UY363kTtaVFOMeNSjHsr 34o2uTNg71Ch2QDGP4T2qtSdnUwzfW+5ng//xcj84Fz+ovXRy4XFC4cF5JeNOMdRehfZGoNPwHfh owPyI1ssrLtHFQzR8Ft0HMxQTC2jMlJ5Imsk8t7TEzA0+kz4vIAfDtwqrtgKdGyZqynSFDKdISTk 1kZdmteC95aaT4mMH7SZvGDIAAFnsOBUerSI6jiqXdM8Bd5oUyJxTnmodb6wfthuWP2l4kMZ/pCe iMnmxyxh6lpQlqDRMBZ5o0alU/pvHYnCpY18+9COTHOYjeRCchlblRhSXuiGETjitFccc5oH0YqS fUPgkPE4UJcE9D3SiSVeCMYWHt4kdCQdYAHfAZIrfAfe5JxOnqZUAGPMUEnb4VNkADVXJBSr7lgP wVCcdgv+aylXXKDmu3FpOy1G6CuwxTjfIMwutoAN4geb7MKqd7rOV4ARD2mH5jHvnmaYhxNfTFlK zskFd/vkdBcG/Wx3Nuu0vYCUXmGDm8GcqQmTW1NJYSrCcg1XyMZ7RWy1ZtvAR519YM3wfp9B7DAc 8EomAc0Fx7f5wra4474OOSeBgSJzx9eg+4b40zCjrT9408q0BFAejVwyrLIgZhm1IqNy1SF0Rgu4 4bTNkZOFWf0P20E1D5fUqMbE2k/hqGd4yHHysF82uGcL8Q+ostMyAEa0SUClgtrsJI3B3IRMVYUc UfhUZ7zjSEEDDLUkQ4vvNzsGbSlOHT5ehbHuA6K62I7ATMFBaSbrsQMdqP6T0W8ohnFPFLtOfEeE SoOHd3kAIf0RhSLb2dWwBXnMlYnZnkUjuna0ZCagPb7Vh9Bryr1IvX3/kOhfIXFwTQWQGKwWxNB6 yz/s3bmKvfEGTV9ZYoeIsVe+KgwfVJeMoTtIHIi2f3Qll4MBaJ9VSqQzuTS2YYpGF0VBhHf79TAA 3hD29O3E3jPwHjAH4Jc320OMkG+HPhTaDS1aQYmufUgzeC6fxx3THXAQDiIVdCxF4d6R0PbxdZDD VLz6kbsGJLBNyA86wkga5OXA9oTP2JQeLUVZN6gTimY4GEYxZW4ACrt9/JO+m5QtRtZ1FTF0jCxD cCj9n36W1cCtOeWG0gCQsLgNb/W7ZGr3vcV7RdvknCTYmMDOLgM9Qpp0i4K7FcU4qMcWFMYUsTs3 vZ+VO45tSQOQGI9szPLnq8I+Lo9EkXc7Nmpslw/6+baOWhyFuWRmu7KR/AVRdyaZMEQgYgnTxsSU t+aAyprnZVn7EeVY0afLDISVvnlSm9GEdEQ24Mll53IoWNtfSWE7uxH192ObsQx6ensvHmROdi/4 TzA4let09Mam0hvj2OieaOiVeRv008UGBRs9EhynBEdQccu0ro6lrBc71AdnRw4qpSqK1earrlqn cCa0BzOI3Oy3p3JPF+TlU/YReAesYal8Uoh7zlGrtd4UjMDul2IziqAMnsBtV5CjNgTbu6AY2485 rjWUoxtQVsE49byJ/Opk8xeVXcMWyL4yCF9yIHKQcw3dpL/LFzQEhIrV4IGEpoffc7yYsNhXUAka BKHUiKw+Shh/Oygg3ZzvXIz/N0JofypcIi3P+HMGrh9BaTqh5I1m7CSRGkyXrJrQH3ze1Cva0tlU YJJe5Mudl5Uhc4o799s3BxAwdopv6tA/4AYIE7wWhrDiHI6TexoTDINwiC9m4f77OQVnaeaODxIk xn0peDfjX6O3dSTDysFYDXmQ9AzLtqj0k4uNl/GKhPV4Jk3xiwbGXBy6TAsc14+/PQFXD+t1SQVJ W9ia1yygOX8POgvXX4Y5Ltt9FaBYgWmRFY4P7DwUOlm20OTFhs1bBRLrzsjU90PDFuuFWtldwGpT 1w/imuZ1IgTvXTx4UuU4lTW/DnVADWgmJGycIFlF/Ss8/urZhckrvp5fZfl4nwaFxJHm5fT7wp4G DMjs1D8C8bjrmKKlDIOz1ree8J1lv6HmLeveRtlfPGYUW8lCLf4t2y76B7+g0uOnqAmVhuWTyhxg wGjHJTRDFA19YlJb+ahUWJyXGGolRrHTo8S+cgvamKaoW3Nm7vv4hVPfOPv9uiHNOaQ2LFC5kpha adm1zN+b55S2oasXrZsiFvwN0zBYNlozoWOUsvlRa5mA63K4cYwCCpVzZoWFgu+T2ALkutngDgB+ +zSoxg2PTAIm3G7ogAFH+bn4CIDWCK0yQA70s2+MHFZc6PSOoYce6zEYxCrOq24ynhekPHO3e07s Uv2TcOlrXTDk+9cT/xLGoHqfJic2lNRNIMJV00D4uKNBVP8VMBXtwdA+17kHdT6F+O7VICmXRJvS AIMXqniVydeqDjYupWW16UGQ0ikqLWQvCPB7N0iAbpgfP/0/ucBtRPvg2cGnrb0HR4u1E4t1wuoT 6VXGiTTwa1+/SW3FzzV9PCqoZ7aeF/dOgoRd4RcD6ILcKZxLttmaxJTOAD64w+eK34RAbt0tWceb jZ74eP4Omoj3P+VaPYkXeJ4JHuS0IJKOPFuoEZgosLCNGcyynx224La+U42ZcCAWDa20ORvGoH5q 25syjfyGvOXUTcgGqEM+CoLvYmwjFTMCVtmlB9YeYSpidQPO/vKq7YgB9WE2JQol22XtVLDrhbR9 XYnhLP5Vz2xzpAC5pfLTJjuvJAZWTiffkQRYOqWslTNYMY92NSz2djN7OvmcmihOTQihQhfjSieF nAxpKp2w5kbRgh0aQVDV2hoas+UvKsayurGGkb8RkbuctTOGU9xg/dJ8Wrq/e0PSvU7jXEXJK9XQ H5L+wgbqR0GifIp1t3xP5uKvwqstVjm9efVXkgGG5lI9ceFuccKz6HR7+7vb1ROj5Job4vgtyazA Bm7/jIHb19xioBoqvcqQEjqRs43rzQLIYHjfjeNqGy1jOv7Ny+8WoLVgS/yJIvDFf6MDU/Fss1ci OyZ0Hl9VPyIcbvinpxfKMFX3g+nBQqNBLe74evCr8GiLyDlwBZVMjkZG2DqNlx8O1G++2GnK6VAi 0unQjPHuq+Gcqmdjnlq8RgtG9LRiHGtpF2u9aixqftZvnbQCuQltTBMdkMLNNZ1EeICsXUDXfzlF pIZywfgfA4wMVA55dL3ungsz0lmhPWvwv6BQ1+nwt43FemtXrfEjvZzaQlHM4pjIeqKuw7YvA9Wx 9zXuOabqELaY2G9U2qA9ByZq5ayTCJUzxBVuSOJKbvRhbuCXNmIJG6R3vLCWMznBwVXlPKFrTfgp Ea68oRhpR+3F8CfhZoUPX73TbGG5g+VDesukjAAFtwk4w4jysA0gC/GyixwGR4XVdReC4uLiQiE8 bYNrL2MmrvsEyYplUH6BXxvFsmP/DJvjIuqBBQiGcv+ZhgRWEDD00rdAqi7eCKAtuAe2QyLZ+GIJ PLtl3edpgLJ3TgOMdDZWrBMzM6ABZWdus4/b8gNDqXukAGmv0H1c8C9SY7IudhDg+zapDNuy7mb2 w8E3ynKTVq+riV92crYIvoOPxWQ9SWM55SryxoCUQQNs1VFh+erlLjQI2YRjyUp8DE0QyVd9Wpxt Q3zeNi+IhGhaxzcaG1MY0onXV2YNxjkSRqElY/Aj1PQDELOLGdDbu3Y6zthniz7JIW2AajflMZzx oSLLrvcyC/LoRmhCOCTzwVNx0dJ7Smn55s4FhjSDZEZn3te7BpJi3/dHX9JvaANFmcHJnzM7mpId W5c6SZ1cJcZB64uFje89MJiVv8b+AFOwrGCpYeVgqiebxq0FBgYYw9ktWC/vhGu6Nne1EFy0K8mu +eUEpNOl5UfSujO7m6DD2u+M5OvRsPoHJam53AwS3UjwG4s2MSrx6hfmUo+LLamVv0TYKfxiMXdn ziagYze8FzAkc9g3ebu61Swx15UKxpQ0JalDGcqIaO9nFwKMh41OWdNXH48iVLobPucFt+/TnCZp BMR+vxHvGR/HXx0pUz3th0A8L5O7ShTuGncn/v0H8rLfunsNNclGMQHpq46URR4639KiZj63JwfR vPgCgcNgVZEutwiTU0o9wdJRs5bAZGXOsyntMtXmvQixaFudYJ4/JXh0M5c5uFbG5Eql9k71TROW cQ6UJVWCefNZxjHkP+XIw2k/OKimh6mlZ46mJXoScECShZAwoMW5pIEB4CrH6MmaNs64RJgBJDca RVPdp5SqoXSRlttf1+bBHKX5mvAwZ/irl6st6R+WfXFGQP2JA9A4rO5dEd0RfnCxsO9+tjxTuhIy UmqaXCSbesJL18oyRQj8yRMMyMfsdBN7DGqTzN37TxnUwEI3WuvhMMaUHyKh0KsLr1MYO5vPcMSz dyYIQ8s8TT0bwTOwGnf8jYUrN7OX3TvY0XAgFPcYUcOvYUad8yn4ajyD6TnXHYfAEKT2ZxuZtDWd QYcisZRoNuPl8/x7QAJVwT2AZRFJaQzfSJL1K1ytpAYGdt+UM6DDcwpxmyM6KdrwFuPS9QQHOlhv qE+aaRJxIebp5vuGkUPBzzx1wHrGX85w/h2yG+sTlBTk8KUsZAxUl3UNXx8zF3K0qQB0njVwQ8+0 KaethnQjlalbteQvP5RAcraFeIrOlL5CXbCbrEK4S9HgYf6eZkwg17LmMIqg6CTBcMeYjzFlBDQJ vd1StnFY+5O43uNxnVMuZGtAIwTDAPkAIgYV7GCKdanyThKYedYS5qvGjQtHwBtr2i63LliqnUcd kBR0+lsH1inq2vR7P466yzHGrZz04LQprq7UmT7p9JsR4WoSpjpl52gdZK7opeVdDRIVVhE8ErYZ xyJKuqRzIgKL6Mg2vVkXdJLCX1xIslK8BMciBk8gtWoMFCSWwEomxYDWreg7dugjKJhHJ6nRz/Cb Kaptxj0r3uDgNI0uYAaE7xJJjQEaZZctTrRfLejJ9lEuY2TBAl2oz0f8gaeMKUW9SalRXRqEnjIT E6DhuPFsUDi+XzJh3N11KxSTsNnC3mXT3OLEc7KNXml/dN5VsCiGbd/c0Chjt9wLpU/I/wgAdWxg D9+wT1ld7hnXfHZm3rtoC934xPEqMpjbyZBSdY1iW93Q2rh0j3PD7StZF4k0kVSs1wMRZWoZlskz bLlDTXp3uMO4awEM8H+t4vKVS3JSxgy+Q1cxfmy18aD56xaXcyt7Bgh9bljJSpl3WBT6Ts84bIPQ XPBnkBHi6LohBPuNyhLiVU8Df/L9uw/urc6Ymsfh5qhOXNGYeVfioO7TAZUm2WdIgQVSaLEiNNlP LJza9ljtW+St6tVtupDkkq4JaZdBFG8/4G58mKg0z01DihSd5elMhgtvLqgrBtDFdoov0jFyjJzV BMugoKzd+aSsaGxYQS2l+RrqILmDAEpjIL8hlgZI74Bc7e2+hxht3xTIZQt9G7F5JCFpSdj8YS7l 5dSSkE6xyObeQc9GtYWR435/yXWDjWGcw+4xMSNvgchZOiNHEkrsvXVsBDuC/2dYgYFhd1J7Py5d W4C1OVjdFRP1GzJIRSK9lU3WQQQkjSqcjGXV4wUh89Z8Q/t2OEDhexqHnm8CIrsGR9SF1e0tphDW fwcSvKImUbjVucxOHrBJ3M4nMmBX4/au+YH6rfjsKBVHfCex1yj53GXiCszgZvAc6VcfRJVzlbd5 AhoFreeZ8TMB/tS8mpG/3cq+gOfN9cKVKROG26c6AMX0vQQs3ccyjzOZTX0zh44Wz2Ac3reQCp7J 8bWaJVgT3BveBDEo1nx124zmfimSzMd2cTeb+sBq5v4oL3GYCKv+Mb8DHVJneX5WB9pM4ybf0WkK rc2FRSVp2C7rmtTgDHEFO2BcHInEBS3kp6dGbsvofU67E4L6KRe1QpKxL9YKzp8Csbb2TGaJHqan egnLiV5740NFM6BRfDnBn9ZDQ9URv/OAN3GUOoiypxmiUAOu8iaKhv4ds6IWGJhvez2NLxP+3Idc i1blxlbG4lse6Kb7tdB4FoZg8oHJTpw/QCfPyIeqniT9CKM85FTIw5+QVYnb1DVtHcaUKRo9Rva0 xLr5e+iK9eB/MDP44iisp/w53fooxJo1GI/3/zAn50AVOc9U4Js1HwqPCFlxBjs3tVx155puDdqr aQlwZXcen/MVmIxT73puDwRAD0eceHLeNxrQS04mRSdqkUmwb6RvIqTdOJ7tsIXdyaES81KDO8v7 +z6TAFCAB7HnJC6B+E01JA9ay2sroqdi/nq5muR7+v+LrH8F3Wjd9ahNOIkk2T9XoSvbEPnQIfWO ArKDDYPQ0r+Rmo2D7v0RDaP9w2N39rlZJvoBIEZLYb1qK2nGeJEyo8Fd8uVQGf8lhh5NBA1QOApa HaABU5XayS7H0I3+TJW2dbkKuC/ohpGJLhU6+ZMqQURVSprP+OlbTYpgNy7sh3GwGKP9VXRxCh+7 vugd2lPK3Pc3W1YWO8pjEsWe0kK2dwgAr5Yl2wPoHP3U8Gfdw2iosDTEqOj3SArvYJpwcmCd3G05 JdYjcvICS2WouhdJaw9/c9xaJHHRYzFt6hFG2DyF6zPXyrxMO1YMJZ9+uG1W3ratjmzTjsSryZkw Hb3H5+Ps/RI8jsqpYb+Jgw+hLwgVX5jiXPfvf2VsRcrgiFPUqgl0Z75lnBc1oSDci1o9Iz1R9Yvo yws6K0jgDeJ3hQf8ySU3u4ZaX66kOYGsBiK3M9OhGokKrtHGIpF3oT9epW1wLrAFyTPA+rrno9f4 R/3yn/i5JOY75vZwWKceMayNOc3vddZ/igRo6ki9twLIAPHkt7ke6VjYff02tvvhk5HHh3ev6qGb +XDci3RgPC8EgFDa46LCcSU2d+k/YBjXIVU3iexditgy8hClz15QXcOcoggF3ANL7KEAoeG0ACrA Ed/uftXyjNZkEUqGFH9r0Bh+UuqSzhkGSKpf2wYkrepasv65VxCWPg1edmxnoTBXM4tkVkk8B1Yw p1g1Pn+ftdAzFuGVUvCsrKhvbZbObCBJ8NjFzQWfJJOpIQ9NyRKmqblxofBumY+CG2ct1ZqQx9Ep plHDunvv9TVhWsiBX0zf+7D5D07GfVx0TlNevcBR9DOWpb60PKwq43gwSwTUuaE8d0vQwFhpv+v0 FFwwftKFXutQK/OSNxOrft31I/VUpgwHc/3sn8dcu48496PT2u1xUwlwg6Kl0omrHiqiRT22f8ZX PzieXbL0SNBGrIN/8kZxazbuYr6PRGLmnjvWnEnTr8hFl0d1XyzDH8N3Z5XePMgANOTLGq+9CwHs xH4NzmQ7rX/bevE42QsFPDm/P6spYKJkiMcZoATfu85hSwkc+0i8vDjYgJYXZaDc9FUH4xQyIgHi erGvPSeT2UiqwMTytjYWx2hlMT84ikPYbxGzKwADa4g8R9G96tLUGMHawk/JXUBXGOalil8prgJD wMmdXZ64WBEOZlxgSj6Tu3rCrl6BgELL41bf/8YduQxRdRPYLLiQhKbu+hktwd+489SsYIQCwlE2 Xy4yakjOKhpIjh/3X6hxSEWBZo2eyAdecB18dwILmOVwfzCQ+HHUrBj/aQPO3znDEBpBWBghHbYv 2P+AIGh1beErVqt+40QEgBuHkL2RMOYJ0sT94jSUNf9flm4lr4vSdGof0fHrlQwCBkNMAQJFOfQG gvOO5qQ6YH9tVk6DYIQwGgBndTkQdTDMNS5s10ogCz6MNBA4CO4TfLHVYuSNmgqa8kdjnl8ID97u ebbwJB6CRrHpcjC5vvzGYTvJu5PhAP1DJoqbXslbNd0NV7tAAPH8z0plkQvkQNr+rHNVIlxc1Y6R 3hs/NYG5rDOhv9avY+gGAFd1qIXopmxGGiDoKbgNJyj5WcytyaKwoTO/pb+/FjhrJ32gd49sAjoR +WRhYJwp1O/5BISVpTIsnSKmaPqyibd3/gIpv7CHV/CzpizeOCwK46dvq3UsOuRGvHY39s9W+hBh ZyqW/0h21Papel6f0O38pbzrSYjjnv7amdYbveU+hZkGuJfYo82oZsaidol558wGnvQ0I3O0WRO0 O/Hktu3Se4yeIsWDP/WzTX4jdRGOePscb4wLgbc2Yx0SO3qWF2qnQXf+BRjjIjm+fC3VBhsr/gQl g4yQV0frO5dDNESY7JJMDu3CyGfUsHcxoWAuTdoL6wmZhif07ujuqage8vFYrKk8Soe/xe6Hm5bI /QL/5VUtXsq9NddNbgT6KEdY6QzG4hZPB0Dw/9hclGv/DFjmK26V7SL8fpLFUXiT/cgjdWRJqpq6 WUCJ+H9Rn1WHfD+IfDwfXSQBk+zk0iILZ0PhHuoPuCSbsvXErdYTBkagRuJPLbVe+7Q46HOM3IPe kSN1XOdX/xNtxO8rzMwWpfPNsQFnFl4LcTmb++d8kXg+X2U+ALieSLo8yOwP/2t5iVXoGzfSpfUm 7Ci2MuHNMStDV2Li4fSn9ZbYYR3itwds6mrKmQJtobFjyz5PEo6Zi/f0q6MiHhQCPIU++gOgQhJf /0tvqmCvvkzY6ZOomIghmnYXLdN3OQ1/Xc/9Sxz4K4z/jbUvWZJb85PsUh2MugzoTnAVgc7eZp9o 2WIDHC6ZzIsn50CagZvYU5lfXSckvmxOJCqefk2ykdyZXsbQQD9ih8a02GmZSoVPtd6CE5q5LX2j kUfZ+QLVHMegQDOX2iAENZcTXiSGosBsyEGXwamBexMcFokm4J9cFzoUphjv4Z+C27/V501BS37k sTfKkxpnz0UqsVEXk8vlTIkzFW31VkhdYLzWzbI4GX2jbWaqImaEx9u8TY+lh5vcCtpbkl0NUQb2 EzAr0Ym9wDJtYwN0CnkmUTpsX5m0S3jBEFYRq/4nNyMZPmc7zr2L5eT9AkMJlw2W76O2avkW+8QH xL58oDq0VhCSGlp3dQNNXZ5O80Q4IMxUBUwVmrRSS5RDVtS4yK7M07TU6ZMThEN7ZFr0SM/QPvRp zwp/pvvg/LbCYUz5lWjkxTufvxK5PdL8GDVelC849Ufahmej45RciscStFOiO8ym7kfkvF7TLa6b fueblbafbiY3WFJfq7B7Okjbcu5Cokh0zJqVKT+jnxLc4H8MyVK/KiKQKLo2PdV1uvlsy3JvVEuF 5jUFxkjkV+heAA07mCNfgvsjQIBSRyQVaTEWdGsGeqJILmc6Ecz9ZS5UEuCOnRwkkOYITve9BbBX 2e0WGyW6Xm3ITb/kzpYXiFIIcawX+ydQE7sM1RMC+JwwO5ra9FiPjEzEzmwWvMnxUKIw2WtIHqDS ++X3YV3bRDDTAbDsmrLwZlJXDVdGqvH2scYCC6b2ZK9DgWc1AEsKOGDUTtnNRCZDS7F/YADQFjNZ Uu5UquQ8fu3Tksn3gO5oBAzg7Ajf9Csq7mfomQE2JwVcYVVUYS6eAzw7Rt15pUM8hWkbxmcsfhOQ gj6FCOI0zkpfiU2PsT3P07TkyNYhBDXqY/LvGymXyzc2Vk0m7cAq6T2x7BdOlZDTtpXPCMUCPPVG dt4VcGRLM0s60KJjtMYNSyi+pPpVHhP19tkNCuGQaHkmslB8xsI2SVxXPvbdosQnd9nK7viMd4wD S6KAlmYZwRTtjKEb5HXZC1pwTRauvOLXScmbqt+tmbDxiry879GuuzkFzzW8i/GNeBJSPEL/D4+n Im6Q+shnZ32GIqpvHO2ThwXSe7nJHGeGxvbcGSmp41WoQ6FwRLz3kn163eG8kQLdtUm/zWbvsJQe Z+xaIMy35kqwytXYz8lTMryBkvsLLcxeldLJC18oBzMulxfV2YQGJl+BUhPnzYsG7LXcKC2wCoHV tKdMykvlze4JpWV1y90SNCF9pnQ8+nc4GrSucswjrqmO8xOwDrNopbGVWsxmhrzmSC0KD2vUEcBM oFQ2pMSW1zeXuQSmt5DUc7CaIJaKV+3l8bXyR537VORUC4+CLoO0jaWcs2sob3+dQjRjD0A5mHQF 2JPL+a2RjDT15zt7asfdBgZlPjRfDLp2HrTt81/u+cp/uplgaMyets56+E1ENRL5sNV7TrSQ2OpO lYokciPMEKZHz+6cUa2EIwDGwAm8ghdAuvzjz7wACTn4HF4vygpNLWcZS6utp/qpmbS37u8trJUe TSnbJ9pC1vf1vANjJuZz7Ktw1oiFY/w7QgoQfOCp/bIQkq591Mnr/88M0bp9s9haKf09TIbU1P3l v/d/kgc8MQKR7Rmd+ISg96o+ws0K6iEAB6lwTn3AYB8mrCscOvoyIGnrCgrR53F6c99zRtTJQkRE sZIaPfmb/BqZDvquuutA/gbLCTdsPaln51H5q3/irNeLXutlXLmiaBZY3qZxscsTNNFtY6RWdPvk bkrxfcT2bhzlaXaZ53NnPQw7BctzwS+q5uDbE01lqPc/kOLXi9fbXo68FpLhmtaic+fQ7EI3v4w6 EL7GrVYsZbdCrO8JCJMjob5jl/syV71C5Ro2IXtwl4nXwTEbvKHl4l5/j2cjpKDUWqmcDdoznEkb 1vJtUqMbKbY45dMYGJgg3ngpxikm5Hnv7QvCeC0zdnH/e6QB54I9PbTdOSvY4l7woiJjfWa8y+Js TjAMZ4J/iIdi33opXM4GdkZt35U0PACT4UMgWuk6ift8xS7PA3cN9WSrJJb7/keSoiMfmngCDSvO 6WqWRVVuoZJ2TcGCVKebHMXQg6VG3Q8cGFo1yZkpAwKq0dbO8pyaozwHU1T3QGMG+ixhxp94osOF FFycJJDWTOQdG7vXhvvCSFTP+f4XShqPC9+e7pluTuBWoGo4P/TOR3PsnAaMeuHYox1vm5YaF957 cF2RBDZf7PS4LJAWAErA1Pg4l/TiAYHJXUVuoiGDdUrLuPpvrQv1+dEteeke9cBpr2dJQlMO+LB2 NGZVrnL6uki9snkvsXWlfiABRx5RYwim05Hjf3MPX/S3CtgG31bfLZaK7bCB1fwjH1s/bTI66I2I E1hgHQYF3NhaBzwe2RqJRsOmXSxmOaKwx+fPH9N6YRPdrjqWynNRQv+YzKzv3VdqRpeK0PEGc7/P qKTxaTAprA9CwB7lXdP/79SFs/nbxk13913QWdztDr6iiwFsOIqelfiw7DcfArGK8/NwX2PAhynA dJqvZg4YeJeDhu/+o0h2Nd/0+bd2v6rIkA586yK7Eg9adr7O5pt35uQdyd8nnd6ruVrHoVrz+BYw nkEIUoCpybrk+ueNRlNClT94YSi+kpJR42n6JWKznzIK+mJFg7cV5YKqwpY57Xe2zZkPM2Df+Pjz BdnSPqGk8TyuWVuleBOlKuEkX0dOBPga49exX5ztgn15Pn5fXYfnU1vHNnFnbWh7mtTuNf73lihR 9FgK2TlxN4IPut3PrBerpHhnP7qzIk7T24FLviNR45rBErmyYxTbg+fGBVPMMLmes5bKrBYXYg2s BWaI2yJUL6jfJsXo8WyANPAOXzm5q6zawVQnNVKq208ctXEwHOGzQ5k41Gb+bPUumYLrIHYc4nk0 MrIeJ9mXyYezj4tiVeD6t/yQ4+/JIuQe9Rc8V5OZt3jimp+eYRB6t0sqYTAN9WN0ABiXu4mXT12e YmHP0/gKA8zqWvksQjbjKUBiy0dI3oDbLw2Bx2DLyRYAaekEyBAbWRvQ0raH7lSRq2INiORz7T1t tkTNcoIpm1IjzOZ/nXYqPcQL5xpfWffnC+WURyZIaiAOJIDcCHmWh2u9Xd3xQmTc/RtL93ttAlne fnK2xh+m25WfCUvYNIZGt0SA+yJw0m5i1czP7UsB4v3kU+SR1J519aDcPUCZ+jCvzWeKdaYyUJqP iZiCEh4Btf9gk8sPEh0izWrpwFfs+eHWZX5yHvH5VasnO4FpbD+6+n3l8NCjTWXZifXerAuxTxmj nwIFveyxvPTjW/mSAlhMswb2pd3uW6TNOuDB9D94JHmxVhkk2gOIagUpBiz3tzC3YbsOBOsmxhQS bdLfBTS44pG6pWCtLUGL4m4raaBHS9pPKgz9hv5ho11Qg1O2IAtq+IRGymGI9/KdpCzRXtWJdZr4 JD01BApLtPOsmmpTsqxlVhmVs0zPt+2CTKGAiQSnDJqCHVtF2XmGuTm/t7Ff/V9U1KL4pN37ntWc wYgNixnRF25BtzUgyy8ukRwQx0/htE9IfI3byN0rn13Fa70nrOlvA+TI+XoCZnfkRr5C/Kq1YDqa XbL4mCj3txjLRirEG4alJOJc/39wX8ZjxtCDv6/OY4qidI8MKZGQ4URJJSUNnmXZTm9uBEAH+0cC csSOhkl36Q8VZ84YXWfRcspLevZtfzBeIMq98APbKAcCfBJ6/tQK676ukePZ33GZ430tW69LlGHi rkUaMoJiwC41Lzd33E8/GgiEl8S9YKM+bSjMEkniSCwX0LrWAQ3jJGLkv46iJEYOAOREtAAU1xiM 6SQnJ+v5nqFHR2P2r8LScMD6jp3fw5t36Q+7dlSIiem24yxcn4yLE14qrRy6CrBZF+BDjn5oztnX bfGzx98Lr93RgQfnood9FVeNCefF53c8HSpaBNi9uzhmxhG9ByMZ1DOatB8pbQQ35orfFgwCLqNF o8Pdv2Fmy/phWa6k+0y15PlLi36clY9hEbDxyP4TscQV79OLVqtOBa11EzgVQUDpceNUATd1+MAk 5MRmHMXn8KsQEeKuj6cXvesGiIc0VJHH6dO4p62hVyTaMKgaPKJLIN7ufnbagIgPXJc5Sh/MVHJS S6zOhpvMxyrQeIJWfBpFBlXw1nJ1T5dmnWWGoOLe5JvqAY8Yi2gtDCtFAbExLBYs8XP9czLYwIQF N6gTOSeLQUC33WZMi8Ljk588+efXptgreN77EozjQf97FqnIwbuiMzZFLI855UNkvlvqtviE5GlM Mi/3ChxbQLq5P9fTnUPKmbaIIRRE4nn9lpOKqR/QRTxS1rfwe5HmEHrl8KV2Aoex7sHcllDS2oop 3v4pisseplroBgFo0mzEDGPTSN9uuuPC+6yfS5mZVHVScZzWQbGjssi41gb0/KkO6jM/QMfOyaWA 37NBRFaXZRelE6QNhQeHkcioUOz41Z+hAyq7WGrdtk4/zQIIzhtKB2UgiwUX136kHHLtxrT590p9 /9WAenQo6/pAysxs+K7bJ4+piM1bmghZc0EzyI1ig2PY/TNu9I2N/GD8mifd7QQkiyLUlzZyZ/zr AGpGxQy3dWvhE20yDq/yzTjdwWyqMemrmSvzBqWops/9BG1qJjrA0G7soHhn/FBmEGBCDSiLyagT wKCwbjJee5Q5+pjojgC6DP8xipypDPwBi4gLksK62NAPpGp51lvFjpyTPF7uR4TAvyiyZKSg3JDX /dyhdl6qvNxeJt6dYb8mDuy20KeLAG/VDUfmp/vkCJzFlIV8zJaGKtJGhkP2ZdzDDdOeN6WYHweb gOFtHRuNOfbB1rUIOeKNHwyTMX+7EjlKBKnzTn4VKVDSGMOAL3ie699ML6UrXXd+Co7bKGSyt88f 0qaopJGhl4DQ0Xa2LSOKE4HQEVXo7AFMII782d3So+niacbu5JXvIAJ+RoGI6i/WpNB/vKvdbpDd pikC0Z+L01xiOhsD6PpUx1zSJOOMx31ddZsBM2Vc6naD0Oglaph6iQP0lvAfAI2gGfcl6BegLvAN O/lvRngGc41v/7hSe+5RfEZtMmFRcTaDH02gik6kphaBSZqg2bvOCW3KFjBzKdPxbrR5M5VClyGB V/0516+e16VndwernxHqCsxN0XGwhSkKgY4xIGggcX30ThuJs6Ta33/KI271lMVjksQxTDUrGAIB 3oB3AlSEVsR3VHccDJjr6qEvFd2ufM7OFIaBCx5l+i9mnrxxA5T0rsCrrmvQMB5rozQiFuykTcJE Tk0nSbYmi9Fug/W6uVmmvfPgVEpchTKs+oEfxncTYu9myVQ8/tIN07wRzvGxl1Jjpyz0neJN36yb ACDwM/2emDXgmD2SXzob6Zut4S32UadbBdzrwEe9Y8g04z6pI0j4Ohap2qI/gbNhNgX7A1aqrdeG Xtpj1/HB834nw2lo9hMEw/Lyy5rQRpryBLGGElL5P1YBNlMo5DksWYS2BR5uqJIT7/pYes46jMCJ zrGgnaqAswNbix9D7zTY1W405a9XT8UcLTuPpCDp6I7ytuUua+GEwHXbSIiq/euicaGn0Q14hR+0 q27qPxBoysFe7F9nMi6bOpkV5OZhxrwe7ZzG/5UVgS1MBsYq9VVflNUoA8Xn0e7MZBkxf8EjCjGx 3n+XAFX+hvrpOU5N2XyUJV/lXHKLS8kTeubv680bu1R3dFiIgGqc63V0PTddoBW+8nZDUbQ5uBy2 HLOagNNe13UEroFB7fL/hBm1pjxASS4pUfK7zqMVwEOpP0Ig1VURUM5abN0WA8zHhl+xtJZdhtnQ rb69ObENLYHbVtrah/lS8zjq8IUBjA4tM33RMUFsg6+0eQ7wQQ2XyQ36B+N/hKxrB9S9rMzIJUuh ib7RQc0pdl9GwdEM5NQNBRaCXzTsUnioy1Rm21KbqWEY4CzYGrdMAG+6hN2d27/nJb/8Q3iR1yI5 C+ZceWnTaYbY2ktOs1DvQ1SiZBBZ+VSP1fgX2rTM4P0QP1vpeeje2nxLM267WzW0Ngjj9cmcTSFe +N2ZdkaVbkWt6DHqx191Kfh81pHeg4MiZDAbjLLw+WJRRe2UjEoszhpWREaaesmPNvLzMkLUrcTl 6rL8DFR4jMHMAVf21X9fj9RoxamVfZz1BwUVt/8Jy3RnmvvDlbJLntL6teHPFy2IuH+cRvdqg8Mt uNF/4qHTlW3xkFTxhb8ZN+wOGpOSbpPnvrpZe25D8gIzTs+kQGTByJkIPn6c56Jo+BrXpRCKpbFB qEDkFsbhBOmVLdjxSvPY5hvu7UuXg7rw+bsEAGLCVON784xLwZAL5+/LLuZ27v85JOyWHQJkfSBP 2awHo00XZi4Mly8qMSwktI73dL8LhxvUP2rNa2fQfBp41cfM7byhYz6S8QZXEv+CRqe/uDPu/H6e Cmc7lJhaW7Ogse/i3AeJzZmxYa3BnxRvdtW5uLZHhBwSplrVj89rZkOjgKjh7f4IyG2U78rjmvfj DMisoceDce+nVKhjGoy99ymJO1kvbGn/Cp2ytX5LIL9sG5MgwltMD0PJ8OO2TBE9VTaqSZqjaBIM 0Ju4bgdhnPBq99HcOB/JZ195VrKTFuaySdICANijMCXznZyMrGUpif1cp66iGQtqENCw3O3o9iYm AmRu3NyJ4F/yQJ68LoeYq7AkEjnKxQl2HlhmFUUNF/VqBEEtxrTtBkBfz+ik4qPJIV24qYmxCpz2 FR/jOKHQP7bXnM0jTmU1P2aZ++f6lEz1iRfkB2y7Qc65Bqr/F4lP4xXVYUo7vmBpQ3EhC4aiTqbZ vr1xOFDUkv9yXr7Fy5n90DroAqHtad1QaeFrm3BUvHLtkOQikHkv0Ey129MKhIkG6TMYMVDYOEH9 QHGTkch0k5oNF7DDF4pa3o4WEmbptZg01FZhp9eZOev1wwUVXAroZEcnY+/hBfzCcjI1Lfc5QGMn 6fBlMy33HPeg/rClNGjzq8g3t/Cmco9OKuTLbsmE2kPFWJZ6DRMPI4VXLXBY6geb6hLruSzA6cgp bGtsSFTZ2Qo/hsj7VuuMm3tCr5hp+81kQhq0WxATddhMjjCrHJbvONz4xALmBu9mft2OSlXG5TDb 1B0wVfN4kTx0hQF7GvVKxggszysWWGiyGa1r+zAtmE2gammHLVoZRwR/i9Ho/jElUoUxvfkjvwxn JbytglYKRvKBMm8M/8T6Iu7rz7i/y8Cx7aTvqsCPDB9q5aO6f4+geI/bG9yGxd7+XvM8dtRV+flg gn5RIF3SV557Gm2wjCGvjH4zg9jhKedF8AaHw/LeM84tM50FbYMd+y/gyw1SnqW5M4DgkJHww5tg zA5EE1PDCemf0mGrslOyuUv3I33uWGcI3TY4AFWdq/sG6emRT+f4MzPJuwzP2SbxYJIXLwHKncOb Ne4p8Si+gR6PtqWIG2BuTXmqOCouWYVG1QNPqyPk/vDK42erkve+h3t/UswKbivCZSg2eBOhJmmg 5TuEzA+366bGZr7dIw+Xi+Uq04uVmVrSM4xFKIctnPjKpbPEu27dbhFVaqz5biJmb8NUsQAduHMC rnAcK3UQd08v3NjKXcR7IVLSBTdGdJvqcCFqoMTlrk81bbrENtw9/dmlfsKrP4vxuuKha8qiF0K2 UK/vVXo4WF/R9lgh8HLYeb7hgGKaQ5wBKG1X3L8nf3H5mJjpQuJhtoAHDhrsZdiguRSrSiQyhzWU 9JvDKgZksGfIUSdEZXs27H/aN3LSfddR7R6sGq3Oln6AaBsqdkH78yNvAr41UgkR5EOtWd0ALfSZ n9nMpabOCliChuaFLwznPNvSbJWWFQBLKNRrjOrNhXK40Q8/Uf1Q3lRjiX5f75XQcFIkRi+xkqbk 7ASaottdvIOC56o+t+LKu4fYO9RGFmCIl3W1+JRXKmj3mQiTg6HOc2fHR9TyOZCBq5P3sJgW9xla 4AwE3ZXef0tcut1SeIcH21IPrv313iZd6VT2oSwRottqajiuDZ6jZbOVlwk8KttpKJYcHhcVttyq Fj8J2tmjgvthwpKOU0AUAa3s53+pI8z5AbZmIS4JsXKy9yh/40WiNoN2IRc2jEoq6lDf93nU4hSq CvfK0rYzVTyXF1cqN20hCqeE4urtPJup3jQQgbi9s1FNenuwvRhQ2YrzP2TeN13IkGvlkzUk/Yj2 Bcl9cVt29UtiioEUvdDVAhECRH+uPqgeNNQw2HgkKcO0v1nyLsacbP9/jTRXwVAt7JLZlOn6M0kd KBTDbIQSUGjkRYjESOtXRxgRI/50GwJWb3LeiZgG0o7iBK31FCk2+AFPgj5p7KJf9G7042XbSglJ sEDFnWrlStMnLPf5XplTf/qjxJ0IqzG1cTtL79sWcp/AxyOGuZfBTOF1t+FHCtEDeo/G4/DEq/4M NM+qhrlKHM9L+xVsIVaQlrA/pgO9GADxHO+vW441GN9z3kXLRAUvJEyyeIwtwKr+l4mKcuoKmJkg banyo9zYJfmuYKRU40PqEdxFWytg9LtD6p6+l2OwyLN8Jqdso1eC3ADCqNQ1OLDjH603cCA6tIwS bba7m9m+jcg2bcbt54MXmrYHn2fhBYyfCh798OXcDxuYMrnkz4HUhzsE7GKgKjTRlzikv9baJu6j oqBrwbRjQjc1sDPmU/FfMjBHIiRp/+yj3VmUtcu/alato67aV0g+Q8pY7+/PyXk/DfbQN8Vcgu2U sQbi0Z3Taih1zXo2D3k61APORczhq/e0FSq2V9DuRoS6AsMLhN7CvfsYn+toADa6r3jUB+9iBmQA 6GyxpIp0Q572kaBJgFRcyDP8MZd9Oy0SCoWzXEXppsd2sS1iIYTw3JZtZ5MNOCPIKUWwhk88lJUn J1uoEHM3Bd+AIWXXasHBC9TZDwGyAQtU7ARTxGNTBI4Q6KeAQy1Qtv8JQquidEE/tq0pKt/KJfYw jP3PXV3K4UQQte7okIHlnuMmPp6dtOLt7HNl2jkcJjw+gHJprYqiu0Juj2eEy6RrCqcl1uBd/JKi YblDHH6rw0fC/NAFEml24BmnlUnyHbD0fhCvaNvzL8dCbb3u1BmcMVDXOte3PW+jcwQYz3fUa7b+ GRMTTRGFDS45SSMcP5aeplhWgeQ7RlgE0BPqnkw84eJqgJUhVEkh/cs2YaoXy+APqdycCwj2j1ds 9qhoE1hPfbsVYwg9xU39+QsRKSSJiagNY6bwoqpdrNUuL7BxsQu62VX9UhvGbGz+gKyU/gap/q/a dPPhpvgim7tFlLtDx4GNapAH0wtzlwWXsCv8NlZPYw3WJx91bNbjtWsolcfSr+q+ZJWrj+1Z32T/ 63322oDn09W7rYhYEyIBN42iJoGZc+eyVA/pbJd3uzbNSTnu9MRJAnbOLA0egOKwiwH4Q8s1SApN A7/Pl4mdeAaUZWCYIkoBq9Y2uQ6Ai8/9s+cQM2lblCUNJlK0K04+5DJvlKs8pyFIxjrnlzl5qTYk vUHCoaihmL2IwkJmaits3zKzJmGBkVkx194kCNDTeMWB/eent0gI2r2SzK4gK50ec5SQiJeeWMAx bYTnxgRdhesV3QGdLX1EgTjdCwgdqG+v2xQh1bx7DKXgTVH1D5/M4IYek5x8l0FUBF8zlmH91Fxs OLPdKB9RxI6nxDAjcOChxVDNsQLnVMfsrTOEOl7aC/9jeUg9+SolJaoqS4fWkQpK0CLyFRAU2Hyt 2p1q46gt6yfVZyKntyjyIct7r4BgAB5CRTAZZn1iRFLGap+dNhZQmbh1Pqw6YT3jdOpnFvxJRUSm LlWk9Tnbu/0hZszA6dprZ9JEZGpMWIRYFj6swvLDuyHc0/jNbjctowC/lCpgi4qOABgHdp+LilUi MjVhTHPDlZPnyO6dqYg2VSffA4w7xq0KL1gypsT5TXPuwh8iyHDq7rC1ekvLOq9gDnESHRb85psL UahcmiBsnOd3d9BED4rtEyYW2cTMpfE6oy/LuJjrZe6SOBuXkcN4+yhUgflg0ucsWyaljLl0wes9 sqsES5MSC8f7/WA8h4/czalxQC3l9zTXYjLStcMvMtHY7y+qsrvutSxAGt0WqDbqi5lkTbiKCw4Q 8lu90DcoTpWSFubX/2pbjVCNB2e2/1/btUFFbrIRqBm0PT17+XMddyZw2HZnRc60L92V+aGhDbTH Gtu8sHCsuTP5Sv4WSBY46re3mhWP4RFkq8Rvii2N0ldQR8grBlK+igTZnzAEBMzsrAQ8Jkc1J0mj tonVOXlFGXWudnfumkZSg0AYAK5/uRgoW3h2FnGTSV+/cPAVWVuGVrPd9r0YpoSH8dFgNyVbyMlY c9k2931H8dY2mv2ue+rlEkN+ild11q4V5sawcH+TqgLXzdmBRQnTMzhw47wxSH/foWNsUopGO/gt uVm/VaJdj0VWtaasRU06qb3M+SRUF8dVhJ+SHGuV3OGDSjYPCifXnaybpZMCapd9nxyTtyJpIlxt wi15R5Veh6nElyJQwvuWz8Oh8PhmhNErAD72jqk9yMB0+/8b+lIIq1mm2vGwFD6G4WHc3ZWf4e1P aNuWEoKnX90kx1njk/bSJi9rF1PixyPpQV735OHUWGubkDEMenMspBno7vw8l42/zArImAsbraW8 C7bpcVAsq48xR4STrKRszVTWVHn5hvVcHm3TzvCTKAfE4gjizcSKR0WAsOmopaINU6X9rs0ENcL5 VCUxe2NiQt1lwx5W7LH4Ma/QAhWIlyAZPXYe5HuOWf0BaiOLaXOWQCZajO6iqih7HODqVliHkXPJ UgJRwiSa9lLurwlMobslqbE8DtMU5mx+2piKhJsURZ6msrDRcagTk12HZt2ebRL4oDAn/mW3p7wE IcFxDl8ERBrxY0DxTbT/SfAlaCLLj27ssh5cn3jN/nFTt4UpTSPqF3pZSdjJPvmnJivzxalfUWTS nfZjL88zHaYaFWXNFJxYdHzjiKwRAhSsvb2sSQ/LQH/hcRYMQ1uDQiGiS/tzKEA7vlG9A/xjCTNj yRzHvdxWM6gDDSZgI172rIyK58O9pNSDYoNMPb5ND4P8wSe9FZMNclWrBzJ774+EYoqWWuD2mKY0 DbA+RbMjIjvFfQ01myMK18mRXJns4aGmaPirKZvQhQhD+kvDKZ9v0MuCG2ySd7SPWXM8+E/SiFN3 aIw3MtV71YQpmiIJ/YFOMAIOZBZ4Y0ME0PvHkW0Yjt270k9pPN9TC1/99x//cg+qY6NYDCQZn5RL hbrL8UD+vpflB9xOKlGQVmZbIo6rgwWaAdNluZSGAiOsK10zU8FLQhiEETEUKQUHI3AIgaskY2HX mRdwjOGY5cFVu/mpbbM03lwzjXCBLUeFnRGZmeIUi39PpSxR2hjGmbICSQoYfqjSmBfUBgq9wRhc e6RH727vfybi1avWQtJ6xJS8wKSn4HEy+3BEmk3Gi2ObDJE8EpCDDPQzC4XMY5hdqD8JCnpqL5lJ MFrQBa3zZCJ0lEbeDv4d+Ndnd7dX5tEh1NAyTMUEZ0fF+boMO0YMz6/zZHxT9nOLCj3JbpdvN4Jz JZ6F2KYsGJx0aDXzACUAgTpQzewHm7CTaY/G5HY/hkGwBXRrLdBVs31eN4RIdCNz2zxh+KneKzOL CeHFWKhjKzktvrbouqrVk4UMCUqpqrzGq3KXQ53sC77VkAeVXtvSQzldBVT784xftZEisPDQBqnn xEs/B2//XSj1eDAOOJJT2xxhU6v8H0Eur16AJWXz2iFRfEW9YHFlu+XJlnnfasBj5LXJmBqEMD3Y /n4q1Zww9MXkm/RKPLhUBGG86+Q6oG476pRAvDopjBHTqKVZKggyqqqMcQOk8MPK3zWqLec9icLp EqCsQImcjQQFccIGXxymFXi7vsgOZTLKPWt8zD7CfAKqcKJPqmkll9j7b9K2JrMiHsbM2vG2d697 l9ybwDa8TvfNQYkoBai2ySx/XtNFZjIgx4tRmkeLbBj9agpTbVG+Yi7QZiRmQTG5+4GSLOrLzPF9 AOqcMjXBuy1JfvK62VnajJgJd9HGHiG8cujYv5hu0lMusI5ZEketesAE2TYqNab6ImP/H7zRa78m F6/iE7V/27Y9vOV4Y/S2fdtqDD6LHNjhIPUamzSnxHGmcJyx2X89nU+iGcvHKUZqqYepVRsRnMbr pwVs7qEhzN+ZjMrbya7uAF1tBO3kU4sAEpMu73FNughBfHTu4f7gx0pbe+z8F8p/9MP328ghdh/C iPTrlBEdYQ23Nfr9O4nRmEdZb0N3Y9mO+DiZrg+FW0YKc2l2k8zxSmePYPIJEeOx0QvCSW6DuUDF S+Jw+zxZDhEoKi6ghLT4wXDEFrZFHBuaQoxTeDbUWqGdAF7yh3OzvAFFVR2Cvc+Kg+RRPrmLJ1ty 8mfSemC5gx06EdhPw/vOdrwXv6l5ITU7pwniviUaAL9CK1QkadnYrbxs/ooh0CLUA2r5vmNecg5L h+SK0UD1Wx5F7XE2gy+GICJwH9NN9sjnyd846Jh160+mdwC6uQLHugINJPUQOHVTj7gUhhe28Iah mZ/n/N7ytWYezo0w++CddfmzH9Zaoctfy7dJh6rSYoc4sICEDY5ssWkzc0chwJrXNSiFBZVTPK5k pK0ioV5qBqnfYdBmsAW+YK7UVS+O2crVwp916zQz1hhn1EH+U+GnZhwS5U3wsNrRcXcsXabxked3 vzAY6ha/08H7i88Rn3A+iDdKf85Vnu8ybXE8zr6GzNyz210z0jRlF7CmF4YrPWLQnxmsJluVJTP6 pgfJFI0qLmDgDUn7PWXEk+/1tgKBp1ryUxFUGg0d+DNxw4RWAPrDHqeMF6OJWlN2Ket/8MYDR2s/ U/X5dZB3qATcd2q6hVCHQxM/Wy76ulSfcIE2eVOFBhvQva1dHP8dxhbkKx4oCiRXl1sLbB8YxOoH wXKbU2+DZlJbhFmdJrwUHG0KbGHdry7Rfj5Jp2LGHs5USivPRtBkCTPqxZnpeFAJP8yQi52+rVPR TQ/E24YC0fKBR2EihlxEmyadKEl9n5PlKjDGshdoXQN2vv3zJHlZv2N01MGV+kWeCwhjiJPTwtIu zZd064oXhGJ/9Qv+tFU6TeNuo4DaJhbnJhLr3QPwgsNvmYegVfIvIw6Di0nBOFfzbyO9lEvp0Lin VS0u8zdY/9ZTmF5k1XFAaxXO3s/0ehoBMVZ+RkHQyI77PU+Gm/Ge4ofivd4nx53xUwNWTsnTlEiy ucd9CVFaPykS7wuA5GoglraI/sOY9NYYzWNVR/nVtZHHODB6HOWvhr8X9xbaH3yrKrtbXROUDoD1 0Xvhju7KrsAzoRqU+8OiykTdDgTIM9tt+anofbh3w0/gdVDZbO+heXKAvX21xdDhtOCiBs1CgZAI OWrKQjtieLe9D33qoPCBsV3twhzsjU6se1fW3LGmX1TTsz5F8UtWdMVpoc+K9KoJDpJGgcp1BCw5 Q6ZwfeoizQvp/wVgK09Px93lN1SYbyRaByxp0rGERGn7BKFbbnmwVT6JI7P0TC6nDw4akG2efhwH n7B4Qyb9NXPv9v7VvWAXD+FNctICZBvVidqWNAe9CxHzsqdQYoY1TKi9RfLQS1meUZ3YMtw6Y2lQ GGhy/zzuDflB516eJwgd9CGNK6g3xLsP49h80MNoILp+5Fi2zorLuLOjxImovrGQLtPe0revhu5u Lv4UzNrvjosElMlZ1z00ePKgTrJy1XlqtHYC5Aaj1RdyDWsmjPRIa9L0s0RczA4oe69l3bot0aBY oRhHSTPWQ3LWDgmAdfSeQDmA6Eo/3CU48Ih0K2l8z690L5UpEcIUaBc6EFZDL0WKIAYU02iYipHM KH0mTqghy03iw8fHaNKsSeMLiUdVOa/tT75WIg8lEpSyGxCHBiy1S+ZnS6HUJeXFdKTCXn7of7+3 FdyLXkYdtD6Y9iW588nogJAnORigJUcgbOl/72riYJC/0Z5cgc2E2ImCfwI8wwEC0JS1GRS6myrF s3q3P9SIHb/9/E1Ag17G2xb65eLH+pPvzGqWa2uAzIVnuC7tvwQiIJWy0HiXULcRCaW34VFiDsuK Y/SWSvJe6qioYObz5okogkGIglV+7itYB0h1vDMkTaKoEuVWZcObFvIlgbL8xxBzDeRcqRADauOg bofwwnEt9q/eMGp/7giPeoMcsEn22vvB8+B2V1HQ4HFRjBpRhN1OgznNql6cih4P+GFcw/9GL/ZY O95lZujMVA7W8klYTvjSjK+or+huY6/0UOHxOJnoDc+gDxO8fPNk3eIs4dFtE1V6/QIV9ZLRy5F2 fYbZ4V9B7AELPTJU77oXwpTgI8GKMAuwosZ3pxwIV5EfOzXA7+ZTpmcWf29fSu3hcUWKiRb4a11t NB+sJxrVk56HCis3dSgJe6i4/a4iapnykbN2/Nu/zU1DpBXbd/cNz+FXoZFEvDEEl1CAEKZxaK/m KZQ1OZrBfUNp+xzkmhr0jDUZmR9d26v9muabOxKalL4arvWgTUabbtuuf9y/bPhUqO42hN7QYxBp iqYjxcalKVZrVkBgnhanwkCIwt4yBDXp9C54/P5Nmgm6cpsZge9mnqwnXOJ+wp8NlqsclSAlJswA lb57p2PyDw20fzZwaOGez5B/S6WppA4fe8/X8IdxhF4XULFeW2V/u3d8Q8nB/llRelu2rhu6K2uX +J1OvUOQ8kuZ+UPvN3g/g2sYUvF2Que0hkZCWn9JsPWwFoW55OicF9GZS2NUGNaB7oPUW6QbtKFJ up3Q8HIVENCgobnHOQm9jniVsl/jlcHOJKMKDlJO/KoDkmWa64Jnj/FMq0AvR41+sdPnMkNT6gei IFrmRQifHO2X5X3c6CJ3QD3nsGnrcK0yI3MtSQfT7T+hjMRtrQ5U47t57Iyvhj0h4x4GE1RQZ0v4 EE5XtAwgROqzHAdFN14GyiVGeTtNA9WWg4drJFm7a59Gv5+Kl6ChlROlFMLbMn+6QgfopQKNwctn sthmqYzB26UUmUyZbX2EA4tFT4LpJdfjAo3tyv6YL8rC9n8UWEtVnp+z+IqMGQWGZIAEE0PFZK3G i9fZDPejZiXIFsMm/XOKaQ/jGfLE5HWrOrFfMF7FLZP9pT9nbtgkgvpOMuGczLMdmNluQngUEjnh 6MGrrDT/MRrXSO8F6TmKK2pyF42Mo8o0RAnAaDDIXI37rXQZBKyCZbQYr0SmMBuSLuJKXky853vb BeC3WO72YLOVxUYTnI724oSK9Gv73HTn0FkjOcLlCRoV5ptXMYrwr0zmIIQb0QLHVhoaza7KiNuk exjzPApBMnTmOOQx7tXLqZKjFa0MSn6zrme7JbzsPFixp+UnRso2mtPKV/ry9+qWzQt2aFYZhFVF Tc/PSxP2nCtWFcfQ3TYAAcowUAcp2aQYq8lj9YXQAGL9CEzplfQirO8zvh7nqJPD/hFvpsxuE92k 0Hylw4mTropnagbuxZoI97J4kZoL808gHdX09Si5d0z0x+ErsNZ4Nvc4tdPutkkw9VEmPPOrNJOn g07+qSHHrkdxKu81I4VUOp3PrVs2iBaXnES1wY/A6zOW0R8VZyPXWBJkU1dtursZWMHxSw5ZOWa9 gEnmItlaSo6UROL0jNwzlp9Jy0nf8n/c/eH+hWLFS9HwtfCwWNCPh2P4NDIoMgcYJyH1heSW1nP3 2sU45psd2DTBm1sonmk97EQT7hniS9ICOSxzkLtsWGBKh4j0cgEGgCr+/g6yVJ5vWCP8ZJuAIugr rBIHbFDHRMf26+DZswiiVl4SBV52rWALBFfA/u6X2piCq8bEG+lXA9JftpkZhz4wwRGJIl7Stq12 HHoK3fqpSEsDMgjGZ64oiv3yu2A6Xav2/IL/CFFTHYmwUoTdLxT1HXoJQJx/BArxVx8fl48dOVRs +K9z43Mbx16jm6OVzbz6DKXOOKivw91jk5LKP7OV/RD7dNqK1SBcaFzZnGCOKIsnOPnebXRlK9CU 0v0pNFD77Yc+XiC25b84cP/valRHOJFlPMKSe5RhJTBPVCI9oU+vZrI99ha0dpEysd8YFCezsvpF WaFf4o0EW6lkRO6B2vgGd5lPfN60Zv0MceIQ2lD/77D7EYk6Uh0GQ6iblmiHJ/rvJnFj33dp1jKf GU4YQvjVGMQrl8yu7PGHyELr9UP9Xj4Ui8yJGDJ7TR1tIkHBQxjetOKVRWiWcdE9L5KFb/imUynU 8Qg0az06Ac9mNwqKFZj0v8qVJbruGqcs51tF3n6gCFq646DMCrLK564tp/KEeFTfxIAjCGR7Uuek 6yYHyeMSB/cpMSAUSXSLUUydZR7jTzeWJ2AeAf3DXgMyZNQPaR47pz7Nm2QtfJEZp7gCTwbZPLcr bzUS1HnG5/SNcR8PQ5V6fQ6lPY5sRdYlUfGto9eFT0ESSSJmfFE2ZKbJ5d0hdShcaqqWEtg3K5m4 s3S6b1r45xlaCFAKSc/F8v+yfTWTNPzvbvr0TdnPM07vPJA8yZmSZmzHjE50uaUfl2TKxudsV3Ei CuTXqGiPDt7xrZUnH3WFqGbJ67CEksJmzRgCSf43eWMtn3+/JDeZUSkrSVY527/yeBhtZyZABwB5 MPCkV8Z9mwQJYRAs8MBQbHXJfItYDauqaXZC4IN2klxnwdHBH2ADuFPcmZtpNBydoEGk1w+mNyKu KIP8WBfosHF/O38Si3XdBAqATXOnMjN+P26sxU5MkGbOnB/b6yJWdRJWycTN1T76N1xh/bp8+Tou 9zxqxiR+rqrciE9y4kKZqu3xdlITKyaF6s9NUMH0/0HcbqvOVTm7NW9ZS7i7xhuIHDAtXUWs9nG3 0zLmQ+X8M9n+YMDo5IDiHN2bRtdr/uuT5BZqooDADgEDr9VZPIEyxYspRaJwOWMBHAXFzbIb/osP 6z67BYbubHfi4+FYsulVD5A/OQxWofspedIouDeZxFeR+WQ1ia8qMOr61wn/6ToYdYrc5DqhQBAc sLdje4/CgK1hUZOshFTxcnX07EjTvm3dpTwCj8XreepvQcb97V68uclgkgE8jLqujNd7SPdkDOn0 CyhFL8EnMebZppCUXmfEe0TvoLFvZAOM+HJiO7dRwGZ7hOXVY7Qe0RDVcAQfyXCG31IiFuT3ihZ2 8wT0vmbQJCOd9Y/XjkSsMafo9IMd0sAJTQCVUDHo6yBoGLgBLdOvoy6pDpbsPWq63f8hpXY0cOkV iAXjCpt258dB2lnsGP2/Ad3Lx0eGd/d9F4j//nmNV3I2KyJsItVVPpPcc/z2SsdMF/EO1RfS7R5u ID57RTWh2HvgugGWzmy8dpNb9OKG/uqVpkKGRSNPwJ2qrgT4Dt4TkwGHOTPRtshfaaf9UekEylUr hY2r0KHYQ4vx8AEul6u1vRTpn6xWgGiwzQh6QyxUiOw4SPCK00bfzEaQZ1U74eGBsyWIyK6BN3t9 XGfXlT7GQT25qn3MWNtsQBnB5UPVyAub6zrTB0J8kfuY3IKhN4o53FwE0WVLxe7Zave/MQ9+xw4Y wbC3318ziu2yPapPk3dVaeEyMH8WKdfz5asuLphgtmqioL5lOIFQE3F6ZqcpVpnjssGGlJB9rMIR /Dg1eJ52m99ounT0vhwBEKd9upDFiVMq6KcaU7sTc17ZikUixVxK8jeLa2k7O9JUyi8aArbK1J+E iJiR2oDNqfsUYLQLRFKJGWhT/ihR3NC8Vqyaw3jERudmaK2MMgj9pY9O3ozQ1mX4pN152wGwIl6d 3OWNI2dz1qA/aABHgNu4Ohbkkf7HXX14VUy2yHLVSKgjdwlHBpAwoHIzBVJGq46JuZK8VAV+nYGM NcIwdhFhEtzEJPFq4HUNCqhRqz2xShK0C2BIWeS+qLZh4/BxSgNILjyHvVZpPCoZUN3YZ8WCXfCu oi3vsYz1s/dA1DqmS0nXIth/dD/IsxCkR+F8wGsD3+LQFp0DOGF7HzuhrRvwOTvU2o0MhNf5SBbM Khh1o6Ejg35kAkj8Mn4Hc1n5WynOt9U9yS0T3JEY76EzodPD127TBPvlAZiouKxUjChXCG6BtFUl b0ZhxHw8s7FE9CZnYrFdqCjktnRH9uynLcsrlgRO7eOPHyF6l7hd/FDQ/eJHsPzFhRtyFF0GO7x4 fQm/691Zf2MK4ZjGenqHgyvnxtqQ3fCbIvQo7dblJN+Lx/r9zBX4/lhcj5rYvQP3MdwsoVGza1cp StybPe/nLAU7LzCoEDk+ZGS7w4H/p7yFm8KiL/p/qchBkQzNornr5E//1/DH0MLQXRgbCoNDa0GT 8m6cRUNxnofcdFAT2E30MT2LwHjjVpFPfnBZJldy9Z6ogGgbzMk7/Tuy5Xezs6j1TtdRY8iycv0N nv3MVV31OhkTWpJGAFXNxnudDn83I4uv4b/5ssobDLcARqcYyxZkq/RIAi497BvzrhPjrmdqZxJO 0OPaXxawO+w0v+dHstLhh9nulnGB+qiecwIhxanip9GjnVDIklbn1ip4YaQtwvDmAhbi6Qc4qwY4 YlTvIOoGADljfR4FIfsT0SAO/TVbf9+7XNMADY2onnAIRysYwphjx6lEqhBusv6m3/hYgn5U4OT/ LvPmijSJ2pzgOKqqZ5FTvf+Df0x67V4QSGMRrfZ2oyJX7UEnjBPoPCOBxc9a+Crw9MVt8lFeZIYw PRtBvr1V3wjOiE3bp8xQNgdY39c+xJ6r7/CZ7Kf43A8csAXHSs4WiycSPXyYRNwPEEUZwMxzYYV4 DN8fOH3slD6+xjbukssI3Ls0vjRPY3ce+l7oSn5IesPHKiJjwv/lmExP2M7alasP0ZBhEoVqgtDd ZyQ8p8ycF6Z3vm1foaZY1ikdpXUt7zWzjc7OPxo71FpOtf5M77TI8rhkQ3lkTjHx5v15Oq4zsCtU fI8yuCZqTCs3SeGRKww3XNGH2gCp4aYuUQ0rF1CLGTjerk4kVFzCedIHjtfO0P49wZBR5O0dFtX3 gqnBJFzwQ1D37cij3hzvoTYS8nmGVuY491Z6uq5jYcs7fkxDH4uqQvD0zYEQLXVbM33KNcpjZfDY SBxCooEH6IxlgWZtG3oC7plVpKO481m5jFnZngLoXoWRlcKkf0zBHf3/QVe037dLQpriWLf5FCWx um+vYhheN9bWFScO4PntLV1GQmcRo9Brlkg9/4eOdjtRg4x6qw4UyQw0z1L+vLsT2gOACms5uWQM BhtJXfxrhSVVbqGbASgentMUYlLRtKYuuiANG8hwFNFDJ0cvx8LbH/ZSzyAxmuvQu4P4KYcNZzTL 5PNuEmFB+zJvvKmrfqpXY3JaJkJ8otYN/+xDRuhE+6STJIJhkK1LbH6hrhAFTQkCDxCJsE1oo8O5 yQsSb3dx2ppV2MN80Z8frh9AeAXdSWIFOjsqGHkkoWLhmKqJqN9Cwg/NFqKh5TxxgEnaU1ux+nyE 9R0XuXPcXWkJvFXvgEI6G8PmvPqZSsMMyI9a8Qp9E+EDiEwCJwd8b3BVzKAd+o9kmcg8CsWUwbjY CdlQpVY8+NNQvg8u8RMuoyyneA9R8OhjG8dSmBkRCglIQMvkOXyWObg8mMgp/HpDZVPnHuqv4b+P i14bXBUxybKg83AC5Pnzng+B03pXMm3t0lzBAybOds9Nxc1QI3RTu5GjnKXWE3N2zv4rdlokM7qg fYbI6xF4JC3fzm0e+7e1c9sZQ/7UV/9hkHVcLOwtV9fIi5SOTpXbO4HoBF2bHiQDKxENMwRIi2iu t8wIsbktwmHnPx9inVthgqcaksBPvLiywiD/4WxWy//01huCt/CYVelY83xVt9Hp8VSFhY3YGSyp ilIPl6lFrk6lItvCs0CdlFImMLlP/S+us6wjhf2LqAsFrFwXA5NrtV7yBIN96oUXrr4dxnZLawvD /jcTBAd4FEyx+7ztI3WtyGohO73MnOTCv8ZQ2GO5pynbTPoWBexPTlvM5/X+vCl6o82VTVkXXfMm fWvgxJPrc6H0CJMOK/0D5tj5dDUJM1p7a9mXkhp6EvX3iu2D5odEAjJPwpVuYgbAMSLxfo/CZkmM M7S8spx984iskQCcm5u3Sm/vxIrHvPBueHu0sYbgI4JCholsritt7l6G1TouO3xjp9asvYKTdoqI pWe3hfZ/8fa7Kd8bPx8pXqkmkjbODg3VwLbykAkeh9GL624nl80oczNbXCfHClN/Zcry1kPWor9n nHXWRthpN9ucouRsj8IZiLQ1p1Gk3l8kSYXs2xfFyqMKx+kV2vXJdZURDIrIeTQUSYElHGi1CaDm Ywg5XTeuU2hCZnoAT+MBn1q5VF/lhbnkHfMlQMjQqOJIdHaobM/KD3LyslmD5PnXnFz7sHKpaZto haYyzc6DdLgW34uyb+145vIC5EqElcIu7u6/1dt+MxdA4fXs6o+eORXImjssbzBEOzOUE1IPBfY8 ZGZyEM3jhpSzPpc436i4nC0P2Y+maFA69l6p/cpv/lkSZihs4nCjfd4UYCbsBcYkQ+X3doFDnZ6r Ca7QM8Nc7n5D9HN2534nsVTYfEsof35l36PSFc/aGYI/Elr//jBWgd93QUjFFQxOyhhLL32UEVrS kbv4OtYdsYdYUzTVV7p1EHg4r+Mv2Do3s8kv4Fa44qlmj6ZtoTf0MjcEMOb4wpNHN2xzV+3pP6cx syV3WG3KWFjXPR0Fp6XoCH8dorJ6AI3AFuJkc/Fm8wMvrQeG4yEtcxX5/rrOhtcLO75ObaVqP4Bz yBvQNqFczdFwga0BO7Ph0cyPyWSzdKWyri2MdNFC8mAb7jF7DKmEGsJixDDHGuQzwfhRLfN+nSV0 9mKDFLRPRj8/AEr7TdaKrWD3dDR8wHP6WXZs9UI0wmvH+fvmMHsfCL5J9KwjMgELjgk33auKUkYa D8JriwsDXZrWb6JvSD2tGYhnf4CvlQA1kYVmZsFTDEfYO97yr8hSyLupRfOCpAvjGBOiRlpracNi KPVNKwGacEijCK8WHNy9MESb6Un4d4A0+EVEoZyVVifpRMO792WbxfKW4kDf2Lz9MlZcNtHhg9CX cMvWYbg5MoxMfOR6BKNOxmqig+Lr2WML58d/iB4W28Yel/QD972deOvWibUPGKOTNq0vASUb6g7D JQ/5+ibULVqvKaYqT6DjvY5lgzwsDahnYCkXC5mUWRZHKmwaSR+iATC2/Exi8hiajFJTJjbpTWyJ SHqsqQzlXjK6jK3qI2C9iDSX6Ia23uKOgDMp98Qi8INe/gjDSUVNnQ6sCnQLDYmpxf5U0O8Tti9a YSbw0Uej1Ey3Mj6KAIq9qTF3LOfURxOOsna4HuD1ARmCvXgr1HtgaEZrrA9COG+Dph9F8fyRTl0R gAl67f9ZoNxDHOJswRliZZaScGAGo/kRVoojcy5biI+lQ7hnBm1TKrpo4peCiJRUqIupJtag1pxA MMzvem9nDaSBeX08l29yZpNj8Lkh+cpKQqSK0iGnqaa3NmsuYpsIzaDAfZTXKXDMQAChuKhj/6P+ pjoaRhGSlshz5aFtwLgs3KuFlnrr+zsOeacC+hNNwIxDIhbC/PkOWYVVpa/suaK0o1MQ727m5Jyi UqH+8AUAfRMm7AXMUien9Mn2vVEnScQv5LFn1N/Bn08bj0ONDGelI5J8rFaVc2LjnNzxQYD9yR8H nRieDJWXKae8iY+MKCiKm5RfzpuPaWVnCo0DIoCrb6omaKvcK6aqE10mdUAjl8hexWDf7Xwc6AKk n8zTxHU+1EfmedZUHKZoCACE/JuYN4tTN4AlIiekergHt9GcqvHVBGWWWCfejUWxkv+zOa8Pb4fH 9EyYRbbFK/sxhyanDeudxiwSHskICeHLFAvWnUbe2RzaeloQS+1qqzkEGexlbDvxbfyNGaKFwqTc Dq12aiD1xibfXFX6j7Twzi/Ih3gg6e8IKk75i2lwNx5C2F6/XIDNY/6tFF3dsrVOb5YBQ9bHf5AO 0JVbqRkunChCUWbxY/xJO5BoJTyuxJgK8hMKBu3eQYUfI/LmtvG1XhZR0MFWpgW7qN924ICUv/QZ 2MGVvcm/nahyT38/kf9h9DhMuFoGs0VH8qvD68zt1gvDPwVgymdYZh6SRcJ/8aUL2NJWawaDCtXW XMCdOV8+VgQJEwnI6U0b/KdY6WcC9gxF4sKDebKbGoON5upffT5X344p3zJ8hrRW7dvb+T9OOAJj BeKM+4gR9+hZvhYF810gJnxJ7VFuf2zGSmNE2jXLz2hFptSty27VxJ7GipVBF3Fii3ym3QOiL1Hy 5BibnKfXsK3t4ucgs0iYjiH4V6aYpUhcxscH64bKQU9J6JeFPAmJdwvl3GsolQiyjU++Butqt0lH /yhY9JZZfWKsko51FNMm3fTrJpQARXTE+AnNPmR/VhbkXuT8ISWkzdK0iDMpA01RtE4WFbNW1tkO j7LoleuuWG+Esz1javVQiqD0JUJ1f4/5u1m2MUybwr7mxvq4gWKa5OuXCvZC18c/MWVtQUhpk03u S0NFb6BO/xD+r2XmT9+ZvvY3OqDqzLC2IYv9YIkl6pYmNMAmB1DFhSd0E1qd+uSckPF7UT8Ng29/ ILDZJUzpMQt5CBszBebRsIV+cZs0jdFATjqZGAp1zYL0W8UaxOzTfEt2pp/PBghllvSbKaA96oR/ lCy4eyJdFQbOAoJ/VBSMqflIf1yA8lUektQpG0gUVrpvdMqItvnMlLW06iTU5edMtKGFN8UWZ219 PggJogFjpURzYBNnSNxmYkq6fwdFj7AtAqERETJyup2OrFSmD8H7q1wkCxS+EszdyehL4SnnuO50 lNAliI56ZmroamcC8goydMjvGUkTVlO7m8X/0eOGOOyTmvXc3b5Lj9BExQ0fKO29/YtPiFIJcI59 Odg+K9Bq0QOMOtH/uHLS9geaqXZR+hSkloB5Pshms4cvOg4qXOqtnq0/FONXROtKz/E7/9OFkhgS +ESPU59KAf1PyjkonBiPN9uxM6k/5RMaHfc71Kfx7Q1hmM/vwxgRUonGAWh4+Tl3II6t3Nb+x1Rx kzDbsrO9+kf5bpyxf0SfXV0eWXYL1LPsrykMFPscQ/CpqTIL7EITD+50yh9yu1eFA5jr9bprXDja aCFg6a79ZRovWSzUHppMx40t22HDwSrbIwRn2g5DiA8/pLMXa+lbUobZn4yRilpb8NaRrin0Ynx1 Yi9+gwWsr7cEl5fdmYAAXiVVLowwoW5e9rHoz1QvwXn8JIqUZTrxLbQ7qQT6utmA8WpP/ViB7CII Nd64quxyANW2YqAGDb1rPPkHj77skRdG3k7hOz9iOkvdsWS6kw1ldoZ9/GkuJ12EbY5nipd3OW+A VqYuYrdbhbSuOJrUqMozGQC0WmGGi+MHweCy3HdehkPb/rQ5h0Ciog8R7tq8F9L6jMhBd9pclNPQ 139z3izBDvG/YkvpG75wYMqdnfr8U2aR2FIvolukjPgGrz8VnN5XiUCHUbxcJyKRc1EaR21UY2DH TilQQTTNgLTk8StAybCfnzOYdBRRVYpLh+pCP8pETh1Dahq4mOadsZ2TuUozE1aaCvJacr9crmM1 U1uvu723fvALEnMXZVdiM55TE4FACFLuvHha5BcJc2P5OK2w41DdCB4n6eWbuag+3WGnL2CAk1+5 NuMRgQZl6OIba1rLdXPgvxfbM3thCQ/X8/Sdonk4Df35qC8Z5s2NZs0DCvKO6xz3TgX1HJ2zwPkC KNgAKKvzXgiJ38J7Keim6jKO8OvfGdk7JC62zbqMuekL4kSlkiOZdmuP1WxLM3ctkKXdosl4lt0b SRqa/n8bmy43h0kLH6AOTDWkXbAP7YJ7YeVI5m70CcrUp6IMPSN3hXd2uCn6jsnxemvkM9IoGoUa xRVPQQ5qsWSLA0wpK0hhE57zOxnbVlPwYt8dXopSkbOSUR83ZewszOzb+3K7BcxuXdHWVjwbGNAg qJ2Mg7mCeRo92dvKsjAI/asWvHZp2YnGDVKhMG0rPUJ9+51QAMaJVX2H+hvtHppHjux5SDkzT0vA s0mJpW4fTnZkis6xZWKGft5aMdwMftZC9t4tODw2T/mKECBVxZn63HxMQ0KOkbs7thk6nbYTJs91 jQ9PwLJVBO3I60PD65XEaazukDxko/EY5cUi0N5dY4bqNjRgcDSlIt3+6knxHWG6bpPkDMJoF5Ag WbX8T4Am/VCysDmFchy/lG7jakiDw70QCWl0rUu6P5g7e6h7Cis4yTP9GDn2ASbAxVLUprnx0w18 dbihpcMtXVj++b5uSbLRfPiRedJY2/lTDtBiJGhaDUaFLZO5zg6r3rQNH7cVUwIpTGqTxVcSsaim y6+XurSA7pwqjvI6tESU+p7rElEhyMcEndjtpgbi9nwWGW2O1g5HYXg6Ou9+USzrWI1BbAPQq7ZF Axou8E1l+6CcFftIGzA8mywjhqdaB2JYgdGIZd2H/Waec0WSU41QvHTbxEcldEv2ZpkmxJdSwrLJ gIbcmQiNC9VjkigJ8OQ3R9QRgsme0+B8ttENpRFYYiTJQiIcFOXO+0W2mIdWBjUnX3fh1umjBUK/ /6m/mBuUE0ypXE+CGustHtsPCxYDCbN3V9j4i/LS+ZfmKXXW50P3wYjgwBP/xV/1FAz384R7UXCZ BeLLvqjDOB8YD0ZM553dj8Tn+LBBTkxC5kmkUlEEmo+g01XhPxRk/GskC75MKxrZCoWiI/iFusXF XJSjg1MXzYDtPDZw+NYmJVC4xi3iIIa5zwyzu/xr37909ng59Hgm5ICyk4ycKlT6aUha8krCc8lf Uc6peyTPGRrgkIXOfxY8mlE7afjxmAtCGmhhJqjCuMdfuLsMlv0NqS5yfKyM96hhzoXLHzJv0Cq4 e8mpJSZRQN7Y6d8tnjbJ4u8ysYr8CWf04lfgs44jxEUscDJqJVL7M9K847AEPg4ZiTBsn2pMLatQ qoQWytnIkcqbmT9XSrbCH8k6dijGQbujm9Acc5SVWMav4E+kdr6u+qh0BC5S5zVbFt3os/cSuBTD R8XbnefXFkvbDlq/E5koGkCjzKcgADpki7kkuBcYrkjjeLlvIb9NvE2wMiK/omT99yuBrXRsqytG DMnrTya1BfX/fbuEN1kaweOVP0qa+UvkaEjRSWSz9FDzzFBMxktCTJE7dfgcvfji0x3VuHvcZbUm bj1jyGxNVFeWf0EDVjDrvgWuvXqYRSJHSYzVvdguewn05bBMv4q0sxgQbQFr4PCBwZSuxUbKK03f bu+9UUA8N/ksJmSYBtlEa1NGyjPUaBO+vZYxXvUOddYMQICHJGMYQ2O3cBmob5uu7lms2GoKiFPe J+Sn9P/27NCL4l6fuyZ8tunCSIPyF2gIOAFeMMTd443uW1PDswsByV4JvZTTTPewZa+pEL7Y9cZx 4iyGPk8clz2JGn5tpXv3zy7B/ICmUx/U27NfTb6q55YNaKfIDBPsfygJwkrM0ZzrLWFpu2otYf0b hL8JBlSpqwBRAeZXeuCqUZriPuNtC8vCVc3FsLWAPqYFeaqMr7Gz9v+MR4RBZwZ4a/qFyegq8LvJ eY8UWE4Z2Oa8CfxEvjhjz4Cegw9NSp+Oxxo6kLtiji9Pc4xUP/ZB403u8rl0jD9m/KXkQhdeDeCo x0qqxg2TNtArRPWRXK0vzq3qSSc2k2WXACrFRt7eERq0zTU0kKNTCMi1zVXMavVr6k8j94sP6Mov Fm+GgoqdCi5jxMn/Rb0nQ02avni8D0J6O2WPpHwHNJHCOGKtMHsZAl0kdtVtbT0AQSWsRWztBsQi oA/NXvoMYJZ/KG6hveomcilzyZD4BDm8MB56oU9TNlg8qD+RY9aCX3Il2YxjeN6HiOn0Um9xrAKp AxXIddn0bMYUlR4cqa4As94kF2CTrKi+bsq9NU79gO09L7zxGxBHk47nbXclt0tEi2+n+E+SvTC+ vDAO9zIoLyxOJJG0b6bPsJ/iOsQuPvTt1LpWeOnqep+AK1KDlwcHU1mQthse3oiJpgzWcPgqVgpz 7ojumqkQLyTZeth35fGZtkRzcSJW4OwXE4Ug0XvnukcmgN+83rAi1PQ4ljNBhru2p0AOASlaYH18 gZrs1SXmPGoyPluqOxMnyeKsv2FO6W0d5Xe2lbrbQD2ycAzUEOiluaTKzovmkYEiu90FbllKe6XK PeteweUXViAfhm3HtA18i81h0zClO1js6UuGgZviLmIGTkKUJrqnEqOjLT9DsScs6g4sG1rcxDG+ zF8DvnLuu1gcqELbrE8lbDy+5efrT2CHJBJ6wzf5/rbZtOCpxRCfJOwPfpm/l8sMXiK6b0pNXqZw ZdQRzYYu1MUbT0/S/ZN5Krym3fFGTCHO40gy6GCe466j+3MkMWWBcBQVnNWqUvROyJOVAFm2UOWf ogOnJ7tpKcsVnIsjcyRobVhQKc/xPw5jHcSMe3fpmCe5vj1CksbOT6GHmmp9kRozGXRjYWJBInPy DPfVBeV+B48wjsP2L2mpcvDq+XeumxSrML8M3LYXvjZgez6ZsUFkQnPee4MtaVM4SIuz4+sLSTpk +NqnHdxn9CXLCg4vrkGpBllmUzJUkYbACjgHdRTTogRUqvAZ5wfDiXaGgpgeAdQ1N3Nc9JfUixwt Dh6ZTQeqV+LtmBilJ9LG/95PhylIAwzCE3uHlf22vLp3IPfaiSIH7ir+KWXP/4p0Eip9ixPqChTh CToLF4Gv9QSdlAZGBXOpwj9YDaLWzNsEVCrdArJxyZIGDQF+hyyeD9103YRfya7FU8kDaOFDbsYf k5HM+KTH6f6TDHPVza/JNEq9ScuknKuAN6IISV33oKPvFHHVpqCMb5GSkgERoWZE3RkcQndceQ77 q16ENAj923TOqOQlO0KxflRN9RHk4woxSzJSf5rZqyT3iQZvDA7FIfl+TugjKjZdntSouhFiQWls C90wobe4qBFvtKR8UBctzij6gt+TCG96XPzifetXuQ5ETDfx3KFSWp6ogY4L8oxD9T1cdTFxCZ9E LRWnPZsi5dgsjts6N6MDD73iBOK05Slk/WEpGydfE53F+Aupwhdi9JWZIy5Tvw/DrXpF0pOogPKC XH0YtvH9g7nc1qCJ6ntqqJHCUxiCtSSy4rqA3icq9cY6BbfbgvSCmP0AGgcdvZyEl8I6P95ouNx/ zOMY04xrbHCXegef2Q/zHHKgpxnVLqtIXZKFOR0w6b25VP8bPfwwZfL0f4/dtOVll3EQpBaND9Ac dIvDUej43EVHvAsjX5PkxNZF4tD5j7OEy0pNxacOxhl283RsXQjAii3hlPPnx9enGT4PmtGqUpGr Tzg6hKz3sMpj+T1hx+P2/+lB+hqpDWK23JtaopQnCSpCi1aWFv6WFVafD5W39i/qxK399ukhh5eZ H9EuPlrf/1krxQarVXYi8QjH+T7a/k0vUhNFmAnawEo6WmR4RinAlmIUTqOW7KknC5YtxXFx27mK gkXwq3qyjWTMNhMYYXzUrO00vByXhNVw4cwul65/ZpnNIE4Tj5Bdc8Z0Crzyx6izXMt2RAR1DcBa yX1cFurVqdzEpq2OqH6ZOt6NMuPTA51yJYkFy20gEphf12KEEPyec7bZ7rngQWRTgO+l9wmpygP5 RXBUAqb6zUDyLtCgzOrl8Yy2topx9tEgmyrFYcCwGhI3NJlVv3TzP0l2t9LDYz0DiRuuZR6FnSE2 n1BIzJhfzJEs6pRUDAFXqGaWDRtu2sdOodX1ILLlx+UebeOLrYdmLDa2TUs1fyPp8OAkQc06R3GS RzVRlHRhbOguGuvLUWUCr2N4E/7cgie4q6d+AfVg2Ka50DT6qT1pzmQFVSFmMObI49LxyjPO7gMc xDuVB4iOe7NcBkGi/VPcbT+1Ba/uUWRhegSGLfa97X+qlelUVfmDFk5/VX46+7KY02TcnUrM61gq CSr5RmIhKyOxtSWm9gO5yFN9tDc/iTS1WuBbETEvJ+c4DUOEFEjXbw+gYvIUh3zM7KF3oLAH2LJd PTXXVUbk844z/6M1hUlGDk7bWvZ6K53wMseo+K0OdmwjyrJVljbZk41MIRtyMf7tLuN7prmXt0nI /h2tAwKa+ZPxR9bf35EO+EJrSvhSHNSpLstSR7Q+miEWMSB/Q8vYOF/Mbe2JNGBOjKZV+zVauaLv EJmZBEYY5n/ghCBSPEXE8LjPS4nkrHItW35GPJd88u+CrVFoO1ydS9xYKXIFA+O/YLxym9pJtlxO gRbT0uxoFSYZEU55a4j7LBetFE9Gc4MZZU3CRXGhJDoc1RzRz00C2UceXQ+ug4zJSrsFZBE7R9qw QPdAJLHsnbFQ5Z1rxNoSgAqL88wr6zmFiKaH/RhM80JNJf+fOAZXq9rrTqCIX+f6FeKAUnHfnrf/ EUZJTYsUyNkk3kUX07/hG3UBdJr3OwkYRGTYfBccfo34ku+LfFw/NiwkhNaMPnDfZ2wk9YI2miSE BhXNtmniZay7p8v21s1dZ1R8nXlnBFvXSBLCfSxI/Nh99YxXRU+m80r9S9Q5g38kVwpd5+9QdKdW K/WqXd/I82PQpa4naJ8AejlP1Mgh4sC9udVumuXnHRDsXs3ccLyp4Qcd1/mzn6rQzhQ72ln9eFme 9UKG/blfKBtLYtuMEsILvGhM9LtU5nzJDtkq8rYsuTd3OvD12FaLftXRjM9eCOwGz9K+jXpgi8Bx GqYx4hZPPHXhI5av5hr3WTxmFbgwqoQ8yiE1TvLTka/L2lPpl3YklLiyEPzhWxZ2I3DgWqU2Ye8y O11TBkIN9wCFTS2tVRb5oBw2VB74pMTRK9IXRe27yxfxy1OmE9iRmHCFe2TKaNHVRH5BAH7jY8Cz OpHLBLZClIEhlwpDq5WH1TODve3ECHCmuq19yArB4YM6kITTAuwfqX8gTVYjOp1ZwQJLm4J0w1nm Lq/KpUJl3mbvBv5cU/dJc3yiGc537f0Ksk6I51V6n06HAhaDrE5FQaM7ApXPZSJnoqCVmkUKILxs uJLCfxB9ZD01uS/REfdokkIJXZ01678I5U1ylY+2ImPf8qPcO+Bb0D96vl4XGYoCbk1B6H/xRI9Y stwkqvm5stU7P9SlcKoSKP/lmTtsvKCigdZtVZNuzMdQTAGNiM8CRogK6x4tFEcE5qfPy2mGFbmD 3/lFXQtOMwrrlhlrmYNK0y3aJVzD/pXCEoGgkpYTND9Z57tKl5ee7jb8z0N2rBfHyCnQUrqPt0OP Aqxj+sjQl8ro3HTbOqwQJ19uya2pVOgoH61Rj8C56SNuph78ABozs/QanTKy+FcwuH0Lfnzr6+i2 LtRvxk4WXXa49EWVC/dhChH23P+MTEHsli87hJeZBdxgR9WhNFir8SawZvbUlSy3ibsfHJ7VQi/z ccNW8CBOWYgAzMD2cnUGnE0vWrLs7I3zpSTqnPkb965KovtUFX4m3H9Q42Z0AXRrXOEcu6UZ9SIw U9vUm1MtR6ChJBm2uNQ3gqEYzzsNkADGA+xNgqLP7tz9dh9hhmiTKKPVVTknxQZhkbMq7SEBazG/ Uzl+qJBvpBnmU50SDVkb/YIdJc2zxrDF8ufLuhrCSiZiDd0QS1z+PznIwopyLLocr/XImVD/wRiT fu0/jii6z2+oZkolzGSmZeEVBsDfrM4Zpxd3X58StetPE+XSnEJkc2Zp3L5aOlps1jMTg4WgO/OK HTWJbFGFuPgbT7WzNJ1YyX0bwOV0U+NC2fPaWkp6SoWauc6am7Fh/bAHsJCPBRsiDReO4cya+9ds 1FoVljooxyQggBbMTmPNqfw+p7f+havdrKeN7exEUsfkVKGZ12ZXynm84F3AaygQMMyEelJD/oXG PQ54eHL1KvDNIIdSioo0loQHv2o1HE7ehPOCTDyvvEs+tYX/rMcwszCWZnYK1zXqhlE2QoQYRdQX uKhITXj3FhIlh9EJJKMzaWStvbU80QFK6SS9QlBIw8CPezQDuQ/4QtEmZ8VeB11ss5tgpoUMp5SY CJ5Y0a0ox7OQKnCqOhW4Ma0zIQgF/lXwvbC231EiLg79NtRXE1jzOdI+As6N1soGRc55nOA9Zkez /3necv8AAUqI5PxpA33wOZfuvRoEHJi70tPglrx0zy5cIj9c1GnovA7FBlb8MPvL/sV2IcDbTh3F dv6U5w9O2rnKrXka1WosJiJfckuKHC7SB60SwQym9cOoagdokVJnjHE69aUvYTwXtiSRdwsFWIUJ R7xr8jH6p/LmqK+IYqusdO54D4uoR0oEJ+2JRlk7B2fDrL3QU3NrQM4JdKeTXFGkfSZ9sRbXVh/f FqytBKJskeyFsEeKM7bh6+AAqw3daHZeX54L8DoHq0ejI2wENmln0stF9W1B6qqoQr8d/Cl26B/f Nf5CNHLr3+bPYd2wKrnlzHLs+pI/nvdLET8q+1HIVF3KE3iMykyHAfLpfxsk7ii3rnS5o8Vpcxib jZ6itSlFuowwlgV1F44HgMtlmEvASJG3jrOjhMlGvhBxYGA50A0M0NQblRoKgjT5mT4Q5hgXli5J d+hMW+ldiCzxfSadlmM0LWz8hgp5yGK3ERHY7W4iHOGD3TPbh0cbpZnG0qTbn7TLQJ4SLKoHKM3F NJ8KBzjZH9xnuhn/QT/kOH7H4UnBUxs4Bt+uLr2b1wlVu3bsMD7/s2rStWIGNjUowiQugemUa3o9 p1jE3Jscnl7Qz4sopfGUEwfaTff+2aBb8PvqHXs1R40jf79Ua8Fxj3+hUmfzUOiJ/redDOLHX0pa OD8OesnsQamko+BgPO4t9+s42DqiteBdWGTaFw81ZF9Oqrz0eZPVjtMRsHaHWmAIobP0YPFVvg55 pRdk4ie8xe+nREyBgj/AzSnonB0ctaUKepjtNh9h1n3tQbjb3vimoy1Wt/ncPx10wOFsCZ0lA1TH 0ZEt4HBm9IIqYqaoltqpmhU2ItPGDNBhZL2gLhddaxTTe3Ygt/RFD/LB7Vm4EEWKk0QSyC0OxSQo KlNGzfyTuXM6CNCaLWXNbNYUjQ3LHkgVpbqRN4IxgYR6lVXrinhNNmGuzBUJ54c5R6dtZWn7JiwX +Juq0gi9JLgmXYd5YfnQ+olKOzWearyi0AALdnOQt8ArWbX/8CjSnUXAUlQ3iVlHN9nZxAk8R91R 19b0erukrOkr0ENtVAjXaH8rXVdf7gmWsilXK4qAfQgTfapYfPCqnta99Fp8osscJRg2pgQgDNtE is6ZTR1Yg+2PPX7vR8vVkV1RMM8Rd8gDr9KF23DWOjXTIPLYgqYjDUXdlZhO1s4af/LwQwCe2u6K 9za9yiHFYJiMMBci9+SsmhfnLYbgsTn6ZBwSCfLWS8B33BmDUs5dYHYIlhgXcSP+x3eBSwhdpcPZ g3nV0T6F35TJ8WamDx315ifVOKZGOsZPs2JTTwxzlOMN3Q55oinLsZvo94nuQ0B/T03I+bH5QWcu fOv7mIaNQurtwmna7sWNAB67p/lxrF2+YxKUf2lrpOd3ohVjLwOxqMUm9xfCT0Ext7kzVsiDNBLW MWiwmrh8hlYm/BBL9+iScmjGSeqvdCg0D3gJRyVCAP7CpQcth928YB5fb5rYXXFzRqwNz7HGSteg M7ZDFuIUeI4hZLm9UNYctwHcZB8ZevAf2PFJ0jlHi8GH58/apTFuoHjwikwZVGrtjBGrWXDXiOPX VS1zBgine6i4c44wR1ISJpaMJzIe+Qr98XApSmkyOtud2iKTvkYStwgFiCrYNF1Uu6ryBAWRh320 i0GPN3I2fW1jW+s+DJK0p8Q0Qum9UHR2qPxJhwxfDdCoK8IMQYoyYcTnt4zeNdT2cxRm4Im6mAUI 8VkWc2b9Pg0iTwt4yeogAVxd3RaqB7SccACRBsriyUWcs12ycPvw1ZGKvM1fq+vROL4FH8GNsl+T DT9bbBzFgy6dspcBQvsO4nq1WhkCFq6fZTEvc7B3RYqCKFAApB91vbkzj6Rc3MgjUmkwYUtIFWm+ LTWMgcAMoO/OtIG++nVR5z/Qms+7ELn4SExRt11CdOouQkR+8ZapAAgSji1qEfPkRAxq97MrYowQ nwXhRlOC50cGQlSv2pu+8WsiIhISid73UKeEX5CH1np/WM2ycl/XFGLjNpnwLMf8h1s8Ns1wU2bN aIzwg+aF9nT1HnN7I6tTcJDJN9Mfvw4/yRyy9UV5IvcY47pdpM8FpNbq3/5FzOV4CDAnTtOd8KjO OUWtmacabMLNeq2dEp0Lnvu8lh7NqYRQaqcN/QftjpjF44qYuzpuGU6a97YMMXwMcNHx9m1+aSrt 1aWqa9lHwb8JW93r9CyF8p75YfYoMQuKJ4JsXVwwG4Y3qjernL9B4/ahTTfy+0nUrrD4L0yo9oUK 4twkAPegfWKAbI+oAnt5oQWVUIPUWIWRSaLHBEpcAjoBCJpdphipGAelkHPlsEgLNDQYXtGaIoFu mlZ09SNKE/VcnHecnFxEagdmilqBvlXS5coOMBOt2I5ACYTfXnzQdlj9Rdub0pwfiUzKWLO0tjsR hSG0mkK1/Ke6omQ0FbZsLE0lbwRahgx9MwO0AA6U8QLl2aPQ99LA4GBebtTw1qRvj/19p7IezWo5 9+SwOIoD0DHTxqqRnaSxQU+Pn5DRigyWxWX3arXEjlJXakMYi2NEMtyZ4PPPSWQz54s2jigQCVmi xlKS5ueFEStEqXP4BmVpJMPk2Q0QUwMeKIrT8v/XNOqCjTmqZ6UH1RCikC7WOiSojjtwTXnsDroU G4P4Eu5zhzf7EXS4uh6IalEZaCSkKG9gaUL6UTc3V0qHRUHl/0jMSkV2X6I4ghMIFmCTL/VbKPpl DILUNkgRTxAQkFs1KlFe/P06SpjK/vYT7FAOw82yx+nZQSMxoIKNW8RCvkLe2LIJgZRONwvCbKWC MpL1M65puTfhz79rXr/gL3/ouyeXOimcUBiHn9uOHePolmzESY8pn1U0oUVYF0RawqByOB8jEbK+ R1dZ+mKx0YKNNQmxNPBZOaZLPp6s3pftbB1sG2NA+N3XrXLVwwUu+f7kJPYZnHutt/z7LLx5Rq5b iu+LIPZIYlCDROFu+l8cMPu70S1+97T48XDXwdZ2gADG6RC/1ujGE192UAF88VDUm6mwsFmBGvdR Lxp+PBa8pMFGCIsSmUECxxvM2CdljXo5n4NkMl88d7YX2OXdNxjQXvMRWzP9hlA/xK+l4RNy3GHm IBgOoH1g8mqs54IOKuSnR7KnaRJqKzWljBryqFUx2GXb9wws3uBfLxy1BRiSR7U8k8Eh/DoeNYrw SmdjHS75hoWgFhbg9xqEA+5HGdn+KfqnsGQp+WwH8BEHZbMclF2qmEK0W0fCjRtCQoQup5GxzOYI NpoQ21FCZVIibK0XBmoRZ/w1tItY4F8bZ9lUAT1jgqcOcUeoGHaBfSLJAdYBGPeAjcNCrXv1lYcm Ko8nH0RUoqhk4EhvzqxEs20Hrw0+PKstdrsypUCnGOfIGkFgSuD9jPKrGqAR+l/M4l/bfgOAWVe4 QsSV2lKhaHAnSISyaSlDe0Hikb2ivielxJOBxZ8G99lN8MemH8Ov4ZVHqZGE2k5HwU/7hv3ksz3n MODqO0TjtIC+vG4V0vaX+7lDEyP7UsfZgOgwmaEBOV8Dd/cZ7ecDD7bP5YaH5XY+1bR73hAG2YkY GfnMrTZYRdUGkYlUv1Qtl2C5FkNrJcAvD9qfrN6tqrErg7stwR/C+0xylBpoKZsDxpwu8E9zmFEE jNNE1ZdgvaF/v3sNILYKsz31RKFXTYxz9ibq1Ed0VXgF+5oikhobuuc76s2z/U09BYdU0b3KUfwC UWu/fy8CrO1p1JC4hA4V9qT+UamIvo4jQsUhk+e6RduB2ZAo5ee0sIG3FEPRTNOWDe8IwkEiDMdU HPBiUB2xb48YyzwWgBviHugKQE15VAkDh9ddudJdZSHmUV3uEMSqQZT+BFbGrF54WWXJYe0c6z4d mdcGk/W6t8nLcJAoJZKKBri+I1vS6Z6EqGWuvPTtopHuxGtWTLTlEcqsLaO7Bs/WN/FS2k6u+/Y6 zInBBz481XKyu0SW4uoYnZs3hWBcIUeYt/wfFp+ckDE37zwsZpe2KJrRy7SOMjHff+f+W0yr1h8z 33tZxIitCaEO24oLxx3S4ZEVStsH1f5ZF+F6aFsYo0NPBrUXj1YCnFXQKfA+I+gNoRPNBN5TkLzJ Z0MKXvoTBxTHKTs/eAdWdO4/CcFGcK9cIO/v4PEYjJYbMt+pYn610+QftnxjX1llYvJdO6k/VPTm YogoIVM0HXDh9aL6I1rTjeUub6FgGN7KYkTbqzkEyQAbKxn/whYtY6LBY90CAnFjvJUXSCK19wcU S7t4RexXq9vhJH+FhdwUjpCpW7S2HykzKuCiAzoA+vQteEyrErlzIAzGhWKhfB3nmzAoYciplUIX p1nUlQdDsI0/Za/VM5TfqWFcvahdCKcRgS5KWwqMYwBQVi8Ug7eGbFWfUMXlKRkd+MOUZHPdBbOS zMIh9EijXj0uJi/pgsgTc5HCrc6ovFrLk1gO7lsk4XnPnSfhqa4dMGjWf+Id6QQovaqllj9Ce+f4 vaWmIWMVIyUETEm9SJQkgdeR1b5fpqLxY0L3VdBZG1Ffi2p+Osz2OmUb5IGhZx9Upkq8ySFdPu8w zuNCKdkiu2RjgaU2t+XPZ8S1EGzbICH1xeZppPxlIMrko0+bfVa87KPaFq1dMaqhTZLx0te+mtzr 9x0ky3In/dyie5UmeNqgOe24Usm203fqdMU/3wOzJ/pQJY5byO2hJFRYusIgwQAH2P+GQUlNE/L5 fZoguuVJQehHzmi1T6nib6OCyG1ETrklVbnOgEJrDBxkUSuS+V66x1H7jfniRpmbFgZHoUGIZHpY 6wvfFNErY/Cp3F5lun9f0BlRRTZAVOz9mGKv5WLrmTOm2l64zX6KSnfVIb1tvMS9K8C1vEuZevY8 2157EF+A4nOCFvwMjlzs1LrZoM2HyfK4nVW9lj6gJHfdmEh1FFig9cXcYGtrdpXunkxA/yNo78Ma 5hQT6k420vrENe2BbCYZ53G4yDprspA+y0Hkz8XYzlNUKeRYtncghSRM3xa99mRnHTcKQ9xUfiGv 5zlZnmoevpHLkDxtf9QG6mGa0SvIokyjggGUcYej9FuXANA/LLd9x6PzehoBdAufHPobcVKregzt +//OahhNW1jWN/PE5Vew7ZGMF9g+TvpXGhGr/NLvBOT2wROx5dkJJXqQfwYe0GGa2NvnsYEDHMeD GTvpWvQyySrp0vWHDgUptDjzCIhpsOm8OFI+BKyih31eNjc6D0/N2xfx/k/GR/WuCxUtDZToOmlW k0q02aTGYpgiJIlnljblMSBX+ZTOY9ZvYpFrT+f9hxUFbICHJ8DZWx3QHPOUohn4jgZMG19UU65b askS4UgbekfubMFhkD9pZ4UVA98qgFAYG+ZIwKXli/g3YCzrSZye3ZUnljmU977e/wLl7BS1eDVm XrqAeKLjhYfs1PppMUTT8atd0wchMJ+EZ5VT7/n7cecSbBZ3C0GVP1ImiW9XAisIJJ6OJCH/f3eF GytifmaX0VqS37I6G7h0Y6vimEcyBZ3ir3v5PnPwdmLA6D3+rU+5pWc9Ci3cbl88YQol7NQmGxbR V8CllNk6yvHUZz0tAGRmL5XcXbjDJHeNxSF0rEgbnbdOlphb+pBrirprq6ZWBDTWOjtn+uOST+C7 lecyD4KqLATaVfs5yS/D1BnC8wqbRWuOLM3Oyg9NiZIzSKq9A1fqSzjUyo6iMTcnsuAfFlgCqsAH 6BiZK8lQFb+JPqGTrtyL2mRSDdrtqysYlLeYBrf7K9ZmpdnbbM0RMLAo+QV6orzpJDA+uTYHCgG0 /lgm5W08bDPwv9QPeqzf0WDShIkrVEbEdFK55+6/VGuzasGky34Cl1+pyLKiKNH21yUH4YRjLkAp zVZJ2/ntDFRXbnnG+lmp6HM45Y1Ifr0NK1Q9yZ5sSZ6Asb/AwSIaShkwJ+2UM1QfIiEzNsHoqmue n8yq3Kn1SQ0mb1iAKDZ81oAy9m2LCqgTW8K9C3fTQE7KiB2tP/HlunYvMf568dDxBUW+IJLn2oeA fBtl5UVK1047+L9rTbafpaDk1S8Q0xyBgrvFAtFns/mT9LXoU2Sc+uGJRInfcaAI20Gv64ooPgy3 8p8lReVhhfR3zTJpAZ0PT5dckukh9E0lDI8GCaRDnGRT4v0jTMH2RoPai+ZQqF1YgPEGJiaw6HCh 2FeNslur9+magwWGgeHxXnKKfDj67qhqGzva9RqD12LWCcbNFzZnirkNuEDBdpf+A+jpV3j3auAH Yyj0HHabmUjT8ekiG/KKF5HC4q6tyU1jfW8Xaki7E/4/YfAFvZNROBAwte+IA8UxOKUeW48ND0vm aZF7SD3HXReS5VOxAZuHEbuQ0S/xlCj/OKD1kdDk0989b4AkjLVr6/5FJhTNmUiFqSeL67lNO/bs kTQ0n4gVPU8Z5XIAKJplpLwa49LX1Eov/RNZFrm5Ab1Y9XPzS6of7fyjkbLKOibjw+NH7wW4MVT9 92b6IA/oniB0sPfEPD0ZDzfKWXseXzYYh2SGLegaI6L1XFn1LNBmHg5ugo8MxJsF2wZox3oTAAkT EwREsPUe1ocSiEVkaWMrZYOzu4lxNAS63kEKm6ysg5LYwdEzeS9KTTf3RQaJNhyhiDFIwfJl0X70 8YMNJBBdsmMqM7dp6/Np09f5ptGZP9nZ0pegXhkdL4sbXAD7DXtbEBNwAqFIBa/PBiDsfcRy3HWa wNWNTMvVCD58FZ4yxxV1Cabyers2oFMl3yhTws0Ig/pvJBXJYc886sN80tG4y7BNREXCvUVUSxLP wGdOJYhFGx5h0OEkV4FMcL2P2c8vxdraqaHjB8FX/vVl6Ay7AU8hjY/6t9ejAAK5JPN3J6vo2Sz0 2aJy1ideicO22stn9diyPCvp8nCJk1qaRGTvqA7eXZ7TBARNNNninzAIHg+QfDKMt9GI0t0ytrPa Cv7p+TBaJx1BE5oX43MUj8suJSSbkYSF2O0Fs2Fil6pgE498/dU8Mz0UhdLK9d6t5svI+02roqoP 6O9QDMd10YyKBUi64HMOpvYR6/5fcg7YOPCX0zLRpDn8HoeU4I/GOZWODNLioRmB6wUCL6ty8bUP af4U5vGR20r+cAlaW6+1ObQXeC7Gu8ycwm/HJZfg+DBAMV7pieM6KMhYpO62lbmsG2Ao5LMOkxL+ lk2+AgscdNVj7YsljAIbFxv+vttZ6U6nhm/euft3AnuhEpWfbcyzQLfQmTGpz+KseBparCGOWU81 3xsXOcgnhLMM3Uj8DOOVA2tKgkHD57jm5PkgzzzmGdYBri7B2kuPmL5FuThHy43dbn+h5fqHBUWu xbMFsc07mTG1tMIz1yOeR5Ccoav24bMe9dnDa504wXGz3DG9O8C0AzrWpS1qKXdLU5wJ1WzFO4sK gDin41jNafFKGvHb8s1uXKGaPT5pYLCnWNht4xsRWTeOSG7E1J2sh57b07q+XpEeN91KfQ9Yj84v TyH/s8BKYoDhSJ7OVrtdctOG2BC1WnW/CqiG+kUi2xpkeSN5oxZp8lMWC+lM5PavYEuKRIvNwU2g Z9+/SgsacXP1CKIGzsuy1DRk0bnWfQUaPHY1WIWnGUhfQPG90xwqn1QpjgExyGcSQsJI65HiF/e5 auV7ygSfh9RRVuI3JJ8GZEniaP2EcUxFZisLtBgir3ANxvF1xpL53wuIeMhaTogxomig4psxm3f3 R1eD/yO9xVZsDlXCRBLA/h2HIeTXq0711Vk76Yo2lN8CZ2j5IQ3qV5Aml5RauPWz+dUjxvCd/aBR 4tH9BgcVvxI2UsmtSVaaZx1XOArRU1VTMPdxRy/hUGI+oImtIRsFfwG/oZjHoDRT7xvVeh5y2dYx FD9qJEyX/JTYo4s7P6esCW9Pf4fYv+YjbGP/uZVfm5rDMvJVR/0byjbOniEC+ET4VDxRQuJWV2Yi JeAGNww51j+kRC86vJKu0grxXM6j70cUVb+6zSSqP1tcf34PDCgT5bspqzdvWAm0JV+bn/KAC6vL L/rEV8GXV/6V238HzlB6cLOk84pgmJw34dD2mv1edGaVlCMkIZmo4iFz7RlvkiNj4xp71z9ftakN q76J5npsF2Z5I+85K2Ompdk5IIAATtTj5aTQ1rZRN/875xEGcb/K51jpIdHnziSkval4U/IivB2w QRR7piYAdlwHkQ5UxksZ1c9cb1TYPIPof3j38PnXFIL1LtkuCpXeF7klAix9J76QxBA+Rf/lMG1K OWe9ZIhth2mW1cEYauLUSzd0j5KipjUu6OaCgBDQwHduO6SBwv92uEAhsPVDR5W4jh3dyRJeUllJ nJ9RTZfdxAvF+gJtKZayhdGJtGirYzSnNlpwPd3i14UGHJiOZKyA318MGNErqbDklv96OyxSgFV6 +sn2VxydnBq0hZelGenqV6vwIUiIJy0NGGKw5rdZtVqWcUnZXngtSQLK/qw9DaXPerudGckXcWTI csout1mECkFC0Hcx23N0BjHU+kNtYEQo5kAyfWCABVVYDvnN7oSSbeydUdroonwmMY5Z8eIT/oQI yzy1cFB1A3FKay3YRoq3qTF09myy+VrrmNKcqb58dhsLkhAhkF+iIKHXtbSaKGtI7G77kYHhWGwU EVnQEH4uADda6feEQqsOYRjy98u5gzmIS/gCpntkj43vg7o8Zzd2M4U4ELZDfUy2LYYluwyS4vME paydZU/ePsnIN11K7RWK/RWkzRpwKFQr5KaEh7/lqrAm+d+4CjWf1oSRvi1cQygKDLOvbILrK57h 3W2xdDiQnnmvCQeTRmfNQ46XxT8V7ZjtfSK4iDnxm/2/IHlwX1/lr4Wr5aiIy6NQTh63nvleIejw 9Dn5Uh3ZOIOzqvM0n5t65CDeJ1b41aaYUZsWGVrVbH0UHg+5d1RxsI5mxySvAdS1qZ/9qZZlJlwQ n6f19cQxGan4dOFRfQSGWNdkd4AxkrGmtPdUWPWZYULt7mV1xa0SHxBt9rLefJ9ULwhDyi94T7wI cgKlOTMDgFU/Syn+gAApnvpuS54ZlaJ6i8uYcpyxu7tJsMAw4FXj1B6GUxyNUb6v+ycfEuahF3sF 3alffFbnVY2PDheADpXt3Wkv5FyfPV/AJbMKExG2aYC4D9UysF7L8vWcqp5Nqv9kh2QRc7mS2pVs Hddp54DC1M1jtVLwknHib52dZlqLxpD44GOR/EmhMf/M9YnWy38UYPnhddFLMLahv3x93pL3fr1g WuhUEx8yzfIzSq0syJOE8Ug7UoBR0VNasjmfnJ9XCmYUoopC2aQ808MrPYojjYjuy7i9jq0BXMrU u4HGhu5W6u+cI4GmYZP7M2HTUbp2xIjJkVQ3NRDNbUVi9jbqhICpujaY5nce+tCzMhQ3sybEPCfK nezl7yMr7lkjYOuiWusWidMzIsOMJYK4JMZDcKbBXsUtfiYsaMExFnlq7vLPqjfNrGYssUXkstZy 1OY74fA6ULRSjSaowjjiifFrd74X/+7BnZz05CVUnM0RmBpoqzgKQcOgXv20GEB6kwSZ4anuahfA //adtm2huyBp0Ka9JE3fkurnf7RfzzetSd1hfa0C2PCgNHIQuEzgUBgj1kqkWHAXSrkFpPsA8CNq Oox+/IPGWquh7Fib/0Tt3zJg5tNjP4S+Aqv/PTlJvpmXzBLVcd9CxIlpGvwVoaPInfZj3Qfue35e gyH7CWSRLUKlQEIYUtsYAI2rXEyP7UlVx8L/lnDTO2/tU8aViLd3tXx2/IB+0FSVzY37rgELzACr hY+I6SUlE03R64ZqJ81UDSHi9fSO+tSjFr7saVAYHfjtbHSN/HsMMoMeSqbC6F+eLZEuPFz6VHbc y+wh7fVkiOCrhowyH4qp8RZBzfnS28Ptw8IbSax+neQwoxIWeVUF2IQKOMB7AsiUE2qX/y8kOh4G sLdYllYIJVPFadFkpwTDSPgfYnhAtKv2b/WGsYAHu7SEfPqh8AbGELTyKUDnHMXd2sqdXkxGCh7l kkyntP00TPIfSXmEMSUe8SWLwYjysLictPH7ix9ZApVNI7TukrzjZpturGi/TSFhKqsBdJX2S43B 1qdCA/hse0uSLaMveEGQkuaI/dWBceOyshFgLE5Ly9/lGDH7jGEpx2f1pD0X+9YUfuH2l9ktFYGq hgg6NCTpVHo9K8x7g6NaRZ7H+ufD688uSJO/9mq2OC1xBX566dyB7I+lcZi/wf9KXcctE9Go1DVi Caq8uiX6kI0gUotZndURPoy79xIKZeMtK0Y2W+3URNgWxE23Dbf5h8M1t8Abw+fvBDifVthNZWUL 7E8pMWwp0OuOKVvSLqctKlL9Nhi2nI72gHqy3P4Ie96B2D7HbAXYuKOJaSqssWYuThWGL8BkrPJd DmUSdLF5thknXfov8DLqxf7Ry08uifeCw+kYHxbNPvii528OU8iWjDKyfnaJE/YljhC9tFSh3PiF IuG42CYqXPMF5xdHwzzfTrPAIfAbuKLYGyvqfTHYZk8om4QZOydMHeqO6FpjU+WAsrsYaJROyyEh PoQP0xXvVIx5mczUVGakKzDt6B2o95y64+XV+ygFbDAN/GOZjECMN2DOvd7rms54eeEcVOKp1QfH nok4L6+zo6wZW0x8Vb9to2rhFnuqccU8kJqi1h5e/CDWd62E1OFUljpHmqZnPk0gWxTHQfUsuYJZ EHvvGFJAi/Clb+NbTMVBtsfg+iIEbqQ0uSB/V35e1NSyK9FljfnL9t1+efHrR2ak4clZWxQMZWMX /hNfq3UGhDzaFyTGK6kgpMqhR94NuFGKnP0Hh+P3AqTuontsKfuGjT80HGmM/+60nGNtASHjIZQV wjCtTxBTpX97N/ws3Au+ehy2UaloKFC1sdh3gfb1aoVaRiXZ/5iLFiFDqF9XwJpzU5zL8S0e2cne BwJkc0trtxfySw9O7+tJJEneeOQhavUkXZcoHtDE4OJrrceraO5cswqNfY1qoJ7jY0PYZqfr4j6a gwZFNJQy1czDPt5VAEb9ce2vchx4z7daHiuMKtbwArEnVQ6uwGSdpgxZ+DOZ1qcWFw4AebeyyDJp SEDS/eNQ3X3ZIaFtqm87y5LTgUYLg0/Pg9zhddVi9TEoFTbU4bULHjU9mp4rvS7JLqb7JMu0yico z1XO+UpVLasKVkO48SpARh/EZB2AC5GAq1S4WwlhRhP51YfwR+iYIXmwJEn7DW0IlUxhXUIK7z0a 7LgwVfSj7UqFpBfF7Q0b5jq6ps0fz2wkdIHdewhYN6uMsJ8wpeo/C4D0OM5xfIj1RRdOows7vv3r WlNGUd5OIiUt/0k7zvWnPSNNw/pzfByH0bFSg2BL0eWl5iOC65KoxX0W3oWYL3wytx8Wq869VEaQ W+eqXB1cooIwLji1PX9gLmDsHqC1p2lgxB88hZTsZCDdKhkiZrQTwrUG7B4C/emA4yKPpab6/KLV jA8bte80uCr7XtTybUYPhT6cSelvymJfo7cVuyx9vteaStG/rN0RVe4q6lQy8KBNujebXjJlYQmW tUIDxOnutOfBtufx7485VWAJF3pefRdg+Mv9VCamE+IFt6TY6wSc1uefcn1ylXM0IYacY5IRrs1U yZTtjznlOxV4w9J0ntXu1T7A63wuyIs6HL95Hpq6VUBcVgssRJ92zw30H+QTacaiJYzcDCxXObGW U8jjiTTVXwrM4afsojEO0f+kqAPfWjnycMk/7N4M4Z4MiS4kwUfc0aSM8rU7CNabRCGGPP3e+AIh guV08Chatz4wHq5mhkV+gX1H9oWQf0fix7RfHj1bOSBcSHQz065vUBZNmL0ooCdzEjnvVshaB0pa ZDzm2jeLexC6Iqx1zugV8fvFIQDz6iDKAaC5HI5LUxCD7qPhmRzFAoYLUFRdpCwepHciKE3eFqYw dC7tvSPQ3lsEb49zZWZo6885f/Y+SmodcpmvQn3lYcIK6CTohUuJZmUEIz+rb9Ew/4OyYOvM+vbF 2oYUuAjnMASdUaLzKqwCUA3rvyXWg8tg2s0RU1rmtva/1iaOxdmA9Gi+6oC3iZvjXNuvzgWrysb7 g4RiDP2L/9AjnYPUkW3mNIrRwhoyesxyDMlNx5aKBm1w90O8hSErxaIvXxTGJ7jlXrU93X6CAut4 TPpWI1BqFHqtO7/cWLvOjlTG/387lX+7hU8fO6+cYmr7JLPIihZu1aoCYtN8nFoU4Z682qWSDU2U JWP9HnU+4Xxqc60y1TbcWfpk8rqar+Ep6f/1lVAk0SutWUMTpmWuHsJKB05NRAcgtdTAyFqpA/rV uuGzELXVMjkm+BLC3NwkBuUddxe0Vo9LeLd4b0w/MctcuPbBUC0r5mphMvbDxPyseQXHlMfSEdEa K3RE1b4HBC0ww2Tf04JTzIUInYpzkx2oOAVMkxOkvcg6CzzOTtmr7snB4oD2piDmNQhDcAhgSlYy M3UZN4br2w73LjfTlUB1JGvIo8xK1s/5wqC2e0rt3+ERfrKBkxcmEEEYrP+8tg6aUSwYYB5Pbbyi Srf88ul6wNSL+CwqPBUAPaYBqqGeChoDIPEOlQAIlFXiiJLV0SwXpPmJ0xjLMYtn2hsLt9sSzP9l c9u7iCjiuG/dRkLnMueSqWRWoHhfRdwxAwTUO3a6Qni5nRc19gwKhFDLJ+tZlq53DCeBKdmtKqXd uOUDEZlYugr751ubvB4yyjowrrWMXySwIVYYRwfZDF/kIJQwXypmaA/uBp8BA4bZ8QHuDRJhqAwg gua523Ov3aFVSDjPExIoaoqXFwTl1/OiPaYsqvSvZ7NCYjKsQ/CiU1wntfYd40j8+KOIGNclJDSK phHl88YGFtmQ1t8rQjOS+o/DC0Ypy5WkrQBv8BDnfmw9R7T5KpfZiPppd5VA85h/FRJJZH3IXp// b5VWC8NB6yx2yj0/oH+2xEtQQi240nRqW+SNb+cLpp1AIGjArvIijq8hO9BSPq5bjSmA+xjCmW9t buNr2+bbPedQKtIs81UZZN0fL/z7NeNUoxnUAAxlYEZJxwu45m0L7Jb46TkyF+wukzhcfSUCVosZ WsQX/Fjh30xS7xDI+CH+oJzstgTcMdx5hIVjoDHrYqfLEU7rKEePoKXsU8rBu9xFvX9+gi3sJXzK NmSW27rgX6fNmZPwF4nb2yc4LijZTLSWvO/BEKvpxYbP7R8gUUpWeNuevD0xQmZ9mUKdx/0cC43P VpbN7xZZbwLg/MiWOvUFAG3v0Vr8N7d5n4J56dfdplgUEqQyQ/ujplphUQ4I7vQwCkbpv9OrD8Dh 8q3gP9ksnQqc2YPmIcGMay+AvPjjHlgtEyIqXGdE5T0v2i6qVIPdqV/okc1wKOUmNxRVPHuqoHl2 Zpx5g3nsMVcguOslocEnF4lopBusvQuB8RLFevvsoe6PHD051wutkRZ8EhaXfSGNJ68RAlVO4QnR Ll6CV5nng0eyJDQP3NA8N7l4gNPY0g4dzEpcrKtt0xQo4LNqimRHa/XP3dCZlAqDVA04uROBEgrJ za5JDLdfaB+n7poQ5ivB+0hNk49JpwHkSFXISyMXcTKVLMmQ50tNKBiQK/CP1EdNR+1v7xNASI0S IT6O/Xb4PpBYIYESvGF5tPFkWoMQsL6VkI0eblhdpSbJxkgrvsoE7/zfGdBYG53w+0TKwXJjmB+V S+Iuq5iRlDrsVS1OUfiCc6YZzvPibCk5dzIBN/X793kRvLRy3Q3gnAZlpxLyL7dlVeez73y5QSLY foDFNd7OJkg7DAB+fEmK4KQfAvV4W2B24SZ+E8Or9KxTK/Yo1wIp7bHTOmfC5/dzbsU6ARkPonhl mZi35+t4Zp1zxV5u+9auYF+NM9MLoqETXN8f0S+PYPL7AjTe9BZ4vJVE2+OloqkmkrMWiZn7sdli paqmFdKrrOOEXmsKcXOEWKzstVxcXqfQj3Ur0Jh6y0N5Rwbp5Oo1Dd0bzRXqoEkrFAf71zu5VDHR ey4MIT0Ta+SDQGFuvudyurgKalBcRdUh/m6KZ1dU0kg48U2OkDaBhrrY91rioCu0HVr37cVtUrAz xxE7WyQ1hTfDdJbc40GuxVPcHFqqtxxbAvzF8m1Vfs9TJQS7UbIgqONUy/5jqeNpCMPRY2kn+w/O S+mElt/5O+HBxRAsTDbNLn5yi0Uon2iu7NdWvnU7vhkNDS0ApdKpE66FevGHJ6eJS//b/st29d0m 5VQVhQ9p5G6MzfDru0I09Z5XbrRfrgTflvGT0mwBHGk8iestdZkXp61GFwrsaovIThlPeP0qNrpo 7xtntg0yahp6ubswXpJRUKYuwm0W6GT6vFPcB9vc6AjO3VpnkNjxhUCo8G3Ekg/dZsKbk6IXvJCD ze7RfQmm9vPwaGN6IXrZUdcGWH/xS8zX/+oQw5XEt/CV3KHksGjcgfHK43NRbHgNEwF5/bybC6t9 fTIv56vGowE3Q+XPdSOWmwa3ePasFwiSssIHLo678IXl2JTo3dorN+G1sssKvk39KwindffkFY3r 4rUCOJlJuprKszU4DVDnNSbQyEoNhDN7761juUbtxwsBjKLAmLzVSOhlS9fpUQnNl6r8+OcLq3Z/ /+hJIGBPFhaJmvLa6kyrwl2acmkdKlx3pgD8evCCKq7W8rp9utZ8Sh8Gbmw1s2PAh5CKC8iE98y1 8YgYgfDiGI4eQ49iqrghF2J0I6TVJ35p8I0m6iVTunRJxq3wpHsp4e4bXNiALFpomJE/AvV3lwjI a+828eNMJkPZcn0iIBW0Ee4vA0pO3xtgiBfI/qVvOUKmdmMqBL5JK3AgeeJteJK58lnnOaoOx6/5 GgIAgXy2vk7KL3YAA6DNDEl8b7td9k6ixJh97y0JBR58jJ8fJmYdt3zJqPvvYbPsus9Ns1hCsl4U KnAjgvaZbI5B+ccPz8x0ya0px946y4kTqf7FghXCXsYIjgz9rA/S1KjsDUu5tfqSXnWMIIz8Pil8 Ll+EWGoEA54MIRkVQiGWmkkBg5VmJbRfGpDz6hXbxsb447U+FkylhL643EAzuHkiEMi7DUk4wTp+ FZRmXLpxqPaEAQrKO3Yid2vlkHtW4JdjJiqKGXkkm43saW3voKe92dObVZEdRakjtYGt4n79SYdH GdVnJOLthS/CmCA+ZG3WhNoBGVAk0ZLa8NhYgHUDpUbR1TChHnFZ4Z8OTKiBNNsGPjKZYF0+cVbA EIj8pZ04O2DpQo6tQoYn8ZPGXCHmdh35mFHMVtXFJDmNK1b9Cb8RibnTZi0Fu0+xzGM8pirDZaRS fp7yoZdv+J85MLuJ7uo1+TRXSU0jVCzlzOu5rn6qCethnyR3Vjt+TiSSIGQsJh8TSEFixgcimQI5 Iegr0iMLYc+7b61i8sq71z/lR4kbHv/p6rXg3XU7XiUU15dkBGaIZlUnKIcpn2SXou2vArvNSGiO MGZspjk0g2txrJjCAKM4ay/gKnSLYgYhnQBpGSnKbkEBi6TFTblfTfqbd1Mh/tWgExQu22MlUk5Y jA942vjZ2pK2yZEge1eCZdu4+R1HL31b/VvISxhE3Zx9YUvr2/PDsgg0pRUEBLRKWYZv6l6z/6f+ 9aCLPRe4J22T/jVndDmMfGvO8swQZ/CQhsA8+gghK3wROv2/pO0djtvxSAD6ig7+keGQ1aGyeRHE xC1m2C8wHq+xsLIFqnPW0nieEkVz1QQNq2L+b1DnEaKmN3vKLdPyXrS/HDEy1MO2ri7c4HLwP51C nFBhoqUOS9mzNiHt3MGPpZJ55IynjoAfDOi8QfcUvzKDlR9IL86ijXITI8uGzQHa7NsZt9WXz7Dj pfuzb9lPFLwYbA+KS6L6uyMXFfi/X74PPwzUMwm5it3dRqtT08H2IuYCWZ2k84EVlwM0ur3gdmki 7B4GqB8jhrX3xsB2UxfWJePJ88w37/tCRJ3XEXoJvgFLcTwSoI7li9MLjc5GBtAmKMHZaMe8bAci 1y4ZKeSaC3OrgsQ2roL84t/qEwwQ038Bb9HLWLt+fL5rL12iB67UdRcWv/FtwHFDl3SrM88Bs8B0 3NtCuEYqDKkbjEtDEviEoh8Kjh/6ofRw9yCPfyQyjvJ3KiUT+hyCdMyp0k9OVgz6A2fT3re+lyK0 OeSZuOpNCBfmiqeaJvEHj0MC4/RkTMMHI7CGxLCUnvp1lR29JPV5DwaZFREyFE55yD3ep4AsmEPX LQzDF3pFZqUycBvpV2gadELiYGvBUBWEhZYLwBTauH4OXlLL7YRGQR6UHUUYIsXpCIGGdJu/YIvn BPEpSH7f7DWjW8Yus9UVUJaFSG7sq2LhBNDUiBSoTmpHUeWLCM4WyxgW22KRAnrV6HIeF7xeZ/P6 guQ28syoaIPODOhTth5K/2uaXQtzgZ0mtegTXaGgwp3IAqdS0oqgY0h6SoD6AoVx0mYqjNHNwj6R RON5e25Ga7ugsXCrn4cdaaHrJJnK2CsUfNh8cI4JWUE28WXFUsZADZANjoK93Ti9JAeO/Sgex6Qq cLiCjY193t4wAS6EqPfuHsubJun35sD+RAAXfBVp5He7kz6wht7YcMvVh5CZs6OqEhTu6T9NAVyc TDae98cecNvFMD8hS6D2ONwLiLjGjBfQkdEcC/OS40gWbLQ1+2XxU606O+V6iPQ0+Kfcpl69eS0s dfqE+JsDkCRnv6kroBsn/JXKooI6/85nCTTg+I19AbCuQgZosbbQEtGUA4zUy/GPWNiMDHBK6Fq9 uIKrrSHnQvTmp8FHX3AM9Sfp4Hd+FxU8r6O/e1nRp7l7+zzhD2cRfZ+E/SZOlpWVTcZ+ZbDaspdN TCvWFnalw3L5hA7K6UYdMb3QzAQavNBLx1CKjciMUWqrFnaBHbV8mvDCJBAwrpR8iuiFIgypY89q Z5K9u0rtPUoTIObVHQV8SS4JKRWCZ0rME0KD4dnprbGs2qcRoUEqS67DjQVRc3L2qXSbWJfc/WLd kr27liS+STCLjYdQCeGVGcd80DN8ppnNEgTLulSR8sDiXCAgLx99vEnut8HpaknlckS/L3DAbfFY muedq2sB8vRRs37aQ9J46Bknu/sib+xxZTBGy7cSYPygcZ/zuApjOisn9QYnXtr2Eu5AYGgkqcX3 xD8RqU/TXD4aq/K3n06p4ojp5OrCpTdMiURaBlTcvFwzLVVNHHtgmWwkjOjCEXFaxByAUf4ceECD pdI+/LGCf/Xj97Nhpm1H/4VF2Al/gs0sBGpwWc1ezip1pbLD5tDcEQNzyK0wdXPDdG2SW3iTgz8k NgToCUEGlWBb4cPNtSyyxle4acHnzSz1UksZpokaQeoeZQxTauLNC8v7uZJEKNv+qyDSd1g8SS6Y EDHIjlHcEBVJYdhmrGBIIkKLXMLIxcvwTL9E2kdP6XNbJJHpvWZSKBhE1qpn0Ij8f1yxZlZvZu1Q sjfVG49LlMDMcpJY8li/uCI+Wo1VEtMjXkCseswvfbScfobjVFGMwwypXzGOjkKQ0yjzE6mnTvqd ffBsc15LAaedHAn2j+f4VpRGChfajiEmP1j54plt4PjSuQlARx/WFgchP7nUNgRs34O75I96OT2M Xe5iHxBh051+QqUPeiA5qMlBPoRS0n+iqGVpuybi86fEJ8hrAozEEWNe0kOtJvzhKnqCooMnuluJ 9EUTUkTT5ywx/2Goc7BNcGCe/m9b1RbiIMsmvgCesewHAO2aKP2M+oBn0/ONuVNJzysz009adifZ GE7TK39UlLLkr8xJScxq3V5PHDWpb45BlvgXGTUob6jM5EtA1L/+v9SVoaefeJRjSehUFUsIJZ0F SmBI4LgX3te8rdaON/8qKtdpwWGUkPSZbAkpFVou7688kILuhQMcp3mTVu7dA1+HoIqxzfFvnHKp tmy4wcqe7su3r8uGGLfAQSVHgs3BesJwZLrL95mgfuFfzxMSVOZAKDIad0VOcoqg3e5QajSi8HCS V8uOplfhsCnmx/7ZI5ncoNqp6WnAIaIqrQ12ceRMm0XwyAUiuRUopnKykGe75r4N2lLNMTq5yazG nNguv4XEH4loOpVkPSkkzMZhCFwJCbMCzVcdD0eSEi6uhjaueeKohgW63+ZVs6qDgWSsV1/B8VSU B4Cwl0qRKWKCFSACuNo6UpCrZbSEZhewshR8i3R+Gi6OaSq/jVstgIT6Hj2/HTT/VRGqWJsKp4Ow MhgFcyKf+zYMFh3qKdj4SIRxxn+7qtHKCg9AIWSHEbGJDVzBVYDYaYQJglJgrtvYFheu+ompUjtz VN/Os0zKVvKbdSwIkhfUsRpHB+cT+dWgxXksDaHLNt+OZYbSeeqdTtxm0hsCt2pwbC1kqaq4baaV kgnIAuuTT0JbqPpcrt4PyklUkQai+XSMgXEHrMhJzs0lviGVchV7ByGFr0t10uUjboSeGl7YZzXx 9foQTkpOXwKjuRUIAKXEGBfIioprXVdjW2SMr6dFHEdoP94JoBWYeKZ6tNBBwZcVH/dkbVtUzxGw gfAi4Oqe0FGfNWv1K3mWaf1Jbl6UkLxRAx2y24yalglNGgqadf/8NWv5RS6zx8ALf/IXVdWggdcT Xm31gA1p/SyHNoLrONkMx3Jmi2g/TfTaR2MnPaHe+4cdtvHn5BcxSyb7ATOM1H0jlVipSv3M3a2T NRcoJ1UOy+YycI5yY1SYmxxy41rxrpKmIjz3vcI6T/+2d0grEpW94pPFANhcxYSBAKiTkFliEyKx SpnKbz3Bw4m/UJzr01JjEjz0AP7SOoQF9ZtH0hcHeErgct36RTCkgqZdBE8F/tU4wlO9cBM9zuY/ Xpyo2UVHeXT+zVcJl2T1NmvRWtTgLCuy08ZqqvZMojRv2XQl7RcEbpe7QEvb3vsh6RRzkacwHUrW 1Nuf19yUQJtC0rGejt44KRJiZICWg7dJUyWR/lpWSDcZs123pZvmWEAJzvcorTJtjqd8pudbP9hk 0pfdy36MbVq3RjBViu/z0f7eHIcQPUmI/kSiIrVjF41lar79KBbQGGARk/aSrbGxHL+Y3fm/O87G mdpEkEKEG/jj66UpE15VjAIZmqLaE9pGBncByQckywyYycx+MLbAb40yNjbwlFysbk9+O3XKWUPm 82RFE0uMh+yTAzuXoaKM6dcrZqXJmTq6H8P902ZEblRcpQXTBIREd35l58I4d9Y2U+SI2shnKeSB DEmajqqnVgk7EJI9NkUVLakjaZ4VZp6saqqmX0c0Rt0v2dzXj+tdPniiC0X26OR/u0EvhlUE+hah fqwLN98TPT6bC7BZQnsS/xGVw4BbsuRaG4dHhjbZCHdkIyhP5YjOd54XZU23X8+63qY0naXzp8ZK l2QBVn1q2uWtdm25u4clqJfn5deRiZWaMeJzGIwb70x8i/GQzpsIGfSGMhxjmG85ESGRn96aDYth gFQrX7RDHG9L1VLWFZXM8XPxsveiKY04qTiBbfHShVqjIPA/l5nJHLrp9UC2grn9EpQsiUVN89uv rhWFbJKbzX3Xu3XLmQY4uQUW3W57BVexdGQQDN1k2mc4FontJN1VMve7QSNAiaE/Md60A7ZB+pF3 f3Odo9N/BO6XlpXHHBpNp5kaO3lS+lA+QyT7feW/45PFQdoaC3AZ1cAg/u0SRN7qPKZBfgZ0vfs9 ulTn7B5YbFxIGBCbF6lqxmiJNmqDLyBj+oOdaJJwLxIK+NlLHdQrlyBDPsYDuuPqjO7pwrl4O+f7 A63XS4D1PztIk4g5JL+Nl9clHRM6ufGtD6GSYvtIm7WG+3uflX0w9zbwofRRisAmb9PpBy9tk91Y kiXH2IGEpQ7M8sx7Cagh3cOKn8nBKA0P+NgpZC20twLnJqpmpzlzRrXENRcQojObKumKs4ImP84E kpzZB5pw+ZvqQ3LhGbHZhXpiS8vi86XCj161GICZA/9oAQof/Ve4IBuAhue5vH+FNpLf8xX8hZwJ JP6N5Xek3dKfGTkRu/XlGlKZ3pHX3qBZa+MPulEcvDsLop2jl95cgXxLmEBDLxfuRoNT2kJ/Ma+7 88EDGJHJKoQeJR4+rTt9wSNbwXtcZkbPYvuGQR4QV5ZzlO/XbuTbEB528CkH9P3/utFnVwksXnIN rKeO58gYhQm5wOz32LDUdqudyuxnFstYocfdpzTWfAjzixia+YEKteJ+Oy2wjUvmQICAQl8k9xX5 vAAHe/ekXAF2cmVXshIJk0A4+hyVwKg2+W9H3wtf5F4RY3D2LWGmHqJ5exUsXHdsm4ZHZRBBcGQr GkZr8I+xAfZz/Ur6WfT3X3Srm6Z61FESVdg0OP1pSXZ6uqCRkF3vSenMRWZixMPKexXDU7EdW/2W 4BpOwN4spKLJXvarIhFtFL2QNSRVimW5tUASZQHc4x39JL32+z4L3pFGjixu+RSiTlrXr5VnY9p2 m788sOiId02XS9cHlqtk1eGndHjaXuq56Sxl9oCmk+QFZKhLLDGJ/nK6/NlkGFtp8v4FxE2cGaCg ETjamn4BmiM5zg9KPkhjuI4ffvee7e1wlklMcblTO8F3BPOprcHh1Ru2hrKhXYTBtd7YRHlbRNj6 KLW3LlKRYP3T/nI5HFYJiUQc6UV9JVC3ocNkBfU6VINPBSr7Lkh0HEhSwUJ6hJCA+SX0uPjTxIdv GP2yI7ksr28PHNQRWp9Lzb8glWknqvORYuI1HUPcC9jae2wRn7VKiLNcGTx8OIcBxEoV/pvU+PZL i2Pfh8Vzx3kVdhPEsNMSPZvMZJttZ5zOrVLpHIMjPgmtkRTAbILvLuhnq8rs7f82l1fx6McsO5uj 9rXYAOSrweTESZGaJ2SayXZL8km5km5WqZvXYIE8RBhUDFL2hDpm+TFk0qNd+uK9BIfB0byRJ/9R kOuidFPoOfJwE6/LPDY5GQpL9JJM2ZrulAPh5ka50mQrqXTez957QlLjPre1R6J9LeGkly6kVdII +WukpnwBvrX3jZ/QiElmiNLt2o/I4XvWheg+qrzI6EfLnNT+BTkU4JH17kfracRVv0a553mYNoxA yuqoFWZZ1w3ikJtoHOTupXPsvpkMIVfrICwX0kBWuF6a7yHyFSKsZC9ggBPn3bP5cZUvsiOEEn0t rN5amAHkSYVZ6pwzqPch+qf8/KGJ1NafuwmqWZLYdE3XDNNhIbtCMFFL+OvpUNs59hUMhy74HqdS w8myEguX9glpWUokRjW01Jqt3Q2b4DI1WQCv8JscnxLBu4H+G3lyUG16uniQkk162CJL7Up9EtaH WzsGsZhjmYpTcF3E8YdQZ1d6/xZM9iQQvD2CG8wczFzcWupnHttvgpD5bnWP2rc2rs+QJqLTDFOR bVOGr3SouH3yC5DD1eAiNsZsXWqRBdVhchIHffhr6aGL7fsf8Pop7RHvk2YjgeFPiarFpeDjhCp2 ZjknVh6Tj0b3LcKK25CqYqDxHZ39momJWQFGoDDfaoXs4LQRwIXdZWAZT1eqTkwBaX5ClzDPwfrH BQ2FNNqBlI2ZkSMWt2uda8DV7WNEwjHbJuUXKNB9j5+G/fwubEnqpQMqKK0ayj2lKkQzw3q61wUV 6IOy1FxSHbi1I3Oi4T+kzlXkVoI+NC0y14GTFQ/AI3KszNcGWtRjbJ3FR31IIDkUGsP9kNxQvwP3 jM0Mkbed8zpm3wLZAczW9X8t09c1mNAbmYmjr5ExUX+LGzPrBvU+FVDY3TswbsAjg15k6D+yPshM Zn7nazUIJ8k3GijeqddZk0xnC8/gK0hvf1pbmn0DxltQHQEd6OU8hQetlT4LrPN3F1gZ2vvfsb5m 66YkK9BH+uI7C4RFPqvTMohGL+LD1LFVSmMsFHXs3tvGYQiu1J3PvCi7EUa/uCvsODIDcyv3BEmZ Za2qT0oJ2ynEcTU8cFUyzXFC7KXq7GPVz8oI6lq6EfbbGdsPm+uY6t6U33Q9CGybN7FjGgDfkG9g 1I54hOSTj5yX6KIuX+qri35xSwNYmkobaZqLoCiYae/LUIYUBmIRcH9ZWaBRsp0rw1zFBRh0du3O i+LO3fxrPxyQDWnQeWXIRkFUvFwBTwMYPJsvOP/bpbTup01BF7gr8X8Z7/Dk8eMn/yWqdxEdAAk4 NELtU+LcnfjsJ5XIRv933smyx8X9HOiqYS8qzRak98TWeihKV/x8IHipq/r4nP0A+Lv6xdJxa2bn Era2PhDOvi+nCZ4aROh3Z8VZa4AqhkhIKRTy2ezm994FmlSojqJPXdRBU8BWVDxzll0ckmu0mRwR 1tUqLk5VCpy8PN9FYbPx+nY8htETCrSm7s97wBjOEQi7nUGZBrE1RzkL57x6t0iyoO2P059/sBmm bEB7dHX6v3HFlk5hrIMKeYg5rN59oGvDJjhU6g4yAUcGj5Wllb/ZGuQfdHot1HLY36B0kTl21j14 PvbKqX8oi0QZLrw5AdyaWD0B9pkWjwr8+I1E5bG+f4LFgPjCEo8O9q0NHZzEQ1wCdyIHBxjZxn+M oG0UXcJE8FXw47kqhIFlk6ZSscNNgRk8qs6Ea2xmXY26mhwwUzblQjtQ5b1bMDBD33V9ricVziOt xx3UH3BWc760U4l2xM2ZnBNx+ESRjxPugeU7JREwHxcordE/pzQ2x5nx3q7Fe22xP49TZpQr10yl FomVfpUYj4P5EsFjeLBTbTyQ+jxRIlV9so/8U+dyjSVJga+FNgIMRARdiP/G5O8+3nX2IRtmTgi/ NbdvhOMoW9/lOPV5STzV+7hHVMD0GpRaOvmJr/TlcscSfIgm7uZZT+UBjDFtd3STw0lpAOEL/+PY Key9uQ/BqyXWkuXSy89su1aq9cnGcSHnMUTRkQ2BwliV5kFOHCqHuW1S3uneqoPQf+sB4W2hIba3 DfdSyeCbGopdQGggL9yBbmMb1PJxdxrgnfedVCdx6oYNyMrUvCucYTAVOmm+40pJsrRpT39STe/W eUGlRGbq7AXoJibn1OyFnQbnex+SOA+ljvT03CL9DnZ/Vxyk0JWXcQghsebKpvXY0+Y2hwP3hdK0 vQSOOOt15YEPM0tKr/BiFmjjfggeyIj+6zHD0jrxxYIJjJRDpNX0q/1DH3IC55elQ2IEXG/m5opF dER05m9S4djKUkkcnZ7JwrqXsruSxjaC96eNylPOYAQ6f9V7OkjKcAU0PluXEAcQ2/G10i2BB/tX imYnmMI3sYrZTdiecAJMF8E6F9hySRScskLVBb6lk06MWxYy5IOo55o56MTFUxteT9R/NhV0TgC9 vsforEcIeXaNNjMkWp35bh+NlXDT4x/vMF6A4BgTsMBpu/fdXI5T/gi0Q++MsGW1UIk4o5wh/cb5 OB7E1q5PkICrzZND6zWPeDF7qJEeUdXPCgTY80Ng8eQpScKQDw/BxwQSUjdfevYJ56V+eAxQkAFV 98X0m7Hfb7geodqfuceHAlkajB16OVtpDXdveUkJ1tHiJlVVSjeW9CbVYOPR48E2Lh305IB5rXlZ ury6WICEx2dkDb4rf6LW+CiZ9Hr2whA3S0HTvnlWCayN2yKBoh9SEy+TgwF33LX3jRzp5hR8HONM 9EtlS0hUs6vUgloRwHgAKDvNmAeRCBF1JVTy/xmpfqgKAQEgDwiktJZpluhd5Qf1fuuJmOLEErxE Kgi8++XiAQSFjUsbgaZ1A2o0g+npErWPg85zIBObvueCQUyJFCBWh03I3S0dgIa0a4LUq5rNQPpv PO2qpki8zvTlv9D2KuNm9J2WzBxlUR6Ww8+qFV9vIYIQNC5dYv8mNVurVnr6e7y/Gv3qna9UHDVH kp1aJ1SqkNt7Kqh6gS+2kSe44/wCeuoWuQVfrqQAHeQI8UNbFvsabZrbRjd1r0G5Ysk8lRN7yBrP BZ8DhAeSO8WkS7WFHeRpCYVJs5cfmzOpfD5mOmtYmgfM/Ke2Rcpq9toBesBLvwAjY1rWePQeizVN V+AJciVhH9nilwW0HgEeX5iLRQuddrika370fORMl6YYxY2WctRPFkh8yKCdl69TYH91cWNlg2rA 68tmAhEedVuzBvFX69wAW/xVcSA/sjH5hgFIKroDXeLkHLm3QlUNy+AkfZH9U8Xyc1EUuc5F0tkf OOikiJpVLsb2pJqU1uWP7cQP8rVFS7KWo0Y9OE18VTp58GP+JUQuuTyfM10OJT3ae9HTG8Miu1D5 +9OwXWffTYpA0+JxSSMV15H3j9pG8craPjsu3tAxOAd/D9ovmH035FbgQfzf3DFjiPdnDDFBMlJ3 TE1qn3uak4asCePG0gRL4wteluYQhOjhb61dcAaMKrju5G0ehicSs+m3Gh+TcFDxOFOE6qQK+7T7 8vBRFDD5oEwcYg9uts6KblV6U/8wDv7XbQqS2g9xTwDw8DozuEoBFpFTnMO0qNlwJzWa1EEKs4o7 L8XCM6K9KUO/WpC1010m3I1SJa2tEgW/cGhdSflzMqvqSrSaWClQzLJY/Jd5gtmHxXtMeUR5qCmw VeR2OIw6ADATy16coMFKjU0+ZxBzcvrYuaYRVX8QLz3+ASj29ptjlbX08q9UqwURnPPSrjYBx4fp BHcFwk1r7OLZn7wEOkV0aNXQCKwIhQ5m1P2e4GRVUGAnFMLrmAFoJZVnWrFdwNICtthxsi9IcfDa ScFBv9WjmiNgIcazJLaAfG5HpzNoC3z78ctktgzRffd1UPnabZ8LpgDSqS1V61qW5EZzyc60mtbt KbJx8h+OYXJt0c8KDzGPuLh4zpCSoi0/GMyNbdJBsPvIjAmJi08NIvpvBMLhOJ/37s3NEuasb5jE ipNqTDXR7f6MFroMwy4s6SvAzY5Z8KP0pRjMsfcouioGsVm6X8N9ZTefvt6aOwWRvDdh0pBFPxbe SPY7dUnInOXysroELj1gl7uOBux16sYpEg/l2tZNFlVt6KAVC7PTIg6B1PliFjbGpozcQ/uBdLsl q6fohino9SG/YqpUi8h73Mb5fytq/FI5QlmkNK2EAxBwIAG2wYJ9lB44lxO0qFSaq0ogZGaDyxao 3tdGWKY/HlzzB3QDvdagteQjywH0/GNvC9ubhC0jwFKJXbVgu11VMiv29wOf2Wn+ceSd74D/3ctv 28mA3WRMUDM7syE17fmGwpfWgGbdRS5ev/qzg3yLZWonoQLlly8R2Nn3lSCtoNq0U37nJWrdFwxS EHDlrpEbBjjIKeTdG4OGSYJ04zhfZ7hMJNIknEYedXansCL8JUoBPOSBLwQ7b1nw6zBD9RUIp1Zn Dsa/ZrERTlCtovYdJFzh010nk7auivAc0DsoVtUQvsGLF17RWzgvt0THDOF1EMxGl0b7ZURf9pGN tImsB4RLxTyMlao2vdMwCugtQ4R0VdjSrGF8w8zkrw+p2RXnSRKaTdc86TZvNUeHob5wVOzLLCAW Rr9bwGqrua2YfWitjLEhDD9r0eHdvW8N4IIFKzITSVXPgNwYt0fid7Zb62ZoALH2zS8rKEBs6yLF E9dO+eSKzTIGZfNwqNfIMim/xEfPCrnqY+B6uTCJXkcrGo2Wjzx4vwZTBhOlIN/PH6FNpHuar13f qyCBkdtE4m3pRN6LWk6lSsd8G13VPAZmwGUvUyA8j0l4D8j4X9Gyer3phyC9x935ldIKMZYryQUJ AB8rkXD04jaJHyA8oe+c+BlfgztL2+bg6R/O2JHGCUgMeinPm2rJDpBoL7YL6qufuSnDf8M/HKh+ /RHkSXzEoTb3F3CqRbRgjoI5ZRIoNcB7nbw4PSFLM+gK1aMBQwkk7ik+RnCGS63Yaee+4dU1VrYU Qd6Ga+drJOIdqvaP0EIfXVr3ub1vONLuSCe/V6L62VV49nYf/CF1NywTnSIEr8++eUe7x5q+n3rM ghQDfnz7loOG+xpbgnDzgi/zyW8T4d5m2nT635tmk5VValK7soPu+7jq84JYCE4Xs6gNl2YGkDh+ MNSq4IQQUPhl+Lhn4LPvShpG4/44PDMdHPcj/A/pdyPsHqU56km99ibyarvH1tqzRteh7mKouOtN tWDkBBi5SP3H3vbqjlmZYWzc743Tq1RfCgFqD5bjYlHdpnXjabiFgrSyzixLNvqbgT1LPJcI/NZV bkbDqBkx9XyFn5AfW0AdDnYpYyTRffulIP0hnh/P8pKukGjIcGC7PfemJVHJTfKBP+pLlztZH7xf M/B9v7oZ3NtS5KXzrH6ZhJUMPlNGs5F/Jshdfge/GEUBRt4FLEPXg1ZkEBTjW8nm1EXHK5Rkk3Ov gZvN6Yv8kTJzxRiI0fU8FAxzzsBYyHfx9H8S1C6QtVYcJoKUbTNUsfaXeKDr//jmHZX/p2DE2y0C 1actXBYLKb+Ak7wRzqgyNqa7wa0mio/OfYELUl2HjS9LSesfSDxRlPqsYO+/bnSLNDYLZcmc1DMR ZPHxXlhsp4BPp2IvV6FCYA0RNC/53buY7oFSO3oN3eucxWadPg9mEcQ9zPSq0L0iSdr4IxBfq2QQ y6y6fXLfRtc/nAyhCatmPk+SIGasT/xvc5l6JpUpzTinX5VtJrV0QZS7HPGjGxjTi7MPwrsCJ/RJ Ln/SiHCCG9jC6pcBY6u7v+FfVRfVQYzD2Jx5GiG0G5gaMV2lFXMvE0EdKILe32uEZnb1R8Xa7EAU 6UHy+g9Xa7Fwl2T/k4fKr1jOJRrrZt36vsRyYTQxLgE+gssa/REokZEpz7qAhu+eat/8CMqmru3I 0d9zVuzb9tfN1XfcIrT1+1rh686IdzqOqce4K8eveOejCe92refsnX38rsl2MnJad70x71w9dXXc Jy6+3qzZkLMupsp48XYwZ/xD5t1RU3hSnAjoqYpRYuHF5BOQX+w/lqWxXkSctwtohqmwHyVOmx64 c4G8MMDAvMr/JppapqTZNbuDVHPb40/yLogKpffZ3CFO/JLqAHPgOYFcFna5Uc4FzSY33+cHlhM9 UQVe2ZZ6G8Fn7muZHlMpdH74yl98mpnN8lLqgl+oChoP37e2WfvovQvzkVwkjRftTGEQc8DH6Y9g RGlYl8VU5zl731vP5F9bAFZp9e2f5DNkVdb26dSivF6jXF82roQXoLTCNFWycgoamfL/Jnilqzdm tU7PF6khnGi0C3N92ayr7QL5HJYt+RAYI9Ttn71414UY5KvR5ht784AxXWCY5C5lH1lC0paHA9Pk yY02gg7b9SiGYbNaATp0HoXfJo4cp9dRErt68QyukAqenFCbaa2ElsjBIMiKPpK/abRar6z3Dbtx 1yLDB5xaGxmK2g5WYM8O9ZigjvINhUmvc2xbfZS5dzpq+9sei4ScbKykZuM3UzO278G12uuPLyLk eb14iOhOXm8sf6cWvPaYyhBxnVor1fMdAWDykYHGbx6Kf355kGpL37/5wqbAddfCz5mwv0d5Hvaj 3IRqpbLaMbr6PbRfNTDMCRa/qxDN4AxQuTwIdKZzXOHsB+pNu1r3YjrbsK6NSL7MtCRxC5YrYI8t LlrqYF9fZZlmWco8JW4iXrIQBGz4buUwxkqlcwilxitzZDnWngKGCLwsuyBtXHBdZZ+1yXjJ1YyA 6PH+7Io5xq7bumdKe0lsCTQxNHhGAyMoR2BV5h74BpGles2+XPK5p8LoyAGSwgdeE2djtQUVHuCA nohl0GQWL6DjhbkbgtLLxV672nSEF3ii8TSuz36ErLrBU5D/Flyv8suvUoFkL3LhJDmc1q+IFD0x 4h4Of5s8e/7FIz8PLVsdoe6iqg7zl6gvcw/NFwZF3FOcGD+ygwnjkiNN75UexCaNM5TS8/8+/2Yw FRHR1kmsAYPyHZsl7G83V0/jkdkcUVeOSmDb+VcHiU7BVPEL1ytwKDw6pwYoSEWrKirWliV3V0AU YEPGkZ/i4g+XLRn9BSBCV0wZbmPcK4od2qy6mVnAFcqZTeWpkAQUuKitmdi+PSmza3wMLR3FTe2y m8JCLZ1ipGZpbF8mOJVZbMt2WDggTwk8Pgqqcv7NGfiibahvWPXaMjZxhOjNM6TSf+WJhN1R/JT9 plwp3lcNx0HQIpG98DfbQhZmYC6d2oQbR38QZzj98aMHNEN9Koza+t/L3FaqxYAf0UxJSmY+2H8u RBI4ejTn5hOsqR1os8m0TtgxtT2xNp2wROiV7wQZggMpHUSNR4epJqWdaAp6k1+kLDGpbDFHsbyY yrSXRDfXxAxxLvGE0nrZhQT72ADKG3SkhzPfQGZXVOmFMevxVPVB1DY0Wu1JCLGH9rhtvmXDF28Y cF1z8ANBbWitlxTPygSSF6RS5B82b4dlb9Cw9A0wqwtZJ12RsYXkswxy5wtuHGQDTMtOLY3BmFdK CuCv9IF5BnnCgOPeyCKcVrl+gApyrRPy0vPcJ/64DfSO+YQYlZMI1Sw0ZJGw2b86k/PCwcO8BB/U dspPunqioUfchP4P5fRKQRMR9+4yexNv9xCLd05Cf5+W7JQggSclCf09eyKhrEhtNmbpr1CY27fR A93rMVUBmzXTeo/hvqmgbeTs0HVJnyGZMUtjSE1KPnCp3Qal9Ubh7rWeobjW0AK16Hu4vjszZAzC 8CWkG94ZX1AzJw2ovA5si8sT8vM3yCGIlujVS3CVgDRPEVJMGBsm3FzSVI0XksxqhYLzIeGJncsi lGd27TBfMe5wjJbBLCX/83xlX9xxjrtGn29sxcdGLb6fNR7GMeOV2Ok+4i0GQH8ldV0wPWIRAVIl G1TnPV+cuEv5YwWjCObRMs1Jts63pUXodaR066v5DrRCMmpTHahvJIEusybIaVUfDL5eCz2vLHvN p8R/br7ZeVm4Uk32gz5MQsXbL0jBpwo7qmL1Knyew0bb3vp07YR2GZN+6frmlqiCuPeEHh/0AjTc rz8HjEtstJaISh8uOaLgQJrX8SS05dF60F4UzfL2cnWhhTVBDyDDRmtSmatxqdB5wLQ6fO88X13B hcQFJ+/qR5KhGf9q/Y0cyBKjkOailONiq4VfC9L1IM0JXAP6AyysBAMz4gCcQEFdJASFk/g60wE7 tw/hJXP0D2OiHh2QCxkgp/mafpmFZwA760IVPzsGkSw4unp8G5eHp2wpaDYMH9M9lTuFa2J4yVhS G8speABGar7of5z78V8bIIawZNkkD1MU/WIEk2wUs2qMY+PSoG/LiMT5rc+QxAyHu/ip3p56zK5L AT9gnzKegXEzop7YpROMNg0NDKB4wwKr8EhCena/+inqhEyNYAnQIrp1cqMfY2ihvk8YirjHLQrW rwd91zg1HQ3MwzNh6rkPjtIULRJBKE7mG6BLG8LYP4Q90ITGSY5+j0BZhVyO3PreCZPf7mQ3LM4J MUyzmJNqRTp0Ukn6M5U4x6jsMfun5H5udFqd0UrjyM3CTy2zxBEewCEOMrJIbdCzCkJzd8i/1uUo 6Wk5SG25JBtwEWkGwONBYCzIoyeUiikRoZXBEgOxwjaP10XMO1TpA1t207Pa1tvPtIgxu0JPnHll uId7SKYklpBhzqbAc8AwLAk8o4Gpq84wohgUkesuFQ1StEFpcXZUVfSy3wPsQkDNEGW/WgdZglga JsME0V+1ZXintfLc5LqTjXu/ffdGZoxT0kZKKZ9he9FFfb00AsVHuZLTv3y4Nl+54hIzWuqD7tVe qrMKiq+R7STHnUKoL7R0iVd/mw80kzVlw7zx/0ldaKFLWSinL1543155QMOb2TbsY9X3Du/1w8Pa mwQhlJ9NxYMqXV7mTl5rZfEvvuU7zugAIW/tiNPF12RbHz0lfNV8oAsKTJA3HTgUrusIkm4wY2hq 3PcU0P9VkNBG+34f7XC6wZnoFkpPNWLsSwRRXahvDc5tbD11xOyirftKUPrCvsCEOTboNJZRRjGb Fh5td43YZU15BKidpQFueZKx4fruhNYIlEEjvSOZwdTt/gB4dWfsu2hpxBmt5HBEJ4+GqXNWNGkg 5s4ulTHD/WEpEXpFOxCJ4TBm7BxTjUQSnEeJUjOWmUrkegfy7J8HG1I3mwvux93a10W6X9RdsVRt uye819GQjg0XKiSwdLTAVCAJSDYRPeehP5Igzle3beu/gvh7C26l0DYLSNHacY1HmrGe1gnVLZYT 7roKVYntONAPIIndMPEshdZVy9KZRldxrDXQYnnOUl5zYDdORWxnVpebka4drKR8gwSLyUYD06e+ NWbFX6S/eauHhmhW4ZCTDTh9HxkWtX6h0SirhULlDST4AtBczIVMJzodUns4XO5j4NswYIcpvii9 lZUS6iJsuYIHKgs6BnAs0jcs+IDvIxVRdWXYfL2akGFlEwaOWcGKXD1mC1s3/tO70OWZlLUy/ScU /Hzt2HC8tQT0I0f2XU+UwOgN/0ZI8KnsXQJ1faDSpUH4BqhQO8WTwnxEx7k2yPKl820O1Ow18D+M wkTHfDALeT2jPqE7182VbIlJGN462n2gjuMRqOyRIXu6P2wnslbuSezj+NbgLh11psUucDGzb610 4zakpLuB1HSnKWQNSsuodV8k2McEB/Tp92Az5ibDpA99MVCYAwpI4vt6E97hsbaaeIEKnVTDP396 W0R68EWaAJO2BhZ1N7f9L/Z1xSksLb/9BI18tijOOhJ9P8S0wdQOa7Im/ycpTN8alykEACFmR/Lt 44hB4M+KoEMXzci1R8fxjF2BbYSjuvauhJ9ggEsnRVEj3jRjU92XFjxUYSnqAfwUoJ9ki8qvUl/V jpYv85SclLQHTQadweMMRdySz0qwR8VDFEd3spvgD76VEesUGHiP5l8GbvnK24C9s1f3NkpXU7ku Woopnky3XM2U2XJAdbTOnvWNftu3B1eOrIn68noMkQGWXTMCzmtzU0/uawBh2UHG/c7IuidJk1By REMpQIsrVyXUR8UzkrCMsGvLp3hkqO64OcbUfllrWP3Jx9QhfWmIoofOPc/GMDX+9suXpXOJDupx Two8cABjGyXIw82Hzbcm24/XDF0L+7aclGicbFEQLg+j/OawGjy8bhYcXor+Ak21DeARRlU3QLbF gbGNFKEjr5UhmaKl0Gwk+cNKAPJ6w7fCnjbI1t6yhEVNcP8hKXmZOErSY4CJhqaJr7CkoG8HMB9v HIF5Y87hGWcyPRPr0vACwbQuX0cSsyHgIL0EFtdmxAh4mk6nXDnWf31KDUebfIp2Hcx2Ug6TlYR7 NGYGdm//ajtgUU3795wOCVQITvHHeOTeqrYiNTp3DWXvAnmokh8lzg2hRiKQPGagLQdrgphLRFG6 JNuKbQmBkAdSTOUGAWWq4vwQkS2cESonqBU0ZaqvwGkcXBDC+b8UddC5vwHvBC0j19YPKj7TTX93 UEwTU/RGdOAEImVOQKSxdYdWerzpAt5NOT2NGMx4DZoPyl1Zc5y3kU9Ts14V1yRcSQ9QSXcfdoIG RQeAY3ldLs/al8YOfq6/G+DUqc+kzBG2EdIwd69Z/RwIMwSHZxO35eJ3Vd/awjZkg7aVXvTtiBiA 0mqFEFsMrzDVI6H7x0O2zIG6tCtLCbA/L6z8asKhVFD56bB+bwq7k9LCWLsuf8LOu4NwS6DdSd6a 5BoBS0TUB1rtFtZUKMdf66gFW1mFpxLKEoHT5kAXsZnOyzqN0XK+hsLVtus8Sj1GSdSJXNDKkiw0 wd4fxnCR9iNOJLHKYFYuT9wt57f++jeASqio7ciTIMY5f21bmXNWgByyCyQ6op7Dvex5mT/GwGyL 77/psscPeg9ATogculw+sQH3CNSPj36tnQcXo8JzXy9k1Pfeg6411Jx9lxKRddJjhQvi+5KeNSB1 iH/lFXvY/ATRzwQ5gmjqXkZQz2uYTIBaZGgqRD/DOfoFAz5pu0hPNCVTMNfjIFoqNqwXY6mBVf0o /jl9tX+M3obB1izBNp2YigFr3lv+gNXXf7yXMs7QBlTRo402TyNT2PM4aGEW/kdz9pgSRqVubb+4 cmoFL+xcTOsLBjKuAb41rkuPehuVtXVmMedIbrmWDtux4aEEumqw0VYWJiXjPc2RaPj9q8UJ15g+ sh0HP3YLmJPHSfgTBZHZSLyWMZVd8ZfjY1kkuBCyj4KTxmergPFz8JeLDjjT6tUTa7C3AmdNZgUD tQ0HP31iGrHo3pThvJp8hNFqRVIHWA1jld+ROiCho5mR/Vqm/B/x2izXdAfSgDK1ewDzjz8QfHDs jTUuAXPxypMz4oRjSnflBeq4ZfLhbQZPkLuZW+rJy8kggKWyx8JzeruNk4+U6cxzeLHIprJ3nWKR kFPYX4oSbVrmckpZbScND+QeXwfXfivOz/pAc3fpd+ZvteQpEwxaKTiRiWqzFNCri3PGDt9GYpO0 luo1hHKHZWs9jR72kxdOJfd9WBzlqGYdJMu+W7nW8NBPxWzEIkGIRB2eRdJjCzhbr4V/H86Gmkm9 PFL5zn4HpmASPOjaXCVZDn7adby7ZhZ5CZhNbJtFrm6DLAJ9F3O97zBE4lSJgQaurCCNQz/InhY1 8ZEqC1Fpau2R0NCD42VougvVmPFRnwqfA4hvLxxvpL6zmQplVU8Sxk3Cc7OLwDhrs6QZn0PXmWIW 3F8JYt3Fh6b0A9C1ZrSA4TYhOZCU0ZIGW+y46N4ak96puHgE2UNzhXhrR5tmRqJgsf95KJ92p/+N OydXfxujcNdJog8lS2vhZkiKj1qlMK4xOdTIfmkXLjwHLL+43mPScpViTs8d7CosiGl8c+6cLZoz iFCMPL0PLRtZHwdZnziaOQ5aCX3Qr2LHbDaV/8wdavLdLPZDPEyjNDUW3bTww4xZ8QBxBBuY1jB0 JV07S0ilhDfg/dbCkfO87KS5h8SybCKCSKmdHRDS6/pUC9JJBZPJRUCZ42QqgSuqz2nXlZOEk8s/ ug4PXa7akNfs9GKCRkSqPSxLsCTwWRnZWr/N6KwZYSDZY9wmbEhjj0eI1DpIyQgB0D0CLzaLmkTH /ZCFPhyWYDhZH+cBAsbDcUfFAlBy/d/WC1KCPO0R5J+Uzroc5GBp68e988M+Cn3pyZm90VmFPv84 BEYZLDTeMljNFnivdjyz+z0fLYmbWR/EJ9UUcGzZaIapPPNbUCkKGXWt01jFBU2xBuV9E49fuVEA SNvJIvay700MhuStPao2eRco32KkLCQgQ2eS2eYoVsyuXEbbcZrAB7/pVZ0tGKH8ZjalVuWH5yAh 6o8qy+zfU/tof6npO8gKTsZWnaTWoMJ26P4LCfpVN2eAhSw9RzXawTQDHpxOSU57d4Og4FzFYMlL f0dSYZXwWQ7JL2sNYIFStefYUyjvMD8hKDdJNB4KPBIdCJnUZdb+4S+6jUBR+0jJyieXhRzp2gey a3Sbk72JLhh8anXQk1RU2u+hsjCQRyWaz3xqg1tNjF6ivKhpgYFs8vuTLVSYX/H6rRkFB5JkjagG XWBkvdu6iuaGVsuvG98qxIYb70xEjhTYvyjerzvgeb2wkaBQwjNyXwkSMCKhNk/KWd538fjHJ/VH e840Kpr8kfI4cjYZrp0RrIJUvkszu5g8C6/BZHaQEZJ/DQpePO227XNNR/qDMigUXuz664BApYSc IYv6PLJoO1MIZHv/S/k9vf/+z8s4RmBFXB5yBKAtPZaOt03STfQAtZA8thUENlTMqVeqYNGF5x8q BBhUirQaxi31rEPMNdXiWxQuyoF4iIPd1LjcJbtNw36Y7T/FQoYHOwnt8F4qwy+Ph7fobpMg7CcX TPowabjAsdqh7OGSwlzuVg7rquaB1+xdp4uAAq8StCs0354aQfrchZpES0mv34rlZWeURhmPxPg3 qtbRBBwE0siIyHedpRRyciEwYmsTjMBzEv1PmqVT5Lkb1rWEbiEBC5HnzLCIxuD3hCc5qQTEAVuC CTL2o7Twe3oDuwaLLEmhSwXOgInmYKTwem8aVTL9WhTFOJmLvSspGIcsjYnRbZNwQGER5O/Mhvh1 O2jgzlH9VnRA84N6NacvKHZ6PAibPdolq4LHeY3UEBsilE3e0NHir+lazgTGKQ6yCLEyMnEFz5Nt WboCEjg6HlbBNqc4Z+4M6R1bzHk8nvq+2Kx/EFdh+aSKYE/m0hVcVc4GvoK/5X2B+TQSDV2Jg2iS u/qh7ef+zoflr8zLgTRtGncHsKKkpHxVl5qwfYFFLrz/aTEQAzob8kKR2Fy8S7mRcNZuOjPdtTnC TiONDjlSSQDsqBUIEbwsL9biMdlcL3YVA0JlLCBgUVtudwTKFQjHLWhw9rUwbUEVtu4xu3z1AVIw dRvOFEJSxnvzX3p4cz7fedPffMOf8vPlsx2pc/jN7g2kN1ENJJVAZMYNx/33BSZZVF/14LFmXqX5 WMe0IHo8J75PDmq0DMOZQWUFSrNBm//uR2jlqM5wvX5uJUMAR7A5HJbupEMlzK5eDWe2Al9t03od VfhxhQ8ttRxgMZQu5IHfy1j9r618yoFJU1pexZ8fa1jlsqcu0SdLttkatGaGRhqe2tzqsjDKw5vY KPawi38EjjyZ2XycIHfQ4eW+Fbi3XvABZw0xPS29byD0S9BZ9oXLkW3ApewPrZvzPOKWkGBhximj KiRZvnk/3QIjTA6L6XHZwuxGvgSDKbp1k/Dp+1YKq1nkZNtkV2s3j38xNqQFWuy3Ip7V2Po8E9jO AMFiCgyMKQB8//HJIOA44x38KLIQLF0Da7MVhxlqf5vhcbYM686xlLNSJgxdZfQVpxpCijkUU8rD vTdNcQB5WRxEbeprmXWN0ZsgObMtVdL4JsYuhB352nZnaMqi5BuOcnP/IKAY8nRKOg5a2e2mtYMP O1J/QQDoYkn5SI3cCEkda1zY0zUpPPknQPvl1OW/NRMCdNlbFbw35TqoWrbxQLXnajsLM3IhgtNB kIbt5RocV+B2mcl1NaWE0m8rPAiSc2Z56JMMua+HrwqLuJo4jXsbYYMquIEWsQXQ5UzE/tUcp0ut ov6TO2wV7YiENhosLXdX8xOiAA5QdJcAGgkVtap5IM0BO1E6dg5UbJldVXINcaImc9cekgVYyOSD JaZpuBTrjg/sjUq/KNYihSH8I0cSnzx05w/eZBQPAnRMTNWOp5+S2hqJFPNtX1g3IV3WHNg5aOKU Rw52+xVc4RxVtqXsIbMW9sYSv5cPqY6YZebJotJWlVfJmL9C0P/LPYD/QsTrNde0QftoWc+VkClm jW2EQff92EbVFXAv0ujMQrlpFxFnvz/7gSc8O59GLu0Vbxz2gFF6nzNZsnlNW4NV9eZPimiOmcET w1HoeKcx/hVMPLVP/u0IDOYwTpf8sVMbxz14cdqsAVCDkg9wN0woFUhjSGp5eS3gbLaSaeZ/jLxE TZ9DRbLS2By6n2JFAGGTehOHxvSZZmOdVS2ulnz1n74mU8JraIp/MGKOFecO+c50ZXAqJ7cM8hfO RrV2zP13Aap1DbEUJA7O5JwyX/jK8eb3Un/VglYkxPaZJReRgF1H/Vtxam0kJSlKshvlt/Gud4U8 a9kMzkesSvfVv0VDVuqPE9EgFrhg/+dB3ZgFSSCXWQqudSTUdlLFOhQYeAC/mY7/Yo+XuLVNxlG5 y0U2Tz0lR0fLf80YBh2ORFcIpztipIlX3azgy4PRmMQXgB/+mvRRATCQWbvxeup9mWbPi4pBw+H9 PA/Iz+fS4O6Xd2a4/LtOhmOL4TpVOT/nVkvWd3VW121/D6lGDmF8hwBn7N7ualciMcKVM+pAyGj0 ZuM7YbcxiZxO4to7ZC2Sa5WRWgNOxj2C7LbEZ/m5K/LRfLJfYrSiL1y1S78MvTfPcq8LTUBbRQaU PxdaMtdv7sbpF8s+3Mn9xN9L1TSGD4Tf1Br6HUIFOCAr04mgoTNlULdk2wE3+l/xyc6zW+OdJ731 ndOvwMZxuYgEBbZGyUWuo4IcKIbHQUeZI7hBLyfC4M34AW8Os3go/QlYRnpBk6/9XSa6UTPmku1n rH5zOZVjzywq71Z/8sS1AnKxIfE2Pp8QuKl5NcJQ7K+bzIJpgPsM9CZv0DiOZU2wUIxxiSDcjesD Wi4nWuPDcHb8zHEivdnl3vPs9C+Hf9XaJ8OkZ4K7dbSP0nUVZAJVJKk/uIP/xXJ3OA2oqKVry7f3 HJh+Dy+lFpFqr184cE9lxPhHREEKlQyl2RdqU9mPofrw1GdcplCxfS/NYOmpSDVtsVN7Acgrogoz 0EBzkU+0/fPU1ijxbSIetndfU91mz67MAqz4pBtzdM/J+KomAoqQkhiR3tB1q34imJZyauWthz4O AVSiPf+PH0jRk+71vRqdkJ2Fr4sAfe+LkjNfxP0iM1Xt3ksRnLmrafTrrrASC/qLk3rvBLqSl+CX e1NpIKoyg8msTbLaeVAPTJOGuBpSFQYKJLaAgeh8qiZyIEv/1GY518bRYJt1slethQ7PRecl+/IQ Oop/wzGQa+VX2Z9CgFWeqhuao8mN8nwmrUGZlwAQSbqRtxtf8dC3GIRfthnC+OkrCl1MuNsHTBVt YuRZ4HY2IbPhH5StVqNTCADXyCoTbnA2xwl0Bq3g8IZmj8v/77TSvmdPr4zsEOnxqpRJkFqwMyiv roeoOVSNCCCegGwHEhQRYmo4MtyQ9getIrTEZqUS4Hf6XczkWie723YPiE02UiczB9m2fTHXn/XK vdWYRDBxehc5HZQ0EsJEAXf6OsXHfge1zFK12Scyg7N4B5gtd2/wXq2DRR9GHzqPvmsudWyzkdWo aLQJBPUCHvY5WA+qftBUEdfH2WgB6CKECnEAAlziy317F9SF2VLMk6zfh/+ihMjq6q6TmGY75SbG iCaw00swbqXuklBqGTenq4QaYLHkGlW9YUCjYQJU0+BYZqCjP3Oe52BI+AVd5RBVBYJ2/j1KBY1V 9rPL3A/j4ov4FLt26dpisSZuilekeYdXBldYrgEhbtf2R0IiXi8gjsr8yLWtKaWu5E3syoO4cwZ5 svNxt3ZGhuh8agyUBoeqI43a5nzLJ1yURsYzdgY3AQuil8J4/BkpSeCniNFiUM744XlGf+9S6QXb zvoUS7hatqriQb5Idl1EFgOXBCmX9j6kO/SU27JFIdT8u5OhxmaZ2il/B/YQx4E/KuVkrC19hhW2 sywPmqz3Z/BH95Vl8zmjrwuN20yV1J3iLKNFlHgOePM2o2g2ZSKG3Ke7HSneT9ucFFUkL/KxiQJs kuBEETcoYVcPANVX2fAE0v7Rc/LYp0p3pDGV24alu8WoKNF4s/PGZiJlBkEwxaI63xv2lx/jln6p Efe4qvrEuXO8GA80oGt/5LOCOonAY6flHszqdnlGXBD5UJA3SanmGYV6qNg0r0+fJLpZFKUf56nx 1h3llaC7Pey8EkcjUWCv3iICNDqZFdpMckllxA2Tm0Ur6Dsg6xld5swBZrX0AAJPJCvUn6EPINcJ WMZzRhLIhV0pdVMt9jgXFfkiYv9JMDkR6CU4Kmlr5xmnXSWDmzRzob3eMOOoZ0wMT4x92x99JTNT NCN365GloH61i9Z2UJN2ubiLSbuAuk7BLOvm6UGJGkepvUGDmvtv3WeLZCKYXGt0pWnVY9LycfTW HDqHbl0Jb0WyMuG2eGKIs07oe8lOK4S3oya3izmFdArqTMNyByCVBGBGaBxf3KECg2B6HvUO8n94 o/C4OI+aXoLQ8B6xEzcHfGXZvgoxYoiHMhOzwldkkzmEvs4sP8WQL2j5b8rNL2+//L0Q8mkPjCFf BSvyk0twGU1C0/LjrqO2mecA7e+Joi7S0MlTyk6MkM5gKPBOjLgmXUsHZ5eR6FDWa4YHDt6F7Ica M2UfA7ZWRGl1D3S3a1vJTa8tj5qHVI/FWerad2Iy2VnF97MiCoYmPbm+h9I44ubYBezLqBHQBHpb CStivHG781hhNbVNfEuQT9yknL+aLmRlYDEtv2MIjGxQp/RigqK6zSpJJ+4DJSphiJ492OR3jYn9 1OO4EtFZYxL1MFfEuBcdZSXipmkipHG1bAWzksitv1+uflZ4V8aOjTOjsQbGzELqC7u9i/3hqcl6 LTA5Ni7NAfHmcGsgS1I5lf0qKcXCnimj8nafXjSsHs8h6rOOsFn4IFs4vkxN9JCW1rOw9owD38jK glcaHnXBpn6tqCdZd5mAZ630FY9uSUsNiDxSEyjgAnj/T9a6jj2eHOF8Ks9ptqO6oa7//HphEULG 7YmgoOjg0uKhXQHQOxqzl/ta/dwuprU2/bud6Sck88zpHXus8k6AF4Ksu+eaNkUaJo2fqaQR6//k pPKe+JB4ZHIR2EA0I1sjfZQwhj3YYz8xS1LySm67RvarsZX6WuzjEF60NlCWfmSE4ddc0eGKk1pB kNFFGcsI9Q/ikbzLa49ZqkF4T8g+xhkwni/FIMeVqZosohNwS3K3z6QTQpr31o+MBmZ9S7YRBsTu xiC8cbLgdxuX97ABWWacOw+KxUbRNaNM0QyfrU5bVQA7d5XD9rQeNcp41ZTDy+s896imzn0lvTMN 7loQTNBldpGadQ3Se4W9H7ikL0SfxIT2wEDWEsEpV25IpsKUlWA9DOL7xW35HWz6A5ZAdzWKUsC1 br7ieYQT8KVsZDziJtWcfft0t78648BDW7SCRBwbCGvffSsuVOvhghJfSfHJruBvXDVEirUgEitv CEeStdrXWsGhC+R4/a1nke2k/sOciyvBTiT9JcPChEUrABUkoTyGd4rE20PaUKxqKd//V3lgljJw 48hmhx2GzqNk90q/5VUe4gj2t/WdbckJfUxeCw4QEDM6mBemVw8v7qnaQq0AfhOsen2wYuju6do5 EVLaURvkLFu8GEjlJWUT71HJS+uJqSyRg+aW6F3+gCKcG3zlsv44aN5M0B/C6fOINgpRXAe5TVIj YIrLkp6rb5QOHO5s3L+QF014qWCGr21AiwM2Y4khaKbVb/Zy1ZG4kdfNA2yXh2w11MI1hh/p0CLl heRBcJ3ynR5rUbhP7M4Q7Ly0QFHhU/FtBsL18D15cfHh1KSH9ABwcik+bGD+J+CSAZIpKKdpgU2c 36CaYzZ0BUwgBfEWE1+EE2M9wrm6cNteTWzw0bCSIKbRFl9wbYoiP+AC7fc7aD3JEqNbVC2wUuWh zj2O6/iH7kq+v8lIt/15UH3I+PHg/j2QDTUlA0nv4hqyltr5vTPL8XUNlcTRPqWw9QpWsB+01xSf gDpm2az5x+2r/GmgO0Fe1Ld2661K7iGcrZWR81wyKwPC7/P1/VOdwUuqnNVTk73h2YyNhCSfC+qC C/oPEZp0IHvGqmhfc4wmRVY6JjIE3lbMNx3Nv7VnGyttzBzJ6+N6+lW0FKW0ME7wxCARG2ldcyd4 paI99YImXXDgFjXGsgzFQNMiu+w30MgBS5oJXfD1D0uq/LgdsZaYzNr2IRZB3gB8HWX+nMcS3L8R lo5QgkK6CHKQU0yt63yFinoSP0ueqnXHkrrZwyTxRdqoKr3UqLiUYvFIRYKfoB4i5f4+xHYe8V55 gBT3aElbsTKapZE1WBX4BrPW0fNIb7ZpMKID9pjp0dUmmjDbi49nBF9YETCGgOZ/FXGvBnOfk8SZ +bv/OE+nrZ9w8MoPDcSLk/HPl9l0lCrRKl9GtVapqB08HwFoFypI36/ZK6RYml21x1dJRTsM/FGw 1FxdeqMs7kD8BC1MsOfpKGrkEiszWv23ladxSvjGKcHcwZRgHXY0MUyaenz6aE0LY0AJU+rurhwW x+GLgPFCbNyhW7qeoEcmtncTQGP4HB5E/iSCxJmu8F/pvHlHbDpzNZIvoSvEqw1LElX3sbwEQwEl F52ssvegNk36kaJ93EOxKfGLsZFiIcaEUNAQ/t+oY2C35rN8bZ5ohPUZKyAU3EoYb502fGGaJpng VobglryI30PzV5Dk/VRUCr1PghhE0yRWH8yswlJW3JwR/wjFGxoPF5ybUbYxadzKzD3Mxvio7RSS tqpu2SS1H8gOC4ooadJpMkhT6sy8XFVscyotk4L0uAkQ+APLT+ykW1vj7Yu8OxvobaTK9iwkrKO8 MW2M6ALMVo8VQX0G0TbCrkGWI8msHrVBYOiRgw5jl7lUi/m8tdb+dHY8aGn5Q6oPhXMIr9Uf/OVU 8bWF/KL6tcYoWtJqs+vAJnqq9zhbmnIjs2e4iHNzhOfNg7l5qU9VNoD+rfy1X7XUPTi9GaCLu1/6 rqba0lX1yDB9Mg6ZUKwD4hzRKmADaojPh/jX+A8b61M0jv1YyUybV0k8cBgbqM/IlbUmrP1luLgd fGY6J/oa9ariJELRNodFai4VUgbnGV3f83YYlO5sZoxQERBQnAS22lCJP4ZCi1yHmIwFXGBiqag/ 6bVFtfpuy96xc2+Qm4bH/RaVprIiBmU1RWK84qVngJZJgLqypn5WqqPUVE6FmhfIcb+DrRqCLGP8 5ZcBnc+6obClY0r1jc1tpdj9SuASpWV/dO/kOarqtyfWmm+pC+yRK+dACS7oW4nOBosoluZe/bMK QfeqgZcIYiQ1POWhwUbLIn0DhRxIBYBSNQgLvuPCyaEcwYslTCPZP44D8lZcAk/FFOtvRSZIQk7z wPSIO2c8c2HiQxqsAthACGck0cN+Q7/MQL/20uUzVNzZ/w6xfu+i0sMMbYWBL9j8ofLn2cvIXI/q bDc4xTRktuAwxS3mAqLSFnfD/2rqbm4Ru6iMj5GrxXMFqB6Tf58JpGs2r/1Va5ygzr0ALIWrSzfF TPYZsmA/OJ0knI383dN3MkSVLbV6enxpdlUmyAOrWs9O+SOGLTG49i8I5yL6k9zp6XuC1Wk53FuJ fVr9xqSi1Qm94WbRjCiIuMnm00HoF1IeMrQ5ojCnwa6dvrAoaJ4soF9bj1DotdSKfej7KT4o/NLW U+Fz+FGSy24qVAFOVs3wyUraaCXmpjHvaenD4QExmzxFaOc268XXhB9vAyrEV9XasGmc0+OAO8F1 Bn+M6nVmRgi0cMXlcHPvVtVChsmjJLZWZ5Qhgzo0Aob6ZYNcddAZWxBu3lPyyX/eqa1LXTBQN8fM nNnq7hLGj+JKX7XNeDUT3TKYP4d01pb5n78ahVDbnobMngFqZEkCs8t+YrCCJF4YNOOrO/Uoof2U aWspvBbd4I0Vg0YiVfVSLami7dR2lvQPTrUW8LpefSePY8xNO++nqPA12f/YjbcORiKpkMAMl7YV 8mNY/MKgNq99JmYLyiH0Vx/rUkAH2QepX9dVxiwWGegX7w0i/UUavYPDLC57KIqurDJCYUWqWfpc 4aQ/ZdeOsDHmOAsasKcuT4nODCEhfAs53CNKYZ59i7kA/0O9ni8bBvyuJ32ngqcVkbSQ9viR1Oqf rv1lgtr2/vu0cW5yFVoSisp6n1bUG7cKY7GXKyBpkL9tJj+uE5rNvDG6By7T34wFFZaFHdsJj8cQ Gtt5tGCy43dvLR5McmOyRKPwGC9Pd0mzx7CFiL6DTVfpVsrEweGtKdnmTrTEiIihrFIs0IeKxFyE +La/g8Sv5/HqiLfWnt4rJn9kuV6+KD0ZccFwgTwwTMKm9UlL1Wd4PpjYDVmZ039ieTmmLv1rdtHF Xu6+kFDiiwHQrNNj4tWNTRhj19lvf7g/m6BtKsJpCJaeZ2mBF8b3GpScuRZeyDgUe4aoJEtNl0Wm iW+QXyJQ0FTi7CWNkd/SMCGoD7lLPGdSlndEskQxzrZMWSxotB6/3GMZC5AKYJjNwyLuZa47p8Uq oNu/58IQYC64l24+5R9Fb1nlmOTQfmVNKWMIimXa0ynJ/9DQ7Ic2niFJPIwpEQTYDMGC/UPC5sAM Ao6HKSrplYplK8jJffjsRMoowLjpLTz4kg4X1FFCq66rOIHlYY1kpb9XeFyJuWlURQe3S6kVpu49 +yr20LzGlLlE8hHOYbLnu76nC3DnwU9kn6eawPD2HGb7FL+cvtT3aVngy/+pCTsMnIvNf2u66FKB AdUDgbnuF4UF8wjsPKtu829VYJGKWQK7Ltv3VGJlTJxS/GudYsGr8xiKAi7ucUnzoBJBTiIvACId hNbDROAT/A3fJ2ARp2oCwi45IGVf6pMAh1nd1mWa3wXD8ikotyVf2INeHxJVS4fXtF6nU2KeZaaX 22ZMVeePuUVIvNvrbryNErnyPPq9NbpWB5KYVNEE4VaG1bZaaZ90Zod24Km7GABxnLSfYP3bbkVG K80l8JbuFyNr2ArPy4QfaPyicqjR4KDynJw/Hqo5SqR5kH5N5XxLwhQPC8MM0fV95uia9mlhY6d/ yMDKzL76m1onc8Z8G0KT09UYADDuzw+WvIrd+jn5Rqh6MyiBN21xx0fr75VzW/6AKbJMMT2FLn65 H43RhpBZuFbwgEBFOpjgb4mrz87fN4EFH4gQ5hE4/ZadhchnqSa9EuVb4FQbM7ao3o+d2xkf7fXu d78/BNkGzawVzG+7wAifuxkMPrBtyy53qQbV2SDsaLqvj29+MrF/32hA2B9OAzLuXKYbak17jwTE 0jSXbYDZXoAv9Dak1zxrYuugOOX7dCaIJ+8Joyf4xvGJR5OWPKgjAu5NAzdUCw+ajRlkrzKkCZLF U1+/lQO9WIElod7C1HU6oR0lgRaeCDf6KTMFGw5jt80MP1DmqyVvfcXKtqSssmjblI2Roefdm+2j 5YqJmY9C8I0UeH13VoFDK1j4a83e8CwrE5J5D+0WUrHGp1ElzHd5hgDPbQCrZySloNIfmEOaIAh8 Ory7m97eKSO3y9tdnvCaO9vZs4b/H99Mkxz8z+jh2LGnVBqciL7Mo70eJ9OaJRXhBKujpjYuqbxa YLUQAnKHluvBU4ysaiEh8Q/y6fV1GFpZQwxDu09amyX4MKIWEMGGw8nNupHgjEnMzKTgwt4fBz5d yyJMrEhdJnxL9RNE8DlqS1woFb1Lsf4I6oQSp1+NNZSWgDsX5vwWvPjAa//qfHzs2nyq/B2EUrLu XEMYee1RrTO1HD7YKpxC4sDDJkWcDmE0D6zQBwue5bvEhIt1HymRH+if+G9dykt+IbYn2sSQPsRk CVKftIDrncu9NGEDxzBwRMzfN11wX9p57l5wlmymDIpHTaXW9/1QeRiI6wGKbcT4wBAo9K/YvKPr xE15xwd0qFx0H3ERMecQADHUmSjDdkURz2q+MLcMgzm6T8SIVtzVM8o3JYTGlEjpeCDZHzFaGiY1 h2KGpq0W2zxa96NckFGSX0P/jdbNv+FFOFH5/mTcJSHB6hWJMF3wm4pmaZmafw0LV7BF7VwrJA7m KLx7S0lYm9gx/xfdWhXRg5hrfA0dMoIYZAnG70w5ytIP7ZWW8Tb/4YG06X2XrMH+YIjmBpntLKLd YUtgdpEdDk9Xi3MCHLO330q69C0C+m7yhDqTYDXcIhSvwA+B7+TJKMlJF1V2Eb8PKOnB1SmOz7Fc fJM1MGy2aXOyLWwddwHGJ58BmaQ9smrvnfFaShb0F4Oq7ZSBc4d5BmhWm+ERYm5ltKXK3pvamo83 c/oTF7AgUeda6y0Sqa7XVBylieU7aU7b7yTaK13BZPcLSoann0pf/Iivm/aRieTDcloNZbHt5+V4 FBXGhH9E7WtZaVR8J+n5gijcwphzhvsJsgnakBjQBovz+VLzK0zlA2KIcnpFdtPZtYpJQYgRmPfw IFhAYJlpShOlizSaPzXOlBn1Z5KdzyXkRoeRLy1GmTTXL0+gKHtlxAJTsK/WSLXp/gaZxtq02oia WGfn7lq3S138amBQz28N5Syai8Vsp2jYTXKlRl5qhGeRFVoV9udr2ZHMMRm/YrlzPzY4i6LvZ3tZ SqEgOYweXaiNU62NYtKja7BXhDfIQHTAO+h/TET9Nl06o3xsnOnI51tkla7BXzBro8wryCxY1suE N1ZPCKWzZvDXocpStwnkOex9fHy9L3ArvO7jRhrUo6unny6ou9EgGkUjPSf3qH4kkDZHmoD7mgYr uqmJOQTFU8nRovRuleVSch+EkhicFnnfDrUjsdPsHgoJ/0yIax9ceQJPHftSSehHJdr5GDoIn1Sq vaJ+6cJMquEF7NYkUa6c/wNskbWEc2nDCTF4HaD6wfwEJ37xUxNcTBmA6MrW29NupN/MECOeRawE gZUrTiwi5Ga8uPt+if5wP4yqy+OEXYrx4D1piMYNqNFgYDMhiqoMQ2m2tNgIV8Cb09uZRSoKgzUl lhxPzLV1qHDeJrWfaLeggLoMaq3bV74Gpxi5Ih3pMe16EUIC9r7IYCq4VE9faShjwRAvz+5gNg8e 7BzNmvrmbN2k+ws/VJpqalpKf1ZFUbyE8YRuVWqPcGQdatQm8PWpPKdLL2ZXEQN9sGjXtue8GgI3 xYJcnYcHRot96egSbqlFi+eW5mBxS72q+HrwE1IR02HV2q/U/rd2sJhI7Lz2KRkK+Lq3LBIFJogc DVK8beQu3xnQGMDCOAgYPbTUTEe2q/Kk2SIWi8XvKLMJB20o4HNXS1QzuB2mTBmz4pxDxoZsMShA x4REKok8tBGyc9l5JIjBu+KEuHouYGs+0NbTOTA0HxX5z9tWrRX1R8n2bhruW+eENh8yX3nYKVLl cXzgzAuVNoTUUy9EIhE/XDy8zY3XKDQvZGL5w9fR4OL4OSwwsLO5yxp5FLPyeAuxeraSniOdaqza zWAlQ0xndBAJh/mupJPAan8WaBrHaVtaLEk1QqPR3zVp1uQ07HS8ippUIUmdqqaLudLta1m3YFU9 r+Bg1nre/+b2XmtB33qB2xjlcEkZVhz483Vpv1iq6A7222G+m1UntDhN8P8v+xLc/+FU0hfMffK5 Yuk0X8cShHUc37wwREMama7bw59/fp6E0Euk/gUwvuxB5NUn22uqcymKyamwfsBcUzQRhWO6YdL8 m1iZm1KpRwASU8AeTGTDVAq8iMgKIUDeoD+ImLlmACbiVPNSnrzv4UqVFhWF9Slzpg/IhQg3/sO+ uzQhxLPO+jmt+uLy0M9O3kZxmBzcLKfzR97QOLXUhiyG7WzEqBJR2Jn/f2qeMUn+0jH2ndXtXzUl Ig50yqDm40PnS2/ORunpWz2dtYQF49w2QKYWFfU3+fRPaXCXSz0nzBvsxXrdQOL0dRk2OI6seGlj KKFlusx1GTE3qqbxPjibCLcyPFAn8suoFf7ldJ/9DBa9b4opOnqUY6SzYWko0lL7GW+zQSfjt+pR RfctPjrhPoopxr6s6qpT+a0sPMtIAE0kjPtX6iJNoPhZzODFf5oKPuM2FqEdnP4v//ZftONAcWVk yQoR8HfJTuUhvA1rVG9ijAq1NcmXBJUhOvFxZrVH4JqxPAIqxkIC+QEd0s/joZcMNGaIbyN8t1gN TVWExB156OhMearkoY8zJahi5IASshGRSdReWy0FRXoufLdanaVeIokATr9ikFRUFRPRrkJmekKA KIMAz1CGhmpchWtk7AYKYP6/r9x+wZSyxtd+WD3kHknZ8k5yvrEKYnQLGgmG0IL6QcKzXyjLoEuQ GDdN5TE/tBAT4sY380qk/P2D4DoKLDWXpZK7Y3hjnt/sbyTBZ79QGjo0JybkIDxGmZp+RaPKzZho 1syiZEQhVG3ocZp5EYAr1A0f8BvsEYGw2eAvhkggDOd2ner/H2UQb2S0vvZjI4EmdtJ8vAaX5TAr LpBHSEor91Pksp0FvbvBC6nvsmfF/jXRrYi2nZstgLjm6AsWY3LOt41NXrNIjlSGwz87GjJg/yfD VcpUuPpPjgL47UahFdYmv+nQil82VqSO89HLTcRCYCl7Pe2hVdfJAACqHhvb50rYcjpfheBVQ+Y1 TA7MNtt3QvPUszzV0G+LXOUuJ9xByABq4sHHNPpQmxuxEx6Z+M+N2X9WaqUdE3gDzzGizas7MRHj RAfFY87RDL36A0qki+wbfhgUnpEoska51mNr4AD7A//v0pJ/E1A5A6WGENYGloekCYhUqDrXpI92 qyFu8GJZ4PGpDLZAZNKVCHvyKMT8TFKZWQff9CVIJA+9fr2soDUAHqReOh/HEf1nRXm5vpoP1HUR BD7fUgb5rPmHyspz0/uGoZubtFD718b3fm8eAs+ZDT/uFYUS5awdWlPsnLT8tBwmEgL72IC4nEYB v+lyti9JXp+dqSw94BdSLVJ68SovMEMZHIaV0uFQAUUHt085qun/QyJbhl7j2jb+quB/mEywTJ6g 4uV7VrTJF3ZUzWHwFT74tPWSaWqXFDjZxtyNoQwIvcOWgQWR8W2parFX5QAkYbvtzm6xhZ15zTOm FWX7NCtUt/qg/Nr+bXgJPrWo51bbTjBBVjMD4gVrGf0IrSoPoN69wfCzU/2+nxJYJvZy8UoWLrm+ GogQgafXBNXp+eW/QbZbMFzzIsH8lllo7chrX2G4eAr6ccdNGWdMkEep964R6sWhvieYJ4DZIUUd 1zpqxP4T2HfZ9ugjG888EGBIZg3w/eAv/aPi1Xv3sIUnipCupOZ6DdPwRQeLZ0TmTWey5kvA7R/D 25CC7Mns16ORYsW8/nGFx4XkNiH00HBv1wHSE5eIK6INm8ci2dCUeLFuhBAMe47HRh9VtU/0rVzG dXBfyv//LRQQBu8OwGQf1IO8iIIsbg3Gz/1lyMGrmN3lR1sYgsd1Gs8rwipks2qa7sJ1peQcuR8Q FmgqbOeanl5lkas9ooK3LZ2KPY0eIBWtq6cngwjzXgwefYRX1Vg+sAVY47+zFp9XjPdRfaz4tHM6 3vBA2sot+4x7rGZcm3NLQRfEVpq5N9XLctmK5kgSmCMe6MDwi7fXlFlPYQcd3vpMxjqCaxKkmUA6 MtkCflolCjTTVNibcqCuVds1wXyTEu/j1m3A9fKFOwDOMCqAtzqi5HLboP9Rn/ZQQ1+kNhNYcfn9 J57cb0hpwQnQqNB6BbhnBImNIxagvsC5AyP4mBOcFBUtb0fy+Xlv1tnqLBhiP7yfbrYk+uvgkme3 LDBByH7Ig9/NlmLbxkjqWoSNlECvj7LZMPdXdYtGMvD2uLl+1oOK5/muLDRXiRT4DpYFh6XkznSy Afps0qw4GfpfJRSVCnl5BwU5/tyY1Ixj4GuzOYhlLonYAccSUCVS7u3Foq9NQ35z/YZK4nzky7Sl AI66DRrf5U+goGfqO6ymXpKNvSIm0r7yB5doJatcoFzQXuyJknL8jd4E6pVtQgHK5DYTnOke34VF JC4UkBg1xENx9czt2M5lykSLqGJBXN4pOK/PHQNcj7ygS5Ex5ytOI7X9O2v0MLOffb+ZqvU7nh39 yRahD15GvTSDe3+G6T9oswfBcvTmOTI1pLLLP1TVwwSQSZmbbnaC9MKzg20GkxLfEO3kh/1e0oxM f/xY8cvNN3dMoAwM7U4gFNvIvBqQFl0/3AWiL6Eekqj3+WOIO+2S68wRGNMgooO0OrogoV+Xq/Ta n+rDQISAHBbUNW2AzGrSM8yKRDl2SshIz8hVn6h9O3FwzJbuPc0D6JFsM05m9EuKsdpkLxYtQr7B 9XlCHWOBbh7bSjp32dk4GoNIJ+gwzNt9s0xxv3VwLrHJebnTc6dJKTv2EX+WEozD8YkfJhbFUivk wXnVhe4fTz1kQ2q4F9kqBo5q5A/x3kfjXZMnW9fj1hkYXIR2dN2tl1Es9v44UVgAuv0G72jL6NKq 481S+cD+NUvcGItVpp6BVQStDVs2NioCKUNG8ATujP/qHRJ05tc+jSw208f+VPGXQFbsjRnkW7TD lQC34RI9uEc/0g3iVRMSTTySKUboT1e0NmYwpIsBCAoVgGz9xqQPBaz5LAgmaXQCneHUqqvDDsBW QBNxcDKpPVh3AbQB7woRz/70djGKXsfggDD9Qhn26xCOGuFHSuDcMJcUSDGCXdQZFx5F0g7Y8EzN xecKsbTnG9Yr9gCtLWqmhXOnP7gOLQsG5NluEm6AS7rzlDnXTcE3NFy/N7IX6Plo2v6TTONmGP9A zMA2+K7T7KGFYX0m8lUqU0HnmnnpNwvqpDI3ENQiaykaz1cgzFnos4Z9P0ikwNLWtUuqsDEf9FDY aaY7YBUQr9ggUGpyGU5IVRUPsRcxXOpx4rYpmCuXFSffFWVbytQ53PkYKsgrxHhgk4Toh6U8UXAS AP2x/t6tOqj8CX0Q2uskkzjes8EtBkyXsNzmW1ScM9vN5DG6FdLrTY9ErrJ3VWsN8RRMpgOFLJWL UfUbJnAgzwQeDLKQm9CKEjZJC+NKdRmd9Dzi5PqMykgcFloXXOtZLGUIK+AxblaZiBnWlAtB9cXD WIXqxufeL+WvK302F+FO6/JoFnjcVtcNkulYyC3UoUycRM2Aygo2zF1Jwcv7a3siL6BMA/pWZoqo +SuT78YUw2DxWOEfpbfc7Cof4ontrr/YQuzyhZvaEWb8Wx7kjqGJnsnHH54ohEoqiuWIkxqmyEaV DwsUUJq+eRdzRXipfWJr05BDvFB6f7XFJAJvXlbmDuXcsrCI39WtF/EMez+itXpNNWpwpl/C8Dwa /m42Bkp1E1XcaVfj8HjMEcld4PIHRSIL+EAhLgujRa7eE6JizhJ2qb6hGfPOiy78DUYYDNbsAJnB OweKdjEqr6cAhJylR5J2dW7ZZrh9EHIaiQsKcMKyC1/dhWJwVtcgcVHTkB6OGR4Cpl7uv4xSkXfB xImGtgIKIRgdty+Sl4vxXlqGamF1ANyRMLlDFZYraDhh4nr54FeWZpMMdaPUrvkcGsXzUMjpHydy ntzZWn6soPvTskDML+FdhU6B/4rrVPCub0PNYgVvPIXoFV6O0AQlzZjUgBm9tduRvg9cMX50NRhr xxdGWH8tbJYev8WUFnJQ3u5xYKTMdKHwLjxtgAT7TUAbSoqyK2rUjUSisNrP1Ee1+BtO9hH2fCjg qW3bBrL62xpSrPtnycelxhGLn5nfWekJ7/XzmQyeYybgPvMHqS7iqd1XC51peZ1UgCLMe57Cd1aU GA510PRx9ke2nasvTrMxgo0syECFyGyoC/od86rEHXKfv7tQraZTg1nm6cWRLeaDG0WYMgJoV3dP itxt5PNpDA+YEdeLgo2DzG8a24jCJvABYphJf9oJfZP+ZvIEv5M3LD9lA2dkDw972FB4Xbo97s3C jyHGQeXx+JkAKolLI143jLktRk8uTY075DB91dbM1fQQALTswfH95rm8BlcQcG5Cln7sTGC0XCgm hWSBlu/FEY2YFkD67AjNdWfLY2/TdTbzgFdmYGrN4CLbIiEXKW3cSj+8Fa06TgizOjRASPVxLDKy XqfeDVYz7+ED3bmdbMjTwL9CiwD41lEHM3JvdRk0CWH6Vtpxq2iBj9jqnvwFggH5YhXghwjBYtzL as8D+lNvVCGCqRkxYaZROO5FMS9WnptRNdtN7qxJFe6Q/s8Qz/RtWW8SN1oSwlcFs31fbcpuXn4k XGNx/7EdH1zG7b8OhilJH0f9/7WmLQ8CM5oflrjpIEO6ZyBUqoSz+rrlBiFloR85BKEEQDoZHnVj DG8T1S/BpIKopPz1r1SJrSg3mgqTfltw1TpClswDp21D4VYSs9POmitccLZJjpS3TjxFVu7MdGyY OJqfE3RKgCngpruC/bLEAUjILxPW718WJj5SG5J2EvTKyqk/8w1cVBuT8aAJ0R7FNFY+yNoUIKdb yk5tSWYnYBW+mbFTeRn0B9ZJAG3H5Ao1i79Wxn+29EdYwB9kNrEfhR0z8louhtGKafJKztJGd8Ve liRmzMvrkAYsdOUQOPrIg9S2pjh/qPzUzMZjuT0yy8xE75Op9Vd6GcTpunWqKlvcSxQnI8Md5V6y hJHgIUlSbInGkJNEPozUvlR3Ftn5OJukJdm4kF4RvLD9LmS1mJ7TpNsmV79JDKwQKnyLEIAd/IE5 1/VAdDtXBjr0nYP4Rgq2Bjx7mMWwQy9vVwPugZsh2+Roq/qExs+38LbVTw3z/kVWTmU416eirR61 yIINnUlymFzvGuLBDVdJLI1daQGjkDejWswV2wn6M6Y6dXDEHyFKEiylTMnPnDiYLxpJvtYaPJNP moQPj/MSrj4T6JN5fyKGt7jlrDbMBQmWWz1QuG/yas8KPirK79H+8jnxzWYog8vfLFnVb6zIY3yK uJA75VmJ6gR3Be783oCosietYR1dvtFXqGb20XMjVEHV9+qIQSToiJGZJxsgUKmYC5168+pfzhXW uxsHGrrHIJln8VnLxdBOBb5lZztl4lm9gYwMUhKmQg7fhfacepoMpLSEZ3yjrHZ0s9Q9eoXhbdd0 ZC0Lo/U46OEiiilTXb0T5UWL5TG/ol+o9ibUl707AYLPSku3t5RNhjx25vqITL8ZP+cEg27QBEVW FwN9XlJ+dTz85nY27s5GKPEmPI0j7wg9maXUilQyvyAJngEk0kDytmJVe4YtQb2NIR3Szq8mrP4Q MAeB0RoONAHOE6Z84KSE4LE+zSwKVpYDeBINAW3Bu6C1ahqIBhw0WYpquYxSw9knMIPfe2KJLDsY 5wfda9IyMVemqEz4xsDclS3apB1d5BwSJADMkFmtmGIoVZqL+FK1OQG0rBvhYDgs0V474q9FM4DM ARzTCZACbPQ9nBTKwR7IuXLu55KFbdEWqLmcWo8Lli02pmJrb6pfMhlA6a2+fDhuM1h5YZqirQjb rJAQKUlCvHuFagWdhKhAMF6zuxOQCSQ413/IkTq8CeoAQqvVSu9YHym2EA9/Iv7A6lnMD5YkU86z GgC68C4iBfdI/R+KrsGwRBrDI/4s0NyJ0pZn6uE/Nr4/BqN9KhS0ekOTnzw5N2ziUxdax1tGRKke uW4H3EE+usqbulRg9JxyEduudCmAHx4WzTAhn8oPC2bR9zCax/eft9St0uz0NOCrc70JOKzhy6Xu V2GGDs9HSopTciZ6xYlpB5NgCUdBCz/YSlqW6Rws1ZMcKJDo0TOIQ3RqXHMe9owmYqccM6XM3a6h GVnwzAYWTNou2gpPmBwVVn4f41qS2F8chY1tY0zFM4xic5qC+JKWHrB9gc49Jt/gQ0E1cQu/02pg l0TOLolYzqfj3ZCNe2ejiL9ah3nbABpZPQtI9QfwoOj/+rrN2eGdJ0H+E73eyj1j8990bkaNumE1 +qEbdNMd1Q/+n266HWGDP0eS71kJa5Rx7lR/7QoMJU9/iqwvSNEnBnAycaei4brsRYvWZnKhTDBz An1n8yHiYJtVGIc/3lWIM5DwLdM24LUSinJTvGxbfCaNpnmxiSI8O83/YwBud/UrNPY1RnnjUfvZ tc/sFC7V/wOlvI6DkJtlWYwDqoB5CBjx5E/yh3ZgUtZIMbbI4NNQIdAwf6UkuWd1RnJXFhQjNlEI M5LaDdvLMGXC4HGvW86gXpccdNKN2grGnaqIszIdd4s5xRu2U6vPiYcwwZo5DAw4KYqUZecqzQIp yj9km1MBtj4+qsuDg/Ef8CfOP44S2bSC7woIBGZgLYsY1yxxwGSS99fXQAreep8x7AtCHisgx6zJ nSMNyYsKkspuwYU0Wb0vJcYpLFO0IzcXepJ0cMGz3MAic34prjE/mMc/H1RO4Feg0MzEr8r6ufTp HI1YQc2abyAPu6c1uijk7/abJn2z2IX+U9/zTQFmRHhomPM6i9OeWQ5KzBTwIjlM/CpIIojvmZ78 nLZ1I7hGmNKYFI1k5cxGqoJOi20NYvQG+xDw7BCYhNMZ+/YaMDFD+qsvoQqsAlb2q0V/kTZ1A+oM Wy9wC++cjV2fgE8lMa0mdtotJJtvi0Coq5ugLmnYj4pM1T6rtrJM2SnHY+v/1KHGb7tKxkgwvjTd OkXjlWgQYjntROjdm/hZr5J9VEjpv6dcaAzlYoEUTXpTz0z4VoKdI4KLF7r0UcxKyJfy2PPWXz5r JP0FfSp5QbeeLc+mJzQ2xbzdjjUCdFbFQ0ff9XP1aEtOjnc0SLqbIZeoNQKkFJAe+FxH47P6WLVQ RBlh1+nxu9UFC6eFYoJaf6MZlS7v8q4pnLX6o+AtZgugcKi0TjBPGFAIllYlEioTJCzjizPi2TUV T9OAVK9wxUacaO5AVteWGDNEwQ2GvIZBLGSYRzqbsb6NekQLdl9TWlESIq9b5g6Q2tH+RZOlSH/A ognYMOe101ldCq9S5+ezbftvt+VHfRSF4N8aG5/hObBEpqYvskDDRMC5E9CF3vdy72+1/cMrwqoj A7Zv6yuduB6awoy2FmqVTlAdKH1k315HP3npP81g5O37QcBwTiHbOIzBAHF7qLF7ZIlqRnw3BaHb QBi+foGSV46WjO+WmskSO/u/KT2dHhunm8ZNE1RXJ+rdDm8yw29saMM7iahf4UMcHE/zYNgDbfwF HZQ8m3dORQaVTlMBGDOu3VCWTHWXSTPSP4LCWrqM86Xzd+RRhujrXpCm4Wlz6ArTZ64OpqlQYf2H RYLcjU6AcDMuPd3NHDsKY+11QAgyDlNvyjpVeyp/Q2KS36EcR44IwFpgpRO1uWqiUTwlsjOimAOX L2UcZCddwtz5aEYHtvMVETh58QB4G5GjkVqBqFUXXRLZeD6FH/A4kT68t/NcGcm2p+S7tNw6yMzb luh1y2kF7Lhi0MbMjkbBlEMAOxb/wZLVnUpK1Jey/IoMSnP9RcshtgeiBNHTu/NgHk/ESMjdOpkz A/EcDxGfYlX9fWR0IvSrckaVa3IWn1/7Hvg0uoXzmEZ7ZciV6saaeoOY8VhOO+qg/0rYsk8AgVr7 PzIWIRUVB/1BqPc9NEDfwf/pWdQKJN9HHigQaCIKkOxWiiN7O5Bz2P3449LN/w8Xzfz0rGFE+/du 1GqHNmIiLtks+DK0U5fkgtRvwatF9shnZIIfMRn8i1+/VUkIB09iu482wlkOp0Gy1NUvuV6hFua4 qxbkQoOHaesvnhs8OQ4KyokqzXHR3xhGPBRG9j+mJlpSVxrJGB4l/YyGywNmrPQIAIl48cRpek9X TYn7dFgut4LNOjIHPs3bdA/nlerVcc9ZCUm57za4En4Vidqe6gsZZqNvdgaQ71rBgi5yJKQzsxcD wEFsgfaSAUBIZJ296m1RGi7NIEs1uKah9nfDdeZzDsEGVcfex6SYzibGdyeNVceW+Pm00nNYDUdG iWvKgwpdWJZpuqgTOnzdiWjNrVS3RX3gcbO40bKwWhzK7SHBzQEuozaO/YAJtWQ4Zh+K1m5aD06H pgVOJ7y6GCu2V5ErbfF7CPPDmSNmgCCfLb5mgEYYsf/YEazDpc5ZeRh2TqhWhS0wtAOs74wSNeA2 3NyuklveJshBWCJYmxGYIxMxyluDYpY1YA1hwBflnR0uM9W6VJAvAK4HSwlfPCohOunVChYQEVF0 e2ws+E3FXuqI9Oh72tzZE0Ip2xG3eH+niZw1Tz5H1NLucm2RKGzBf3cF32+x33KJHqGw9JiCZS1P 0sHOaDhWsIcCXTxa2+Ide8KC2xSqOuAF+eOy9ZapM2KcQA6XyQBAW/xrt0HAamRbwskaKuI8Lt8s SxJy+32lJZqiLdGV2bW5SIUOP+OZcuHYn9ANPltJcOTDP2DV9buZcgF79hAxzp+hENCYXS/WG/MH WAhj1PKQDSx/p2kCGoyAzMh/+uF29MRIUDYI5FFKDbLf5+R8dCYFEqq/csyViNQnJV1n1SYloOTo Gx7Zi9nPT9KgWk72JcRAYPFxgiZhpifk88gqGiKt8Uds5EvHnP4rW64Rw4QundWhToBmXDqVsyD5 KVktySRiaNDY3vpmzY3bZrTRr5nTvasEKpZUGwZsHGuBf4MCISa3hTweeSShVbB9BdCoI41OulLl GKpJnb5BU5Fa50LvzqlbZjo4cDOztAm86kyg2JJI+AZD50N4Suy1GtMkq1DnUdd7y3QaBi85ln5Z IN7fnLGwHIP73OXS4YTn6v/BgEXg/yzJn0eCC09D9ZIxZif5uusy2PvS3pHpW8LY9AVjx7coYWbM eSONJRnXcseiFIyiNkQpo/9eUC+nPZUOydcclxYCL4OKzf7lWr+yXN+o15CUCBkUNzP7Um0+eglB 5eMGW6w6/lffIn25w/Y8WlV7t5XKmwgNQPLO9xOkTMdIiI6wWLuPurY0D0ezLV9dr6IhYDAq8N1F iqGvgrM9ofbx3ju+ZKtWVUDl3Y//BjiiT+NTohja8gbfGACEgwu8HuJQL1jhKVBRqE6nXXDTY8JR wyFjQ8/9RyTVFenTAljsQZa2e+t4R9f62NwiaGnUOubN7XbS6WnSTcdlJLMoxtUSQqxkspLn1yTH X6u/DEAl5OnQYLSYM5/NifNi+C4UD61VDcn5wexr3iwUI5R5dMHW+oUt1tUUK4tfHWWUMa4gVohl Jmhh1mlVBzjCHMHYHmsJqqTxqtLYp2aOwfIbVN3xHK4GuABlv8K8GPCJlTFhGw9A7QSUti67CZL2 N/szlW/jOYZL1VQqgIp+jKusDdcTZxa7ZgO9qgCmgxrlNO/LY3g0QWBVQR11QKfiZjJsVHmuJHlp KbU4Y7DNe2PwoxQs61JaYITMsWzpkNtpzSuFdkfS6UjgIphykP0f79fG+4bB4FXCLOJb13kn0/u1 vWjDDBmpMqHSfZNu1LUQn9hEjl+2eSh0TCu2C2ul4r+Dby7zwhlNdR09BGZLnRFSTCnU+9n0EHGX nWG88soPeJqsdRo2ymQfaWoGT+8bOpjc4xX4LAVeyjneeABI57s2aeur0mkxcbZj2Mwowps0hjq5 nv5f07BpHU9X73u6G4s3gZ1dY1d6n8MwjWOCvyFM/cSf3WgMN5LbZ05IK6+AfW3UeGHoZuWgQIOV dld4a7yl8QCD3Ni891icNXcpt2sMS0w77SJJ3/8yGrETsczXfRyZmd9pROBHFsKV8OLRYXPbUXsC r74CbSwq3eykgNmisZw0QVeLPEOoMOaY+MbLmPqW5VT/EY9zz6bs/o9/JymhYqHlysY7IsQqKlZw QbtBsXiF9+jjySJO+zM+gZOVlNU2BlhF3ybPjrXYr9FxD9XmVnD9d8bQVu1vT4ACH1JLhm22HTRH MoWg4bflpgFKfdV4vNcQ6d/1eOcaFtYYuk2ftrrl1yiE9Xp5qfK/fqSe3wYv+BQ0Pb1m9vVWL6iR FwGs5HjicL7a3bUdBF29BHb4GaUmKH+caIcJCpvjY0vXuP21sUWwrNPa04UOtj7eiSGCE0K+1R6S fO2wx+1w3u8q0Hhc3KGaQz6E1rcSpMcG2HRmu23h/2Oz4EPLlgK9XleY42Zc/uUSWi/vo6GPsd2J stO1PiAULWrksFz4XI1TzH5TD5r+go0qDf+i3i3cpR3NABAkaGG2FDJ5Zeq0Omwm63SMM2bepmgr 7QzTbAtIQ2dmj/zcoLTHn7ACye211S4iaHzZbcx2TX5YaPuymkRsO262vQ3qv/JBEXyimtxyhjOu 9k59ahggm/5fS6k/fkQlFT5bowvC/KM3wHbSvH1wcryR4evcaEnm4C2PMEs2Tej/KloQmlc0UT/a dVg0+qsIQjLRioBHy9o7tHKvKw0WvF45gusoPTEYvZNLls1UzFgqwSx9bu3uFoem7C27xNXKFzbb RycCmAeCBpeWukDxRPK9UNBlalSedAmtvle81wP3fcdnt4d0+GLFgx9CPXWwP4CoP8jmg78OGONQ XTh8JmIMr5UuggktJcfBZWWtLkdiLLvpeC3Wo8WZv8UcSK/qykqeSgokNYGF4Rps+jJfS6SS+tuv 2IdsO01tE7eQMoTiJUvDzVt+862u+Bop3wQa1IvDyntFoiq8TcHre7nJZ845cRvaLLu/3sClZOWa +Sq7M7rCR5J5fHei4W1PYLSWJsMi2vJZ6i8bfTFNaSsCIqa7xeAxcFGgiRqme+z5mMZvYUndm9YS zad2m3r6L0XkA9bRc+KXVai/yZKGTWsgKIHDF0GZ0FAammOea4vDMy4T4Vkr+ik5whMRPnGjvQ46 /pcZWwHGfMJb7+BpU4TGwAO2xZYixm3wqCZ4kRaAIdUB5Ql15KM9rRSLftI0KEfoBR6g8zkTOcN0 ymSj3TDq6cKGwC3+Thss8A46GnthGyx+P/Di2sqJlqUoJpwaumJjUZ7yQlNCUaAw3NXRqCksFkD9 F7cfNOWL/sS+/nyCgJALcyYPnbWMZzsVdr+z84zXjtonYWkXTSlIfsUn9YC/xMo2PlZUq90HvhlO xlTI2n1rFbpYr5pOgHpsfZPnMXnlsrerC8hFi+JBnR+Ek+q//y9sFkSJZZDmTIj0Itwcr3cJ/vi3 xDf4SoWowTlt1UnG4JvKylax/CjzkFg0wUh2TsXFRnxqu9shyNxYfA6gn70mOBuI0LkeIxGqAbA5 cf7K2F5XHMba7T2hJxaCSV1lot1YzMygm+Gn0Xzpzd6GoV4+lLQuVWYzXKGsiet94In5d3D4jJMa 2UhvB1g7qX/DkF+BvwZjwwTWDCrcOvRnpMe0jV3LNn9DYYDTFWvWoqph+thgijqwttwz3Cd5TcUX 1ZIsPCyufn2ITWICpaP+U5wTKoKkxsmo3na4QQDmrt26iMzqfoFFF+UXeDTkekEBkilfQOJ1eeTh sfnWqFHYdFgs8m1FR6pIyZbTQ7J9VqzsBzLyVS7+oqTKu4G8O004elme5JoVS6JCmFyW1oxZXvBC SJw5fC4hdXLm0RgumhnjvphhFvzLUTEQ94J5xjmlD+dDaF76soqET+bFtkbY/zhMM6a2P8QVzqAO 1iG4QDp7UO3zKAJJ3NDNbJ1rqVgFQM307xWNz0udOAtq3uxf0s8eWAmUAWDrs2JSW+gQpVwWB4js 014iRvgaMmrWbDfLfBwlnj+lyOPujppaXQ12DeRLdHt0+CJTqpXxqMzScJmbBzkp5JfcNRDVmXRM w2SMwI+rCc29UmuB+ZsNL0jsDL/9vUZ5JbyWzxkzyHKusK30p4MAcZhSXm5Kn7rOB1R3NW3GGuqJ nvCDpzYqidREPC8YyhWr2wyt6r77+48005Pkr2ccZbwSIirke23JvgHH7MknplXvhnf07cViu9Jk 33lbcfjtA24r9A5rW7QS3l97HRtjpCJkHS9ouQInc6wTMahj3SzNZsuATNsaDfqW5M2w+s3wQnvf NP0LsYSPFFc9NaOIqcmDYEiUNQ77TLTYtCfoZDP6wcsc2XLiUt3HRYMa2nT3U7zzESogWDPweEbU rnhb2Q7DoqdAyv68/014GxIC5cX1RqzbWkPqY6lQMRq/bfvQPH3jzHH9PSszc9RKZirJZIn+c2/e nT4TxtUGWKh1CvoR8ZuC/+xJawes2wuyWNzYOTxfOV95uq84LoS4XSRR5Bm4vwDovsM+FFJPJRVK UmtmKdok2tMuZxBMNdtIYhlaivqyga/ykeZI4/PGh1/D3Xd/J1o8/d9/GftpStLduN+lrM6mxxv7 t5a/7/5Ci9iIqIumjhh+bZEmD+ZG4V3vc7rS+RbxIkY9GKGD2HoxaGNX2W6H3KCNQiEp6W76Gj74 Ln8y2EXfdTE0SQuIyb9xVoqr8CLtRi3t+UKO0X+70l2OIaOWpTBPDxQ3JrWksyQBRtQh7VSI8FPM ZhZsHivjnWVTcJk0hyJ6vKVLdN7dY7rMmcxI1OaFEDjwMKUs1jOstGKcWxicKNk30aFy3dfLo/pT XGxl61LNZpj1P2RqqdxgZMmLU2uyHGVQcUmc9hgwNzmt5lBhQL3wg72ZgUe25WbseLTaVq/l2MaE c8bxvUBo7veCJqBwmUQfvq5PgHXt1X45sB0utXDbPAf4n2D4VoAxdnkqQa9W6VgEvSgUZMgvdB4l 4xCzA29dfqxrq6L5yV8nbSKFoCuYtS3WZiDqD2s9ahESIHj4yVgnXfnafr7Z/4f0+Rte7MLBiCd1 iS4YSctZ7B739K2tW8sawF8onKdUwoipHeSNfOtiw+FK8uaGfIq4jiuvEIV3JpbemkLGyglGkBXn xLPVTKmX4fErIuUH+zBKGqwfOXD9Hcb363JBmAowvZ+tr7bWcdxoJaVPC+D+2aulDrkmtksExqzC 2KsWMUv3pgagEXwm2oxPjSrvoSI5EmTvVMmfqjDec77oQGrcpfcnhBmpiIbEhpTtBi7PdHbJF0TJ WV5+f+waRL6L+HWP3JnqTLggywKdYd3N/Kwis0B+XPqnIQN3GJBW9b40rz1bKTumrtu0TDTI0Y67 9gxsECemCXXuplkFU3IuwmdSHwoAhtZYkwGCANAYQlWw2Xa1SiuITt7UDA/8f29W3JAvPovlxG48 TYPsjPXBLW4hrkgYYNFxbKV9HD4IwethZ0kGrnrtdWF7hNkbk+6oRKjzLdf58cZ6m5k5SyMBeTHH VytarXV1EDCXkXldQlp5d6Jbc4IHozEEvrl4w+VfhNRynh9cv8uwrFXuX+ns57Xjvoi5KQpRdGba nFQu3UKu/ZtMDZgFXKsO6oNOkMVsXMuP5fGDWJwEC3EhLlITUluAXpvAj6pLo9VCqCXvO1Fwahq3 3S4j5D8xxXSVlouDbVrHKe2Fi11a8+6m1TlFF80RVVxxkRCNb3gE1BLZmEG4i2MQlyidTIqx4/hz B41tcr86B/UOjFzhhnBZFUSFxb9DZ+JGNQu99MylP8Lu25r7H2n6dLTSJ3UMhvKJ3bsqjMNYS/XV Aq7nuXg1BZXVlyO2zCH80413c8NRiBNfMTLKky51/krXE2WjqchZ/vYWX3nPTgVHOPRJDKz29HOU +bQ+/IM1DOMkK1HT1ns8vILtFCcUffodNULhiZ/xiILqqmmtvUTZmmNdOTnqf53kLiGRrIGA/6xc EvlGF2ReTstUSh4FZ4IuWZGuqCr0bXdrnUZOkkXLbt9NHJxSLmcpZIDjmbpn7UmwSDLuG4qRyWHz Gz28ciYysgpaWpxiWQ/fqauv7D0cxMPVs1Aq0Y1rn2HhlTpq0PKecBU016uf3dQv+IGLkHU4uE0V Q+i0tMZuBAaI+7267QFHisLNUGVvFvbnxnn25337PvLITgiJ4GwibJ4F3WXpMjcY7ktShd2SL5wJ cUxkM3PJj3gnNz1rangK+gawhbcMgcsjIW610Q8A95Wjlol+N0EVBbB8IWtKgPde35XBRtAI/4YL UgRI++LbH6xiAss/d66zP66RkvgOcqyyEM4kZW5pBrTt7hr9fFnJlhzX6S4vbi8zBpKojRlklW8a ZyFVnhw9V4UANd8UmOV26d4zbEZe1eSHZ8qkpsCRn2ei2nqY14OL/5lq7QzqpXmRp4EqC6SWPLi9 X2yFGbgqgVZL/n9avFuGlS0wHTHe+Hb9pUgDRpVE56FlHbWsls2bLptG2zJIj6hQLge+af4InFmU xx5OjPY49KV97/kQfGZga8HSgmmUnMv3u3jGa61z6nhE5KPIqEBTc7qEcZzZSgeePuwKg4DrWwKx +kSPdZ/Q9IRey2SZKgFqwjLerCwr53PAqlRkuv4ieZqiSGnpCKdcOmct7ItgswcRIl5RaSBDSx1N R1uljy6XolL6SxuoTbGZTbjeiU9JCRNpCt8v7EyPzKi17MGTykTZQZpkfm8HDfpkf2V1u6q8jBMb g8gk5GLEe+taMf50eIsKIrzjSKqREiIYgQiqz4AUonwy7HzbKJoAygCEeEQVq5i7el73bN4iGuV2 xUrzu5ES3Zi5uy5OO+ZDSQoRN31d2n+xQzYmB8DHPzY5vdfUOegi88W1ptfz6R6VuUKXWhOFLOAX b07gqSfOUJXUCXtdHZjM/hLmHZQs1yhn4Hep08XuXyeiweHoTyfmtBCCjr2Lz2X1fYi3O3dj58Zn uGYiejYEKvjiJwbwuD7yhwH27gRzUjD/AZgY85mgec+ACNJDlQN91IirSOikVkSr1WJVhtXAndBF aas3uBWRt8R2+1TuZFGxJjHxveTzfyVVShmAzER/N6y0YU0zykMEvUjVrTv+41p6PTaRaL3aw5BY tpmpLLkQz3Z678+Np1c60At5X6BKt5CVvN6Z+A3ovVARPWknaQBLG1SGr6HQddtnCPwaog90j3Ua wiabG/RWT4KcpGciOZfJPRN6aXkijPJajXZ+bRuTen+qyBKRaHEKvsQF+8hNUql8MpGsTrVl8UUw hV4g8Q2C88q9b5vc9Za8ObR3wHAxujjxJ+ycsz5CY3UwqCEaRCCLE4ZP0ZRK7vIay0WOsJ1y3cxc tCmKxRKqAQYjqV6JJ5ZLDV77U5xPyMTjQP4E/RFYj0ndKDxsQxPOvFXL6rOpT0soRIvOihk4Ocy9 zeM9N97lNcgflOV0tuL3wHXCI43zhtE+jCCvTjbg8oSVgVrvTdtJNgXcGV62rRs/bFYcR4CgrNw2 flcMWsQ0KRIJZtI9yZzwzu6JGwG59Nck9eu0rYq50OoMNGZZ0OSgQz4mtIXasZdtfwcY2gz5zpRy HFb7FE12wATagoievgxcl8MFeLYnwoiNG2XPKNZMPph2Ra4qF8CP9xLmNuZZhWHgtVhpNqcmpcpi +zEkMZHzICK2WEvu7X/LNR/keI78sdGg98X+1dOchwQa0//SHuODmyF0sHMzge7R6IaDUB+zijI0 0673HT4DvnwHnvd+tqYRExysGVh+HDfA+858xTFQZIPj3E7lKH7RXVQlZSchFjio8Cu+qs46UeBY lwrE9msrhTojsBqx8jyN2Ztb8znHbOgunMlZksA3lRNFSJ4OOgQ0xl9VGlL0BfXO/vMZNVXbk+XR 0FrCj/YU/GUaYwRdvdG04+3ncgHFaP01GC3/rgCCYCftmVJAhiZOcIGkbxOHAJlDCkhZkTto02/M IBWWskdNjI0EchXngDH5xjimPeXRCrQqkfVc2FTbxgiqwIX2TQ9XT7lBooIqoq9wXQd0KvpCTZba rF0CuBdTZRriDa7L4VfQA0GYPYhpukOWw2a55m3Q38xc+PDqOFjZvrGyTabJ3J1V9zk4rrhboFo8 YK68ib/FV2N8cYr2HPSHRMHZGEYUFZLG2WI3Pfyl+ioPM/JyRU+Zxd/e7Cl0p1do7cZTa3PBSvGj VGSI8YRXkMkvQ3bnyEcApIFuCcjqJ7drXnpXNTbJxZhY5jd80w7kA0fEWt31BE+bzaV8PRnMJ4XS wmnPaxlNab7xrrEN0HY7obbmyxIN5lmtvPDrZOyOKxntH7ixb7D3lezCwQLcIRBMTm9ajzGhBfjY 6Q7MTcEF9kjImK5+TPCTEaqlQuzeKS+oV869UHHVi2cbZdZ5GbMs6dSRz37eonx6DBlZX91TN/xR n+XF2BIREUhL/ZDXL65CtJ8XbKze16QHB9FPf41npEYMppFDREsrtG+EuXVAE5KeQVVKlVCAV17p iCHR75bJNyHFx+Gxfe9QsS7awhNqBDdsES4wS320qA5D05YeOE9ouXq+ktswL/i7ctyOkoO+vsI0 WGLLDC2zv+FUfaA9vICHgqItPIGqjPBMBZ41XRuyCSoie6BVFHEKgPO1myBm3r5VJB0zxGwVRLrv fWHHx9wCHhk7m/Gzx6ZPqFvWosSs+tQdoxeLvBmnaHUUFOchWIEEZE/0Y82P8IB238E8elaw6fLp VKmCPIMHaQATMCmdWoThOkoK+BMU3GM8HKkjEwnvohiAO8d9wzOdEhfm1NoaVw4nTIhaNdEomhVr nSMpNvxRVKOF0uEWoPXQk5R2tUYvCC2BnrJrJdaOvZs/b0EKXEDnpVF5aNqlDEG0ZfbBiS7yf5Fi kew7wsB1CNVanGZQJoCKxJCvbhYgUdOI+r/64raKSOf58J7UxxUtubWZ8ux/NeTQRRUd0eJQF2bm 53SkSSUttmNQXe/83xe1Qr/qdhDOejxXSNbVrVou8yPOZZJPShnFsQy+i8crX58DJr9/6DMUWwSV z3ZfpNDTJll57xykWQx1SD1+zXCEHWKhYIWdYAOGf+niNVL8ef7tDw4V7IGys79NxlP/r+2EeO3q +z7xE3StTs1dv+eNQjSKUWUNy2+88GVIKJrIIzYG4QsV80Pk6Ma2iZQP+TlIUBW6VZx5dNNUayuq rR+CGlYFnamMlkBT2Wl921LyjS/JsQkzqbcmf/2Ig32yMjQjQEMReJGC9NgX48k4V/HCKEpJ2KRo 5Kdv+//9o4js4ilQjFeUPPVANh/+GxwO109NMXlujnxSz5sdr0+G3rhQ6t0IZwh/6Ori7NiqXuLN gnT7qC/PdVBgSEe+bxj2vgfJbhsXcUg4kwlUc4DNf5Lnnj/H5BdAtjv5FFo4sCDzbH32nnee1R+1 AiioTp2M7+ZnO6EsalEEPrz/jsbnLFhVqLmI3w+EBPuwmtw3NMp6n40Ghwztez3x5rwrxJSrTETs M2UanyyhQ14JpltYl9IWmBS8iiV3Q3tqrSNBXOV8MbST/FI8Pwrgu37H6C9VXh+3UBYXqKjrO8QT 6JMgug9I0zyQ16EKRm90iWc7ei2Um9Mxgd9skwtoBkRkKUMV722CYSPCxLn37t7xJsZdFliTshL9 OUx3kNl6ETviHhgXI0ez+t9WBUdxh8R8N2EbK4MekX59WWP42TwJMmljwkwzWIGV5GJktij2c+0f YRlIktKdmyBGVX54M8Yd9HEU4J8vMSG1kacIYySRISpkzbZ9YufOwmaU5buSbE8cgmNkIlo9WRTw b4STsIeGeDnP/nzvjDbOzsqt2YuloxSOOMHHTCD0MDVQmizHOWDHUIjuxPO47tgwmmyVv84etu+T SZ4IPRvhRYS3uW8pgaGG6etAH7n3yxTZ0glypM611Vc+8C7fhDvB65XaaKJfoMuvjd5yDxfQIpR5 N7OjCZI4EfBdh3+X3tKhP1FT1Gg+AhrN+NIg5JQ8ui6TEguTiesEw3wo2Xy/9wmir2hFSpNf5Cus YokY23/yHovyC/uVReweYVm6lJkOug7GhIcsguEQLbFG3E+1h2qKEzjY9m7tZtX32UdC3EN05Osw Rj2LSjmILOw+Eu3j6jRz7x8yF2lKlijq6HmiYUkqEta4lcSTIwnWnTb/IH/a52uqFRfnZzAUDY2W pxFImAyC87YJ9ncXRKXXfEuf7myREmxqiH59DByd6N6y/gK4Qo6STn1TiYleh8qOvj+HdwBMPrUV CWDil95/QqUiXlhhk6d6dFGywnlLMIhxCXDZ+9HkJoiXwXCEbb2/9L57stjM0jaSpqUCvp5Kdzt7 UMZ+BRGh+j0ao655FAzBpJygCZA1qT/Vdk8kMQAepdDQVGi5zbZ9LgliNOVFfUlFl8mlQayDM3ct paEKx9Eqwzch3ip/FDybpD/PWHeuxqxGG8hfYXlM1IshoGllDO+ay+7nKJX10qSyiEJU5RgUL0CT iysQ2rq/iuPwYqW5NZ97wPNhSIJh8jvmP1CI6R+PRtrAE4fuk6XxMtDpsN5idZEVIyWThYyU8DOI GnAcOTILDrEWVX3onHRcS7C6L/qcBttdNw2TKCywUy1WuTlfS7msAs1t/Hx7gF+syU1Dir9mIgIT BZJH/GZ1rfz2WxAUlX1rK35yXytLqsFM/CcntIDASocZ+AXnBfdtWRJj69ZTA1iPjR0YxJr8nAU+ b40Iv6irnPCse7oK67T2gr1oUF3Y1g05JD2EDHy+2dK+pK/8AOm2WP3u/GaV6T8iR1OdkS6xUiBi 2tqwDuQCrJQUPyPzJWlJgJ25CPYSuMAMWI0RnxSYBhMTpxWdxnxpWR7Dg45Ke8wWM4QRlF8WFH6c LUO8t37aPwp7n3+A1vbcA63hnzrPFGNOJIQVf1UbAZxEMRyAkJsRDpWwIX9ObU+HeExy/K1tSjSg PLKAE6EtxtdZQ9uVKzpFXcMY69Rk4IqZHQkFUdCapsXMa9N6za8L8MTqr2IHs8XksRnYX/Kdv+f6 1D8c61qYaBcEgyaPK+neJybdgE7E6qv8xT4Rkk+Y8BvGx2FbG2M65JtvU8SjQXv061linz4AqbeV BvUoAfBpdGJvvuwQK2n3voN+nQJs41vkPCk0UTi0OD3z6Fcl3jiJ+3JihQr70lJWV9dwXwh+2qEk h40hJK7S3EUpaP4HjrWb4/uyeMKKddQ/U6G6Z51gbZJ/hFgTJjQiJ/niXE/ZWFIdPy5TRraQTvtu NA7MA6pjGYa0UYP5VIE+PtQawiMSa/iSkWpX26CHPyZudD/l1wgOXGlMpBRKOqo5SkHmUEdSSvt7 XylAzZ79V7nw6O1MFmpWM5XtRw/SdHHbaV4CvpFGNeCcdOST49mQZpMNjflX1JTYGhdlbFuPzj6J Tl7xsbSk9MgJ32cxsCg/d8hX0saBG8WNjZj4jFowcIHuH3oobQ1xZZhFv2bSCPUUFvNwkpDk6gBm JpZSZUnZAqgT6lodxeUCiGA7sGVo3CgyGcZDc7PxdQmiNV/VWvNc4xFZZ1gbjRGAIJqNHi6kNNaF pcacq1aR0dbS/NPXW9FIWf5esywCRspwO8pxHD0MXreerDC7VtqB+g4NtzpHLJbqyC4Nt93rsc/V vMOc+lyRqJIdGQ4WasRApl7iN8IwxTrVK/LQ1V8F/geufnYW+UaRr9AEq/OdJC8/XihVE/rmcyE2 OF6IVk9vZRNauMo1JfDg3PBuMmpdn+cJlEncScgyif3Eo/hRpGwK4JLz6ALa92lA4I+PctksIa9D UWbGXjNJd7Tjj0PriRkEZCqilSKxt+NIyCwu20wvHWrGqCSQcVmbjvVmxQO4BhW0yO+Of/Jj3BxD Ptx+R/YLZ7gM6wQfpSFMWaimzirqzs2xVAO3RAH3S/C2qlf00dwukL0hSar2HATbM4Jkb/A6R6CT w3L458JG07ZIxg1Xt3vH7GylglPHJ+5QQGk1ZacN5WbWdyeNmIWpntlcLa54D/vAsrF12B2D8vIx +icEzLOln+hOrGFrk5zKE2D/gtUFLhDnJV4yNW743kuf09wwrQkxRuTAO1PVtw5iYFFelRVLA2uH sHwv7zE4hY9cuMxdQ5BK1fcrXrLndNs2wh6bWV+LjF629ALIdScD0S/xEhGuJCGonWri5CBN4a2D vtAskVVrwry6N8jJM8FY6JPfgbRS2TQ25jDEsAAsqWCqskB1yq25Veu84R9IYCGOe2TFEyxvcfuC cHyGT1/wlCXpgPYsNuWLp+3Vk64O1UK/+/S2liJ0s+03j+qmpT2xgxB2ZBeMEWV73qbWlLOWUdFn 5oKZoLh2vAx7upng8nhfbfgkq7IO32H14ORRvp9AvdLDxLEiXBOg31qBLDYRCaghXPCx/bbW1HRs r2/vNXWG5wcHJe1Ei+fqqLtpN5/50EUOEOlkBcHWQdVlYnxHmP1pSaf/xy2p/yThr6O05c/TNPqA AcTdxqq0yHi6jnRDEGBlK3la7D09YMYC7cjpyMX/BlfUbm6hkGXnBr8ECQ8unPY/4xqN37IbTEck a+s51fH33B40hIIX68ZyZ+et5CFhSx8cgRHvO+0uRRKr/u2ppzXZJm3+miiFJpdAjwf0AibtHYeM hMNGgauRYSnMOYPBJoubwvuk4i3BS1tJg9jIkmwAeBP/88XMxR55JbWD30Tehygx+m2RWVhjs7BN +moQ3JnpBtqkOXaZwZbmMNX16VIGH7qcYdjWNenRMI0b0sYigZH7UDE2yT+YnsAv1UxK2Hx/+0ke pLyJF9ru00AJ2ah4JOH+TXe0vyOUVrn0zBD6OIQxiLRO/vuFBFChSoeWQ2rPriWf3oE5Y2unzGgT aH3CnIWGFNxcQKTFqNtKtgHX5m5y4LumIEmfpS6fziY1xGbmGkUFS+Vv5fjcCGwPpe9D8SPKfcrT dgZEtJP2WteKTlADxB+i5oSG97U93H4n9hxABIau/crMmw4qMEWDpAIhzPsT4PcFFZuZ858jd/Wz FPt74WfsGO60hifDaOLAm/N7eSsTeZLI2/2JYkvRaAq1qLNhQkvzkJfOsUnjS+IMV5KxIHT4g8lA mlMe1RPiZt4wcRqqD9AHkm1lJeK0dcf0o6ithUTFuHpVk9VDNxbDOyWFkNvx0VrAtB+3DHoALye6 35KnggYbz1svz4B1R/80ebFzYpeEN8K/R5zby1qk26jwk/uqytl2hP3Zyhyys5Z0AgEFmXNEBURi Iqxt+a6saYasb/q0Mzp1u6veWDIOxOHqV+LrHWOyD6IaVOGmF375ZRRbLRyVGf+TQw1IBiiU6QiM o/A85xgi0PSn3WAUI30HrxJjoA1LFgdQrP2HST9xLmkYb+1Ff6tKJESjkAtzkwZ9iF7sGrracUTd mgNuwu0mWqAmpcQuCGOE5axY594MvTGIrSE3JyqiL/l4EmVHL+K3Vv3U5LiTWJVfl3r0ZGD2MyNH qnynsaK6aLzuy0+xd/d8JL0v3jLtS69CxgwgAsyQNHle5mUEQP5aCSjLF0Wbo8jic+OjveDEfPfD FKDWNB5bEzR2tW0qKqMl95RaBtl9rDzdDvn5eSF1IRnnz9u9PB2GR4NRVtnJOyZsi7BVTr6hOT03 K9HL1+pwHGjCT869kCfKkOPWFuwouGdEA9OgPyO+gDPNKwO8u7bl//gTL3Fv9zHAwg6F8g2ycPKe FcpoUn98DMXtPSooXjUbY+mTdzWBjBn1hh3g+gWpHWJ1SclKL574zuWqleEg7PZXM7GWRSEijktq vHFP7UMiK1ecHQXurK+zBWzInT5Nzr9A+tjnxoDt3LABIC38tv01GGGwhBOvTeJ22irkO453eGDc tZ+jdupSuZ0JICJOGUwwKv5Ca5J/Vv2Gy9CbHE72/u/H45Lz89GRxfb4EDhe7sApwQBXtNw8ozXm lS/R7twPZw/AJV1wEANGp44cmyQUX10lxdCPiJ7WgyLFxL9bcNj5XCipAGrXREzYby9O85BvRLYw gCpe5khIQ3CN9bVem9w9rBSb42x+Pkfeotdwj40hCVuFbbYY/n9gmlriJ7c0dbR9j2Lr50ru+g8+ UuP+0mgrc8kx/UdGTrSdup1k67++JyTAC0JTMurdHIAMAoAkhZhiz6JXXRiorGIio+8U8Pz766UJ Ydjg4QfhvLPq3HUjFY37WU3ZKh/cegtLOdfjYn3BVAe7miXoGgEeS7WKV6Kavq4zMy4mgNf+Hbf6 rFL9586gvA4oTHPwyyi1Wqi/BIAgJYus53ody53L4UXmTOnqQ1F5xh5ksOmlp0qQBW+upM55hHcm 4uXXvdz8gi8QgBS4ZnTOYtpnontPGh28dHMVZhaWHq49+SO0b6Mvl/9YlP7ctfbesr9PRKInh92V 7ruT9Rhci7aCas5Ps/1ec1WfhTQnrT8RA2Mk0/TAJpGccnfqIutt/o0Vj1v4YMp8lzk9pApqTWdv NY5QxJrc8hGHxKbZMCwkZ1Xzk+ovHLH+7qzdJOXhxPtUaIEqGttI+7j1EpkLfjmN8/qFclLqtWu9 XnKzFJdMA5Su4A+5ZUj60ZIJydEwHZgyNeUZYj69Wnd1UhvTYZvT519RqGRird2iGAH3EprG3l3G e2vc9l7XH2IG0iSZIro30BHoX11cl8g+QAf1fawwQ89EbTLQQQPbFI4E1wRHRqW/5EsloLVW+YP6 X/GLZuvCYZLCx/s/QGmHh7Nmn62d6APxGtLFpRV5ADVdC66kGuGpZ811CLPcnyYjbwsV/WJtTtJT dS08LWayJSa47LhYAUPs5oZ10NNUALD07eX1QWCWjD1fpccyHU7cRFp3CQqiN3vuI9vG0/yYeyqY 9LmKEyuYl4CwcocmnQaUuxW0a3rbRrdAQl4tUmUivTO2Nvx3NpRF/iG8AAnkieWCTlcJgkDnnWK+ 9FVj1tOK83/79wDdRHJtNWXeWJG+kB2sHZJyBeFvTG2fsMU69zSivE/j29RNJbwo6xec/mP5NirI 4PA6whJI0Fj2LRkx+EpTWyrwUZC7jyC1r8dy/UJqTuFYuZL9dMuqnb9eqVtSUaAHsQpCF+64Vaz2 djvDnZFU8vcboq8KFV3wWxuNH0o+vwvVCqChEH1/TAUI5iP4BwaY4hB2ngaUMYUSzXXIk+qC0+6U 7KJMb8Hx75BcvwlujQFyhSjRp8FT11gtZ5/iwPfRlXcDF/PFUjGQc8cMm/V5t+SjSi9fRDUMpQZL gutjvvUzwA+xB9RJVbzdzpReWJFyfVBfwOm7SnWuhTf5JHiG2Jb3y4irZyYBfOWSr7nGd9LOK68H /Bce5F5ZzQFpj8gHIyDlim47fSoPpE9HWqY7s7M0N1hDLTAFfXF3nxnaB+pzGXLoz+0Zb9tZm+Ls B2KYN99dUjAnalPzTGu60e4z1hK51Y7iaY7rQO4lcVgjKtTLkLDYsLonUH7hn0TG3G+wToIpTwGB oGzYYuwNFgKzRmIUecovo4/DScb5t7yU1pz7989OzFio5EC5L/cs37PYnPamI5bZyGhl/+2ap5I2 nx8+AAX5phL7sv0dUDOIw73sYtj1RohDb7shQ4lyw9vdKOhjLja/fWnAw2Lz/1ENZg2Tl0F/26AA hWdBClYiyddTiQSno5Z51JzMY40yhUtC4lhkqGttCScC0uxVOJFPsQpqS9iVK0H2Tw04YQAxHKPT nQsP72SeZtUGbgDzA6O0WhXAMgwC2OtkBedNU/ePQ4WIN+g5R8ur1rbYcPY6yr0cypfJxeLw84Nr s+AdNQEt5mr23j18FV/Q3KSULG3iA62ve0uLp7iyA5pxz/UdU9UnUHBV/N2P1wPcNsbhMxhtnnMM 1JDBzEEmu+2Krl1FehgdHzXxohX4/zpaeLzUAQrCVByO5lQw20un2lW5VWH1LGAqAwsLz1mdKroZ 1hLy4ug4M0Echo3pd9vU1HoBMAcDJJg1eflW0g2tBJcprKyJFOCU+W2f7j3koFxbGmzHJyvEs1UB eSzP0PNAtzedzw2pTzz1TQg0zrPMqwl28QCZo2ZwJBE7/ngXqoEmOI8cDFFB/IcQEowXxw6UP1JE eh7vMAI4/3ndEPgzy+7tCqrGKBf0BKnowiGjmx40mALXJPhPgFHNeUAzXyw+iAT/nhDBcB5kdylN sKBBGlu3fF/UamSzt34B7x3MYOxvubg2zGYILaeSyR4+wooNf8cS1gyt3hXblQ5iZON3P/Boyeuk PPyeqBk1QgYMiDJwdgD2/V6cwHmIhwCaDX42H3SEC9hDHuLbYW8+geqWWu+QEG5D/LzSnirDR0DU CbcaY1QCSav72C1gWvtV+QuFs7EPFohbrwLWRZxfdbcE0UORlZqQdkvyzJLof17OacO0676u5/t8 8Hf/j71+6zqHQvUGNCqaISaD5QmsXVtzB9u/mXovdS4UPaVzLJyQzNr0IS3inzgJ+ispVCUu5wXX WWtiD8D0ThJO5hoXUbnfFmDK+fjcZUFUfnzhckbboRpsqyjGzs/KH3mZ8cSM6OeobuzwnJ7HN9oO abnKRYh1ZY6cFmkaBvrENQx+TW3NMNGpKXL5rW1/DfjuyMnAmvVNBPYqEfQUOoPb5Xvi8y1Kdk1c GbG7suJEz0M3ACLT/2xjtjPs8ZI7kcvbicFtR2yWk/pYIjU2K1CEQf4jtxZwrzvRtpR5/s/ci0jN gYrgymhDnAdg/3jQDpbr8Vjh/b5RKp+Gy0dsxjYM2tMAIXmMWzXS3XzaazqoA20rbprT1n7EW2kz gcxfi2GrocbmpabmwU+Yvgvl0NZvy/FcNS5QA82czy+2QJZre+y0GgLR7cmvFmwJM63mgPciA7Op 2Up4Qg8VQbC+Sampy6wXlgJ1bhKuzbWUtpUz2+TK2vtisILQzekX6tCAlriaDFmrB+8VGWJLElaA CtHSgdod6aqvJpfM2/XJbBzvwgJ+RMDdpqIMINEa3orlSAY8nDPKaEr9sfp8f6ZWrmU+GXZkFJXM mGADdbpLWuJWinkGXwvgGhKhXG569rD9M+kCnAB7UScMadHvEK3bQsr92/BGuz7lfa7tIadUESBq G5Xs7Jfa35ceZploBZYdPv6D4/F4eDnkwbMtFhZpuDDmKkTac52qpDM0L2Yw1C0FPNGHc6OoWUhF XnrGxd4gukaQjZRZK8BFCAXzrlehHVsvvWP5nuuHDGOG4EhrWnuwxIt+sZvEPdHjGo/vWAAUgkHw f43CctECIjwalMtwvhf29zylcP2+vQ0SM8w22SOQ/IbpXgcTw0qxg0jQYT3KHEM2bVUaVErK762t qU3do7wxif5WzHc8ufijPCXyKmV2xLxMm+gh6BIhMFvj6A244cJeJWOXDB2bmkZCO5smQtgQQ6+Q KwI9wGCjZDvq4L6c2iLDZQpU8+ZtZoECT0YOe3PTGJQn4/5/K86lMFFaXVP9X2mo6uoWsM9QeAZS gW8XcAR89/FlklrUoDEG1tHp2s4tqCe5jHFSrpAj6apKbeEy4nUfHO9WZhTXtuz/LPVbUt6lSd+y eIIEaV3/ljm9Bldf88PM1cm18xooYMcYWa/OHQxorkMgNrFdQGTAaC/iEIKXPh/ZLqCRO6rt6CFf DCvzUNzIb/8n6m27Kt1/ZWwPxQ6rKy8xfY7SIn1/Q+/bl7Ma6IRJ4Aslfw3Emrq7130dbrGvsbxt R90x1XI/9fbdm/+oP8Vf0DcqDkA6n8ZO0BDpWEtM0AQ84UZ5In3W0PwUe39tzBo7Dbado2slYvj3 9pUCpAkdmon2/3puNZ7uh2HbmoGkpv4wvwVWN+94xEDgr5fAVm3j7PMf1iYYZ0HhNhFZbp1+pDh2 jLenrKrmG3Ii5bXf4Hh+e/FTEP5a2aTo7oHYYR+l1h5bsXuURYiAynqTmnSe4he9fULsbzrz1QTT EnJ7pxJl2OEg8aefLaFPljgavFT7K5zJ2W9SqQoFq9CSxsT8+X6Vjs2mHuaafXjo3jkwS01BKwRI +mliqlRR8K/79DIw0Td9SkcyUkwHBuGUs3e7jHcTraNBzU/k40VyAFnVduV1l+D5SGIWmmM+wu9I D7KUcyjDORvza+X9169djLbG2xVZyZjbkFE73KLxZqDRuIxiCZv7ocZITvwM/ok94hWNplvygdKm tNFr6SRANIs9klrj6gl+fhPLdXx6VV9acenkTGeSLg7a67WzruwXP1K4kohziVKaofde0atzhUGG uZ9mPldXhi5cBpucVTKwGCrHXvB0jN699mtJXlUSOLFdFNPAGdOMZybXGAPT4I6x6quLrwfd9Qmf OMaXuf+aUFXdNNej4Lcs+mOUljxxxIn5a02oxSZih8r0NjtMPHENet1iWYAEYxBwYScJjfEc4jg5 BiwCcfX5sqyYC15v4jN5O4Gq6+c8jDaBz+Zb9NTuRvOMxpyloPi4hPcPF6xbfUfZoEKadtGLeoL0 h3+azd9PmER6Gz+r0BX3T5M4k8ImPrGMGOwrC8pSsFYZFwXVgunrzKitW6f5agUkpVAeXb7us6UQ rMWnm/67ahH3dd/FOF2pXMytQnmOxOsqa1Uq8xLFP5NbZoGQGpXFkm3vifboSUfhyI4JI01f3LO2 La/BiEz45KtwIx5tj8CvRWh1m8fdqjmAgMTfzOJaBLbndvMj6glm9ELXfadixG0MXJ5AdIi1hG98 KQpHONUKWmvtO0vUvttVj3E1PRs5tubzVB+aWUh8x58tDXOk+DsCkLM3DYoqIQOya87/FwKLOkWy jW09xQHZj4seJJheirjxLJGAo7wocfPZ64iocjBUvs0QWqXuy3Lk2zXfzlK4K4UHK1Q7oHkHQC4m 9nyvl4Rc5lQLjyXJqVdDhuzZvzK43oxsAo+AjLm/ojN3CcDVwZfxLsRjcr07RF9SFWEttqVOQ4sM Rp5rwRLlTvRMSnim0vOCRryVKfunNObDTAryUAfgPuCwzSVfPXANv3AX8f+8tOg/fbjrpDmY/tQd ZElc9qCG1bHN4HKtiOhVXbg5X9CedO7EZgg1lNP8bJ0TzZSrO4NFlTuEHVnGlK4h4ZpCZF5qPvfX 3owr62Lj12piab+aajk2eejEYHKkUGgXDEBrGWFoaNrRoWgYIzdcMJ1Jut0/zvh6mwuHLrCK3RI9 pLricIyZ8NLhFBR8+kC5moruAlSyDP8d+1+sWLi++CT9hHEJrYwyTTfiwFPw03Jxw2ptEiBqnNdP EjpjjuOM0HX4L3E8R+7kSnnOLS1Q8jvKniUJDAiYfZ+iSlXjeobhMVi/xftRwvcPeYGbY9xUXWLF MZ/gcmBpL85gIF4OQSrltznvKkOAOSY8YPZ7U76nBWi12gIf6bDftLOZxxsNQk8jKuBvKbZwkjIm Pffm4HUmcbJpdtnvEDO0ZCuoLoQ2TDz4nRMFWOILfQRDWuEsQE0jckVHEXX0nXdH++XZJ+xkJmwH nR/Rpvgu3pB/DZ2d0UXdHtatbUHHirkvZoH/Feep0DFOF1Zu1FPOnJ9jjCQCDPXJLmx6f3mlCp// 8tUXWdIl/VWQ5iPRFAW/9S7q3Sd4DJ8jXo4OxZEQf+4A/QjMaLqCiox1aNK/1KOO6Exfcj35a5MS GYKzlFmZ/CL15c1JaFhw3QpexBkmJN6o6/SEBgrQ3ublmLXb76y46TUurd5nJ1rwDBE0Drdt81bo P45F48CFHsHyt65+JBPoGA3LvVgTLNAMWGAFJh2LIYNmQ2ghsoounkWXKJFJzsI9pKr5PuIunVls yA06/F5n5q+0ehu5APEdjF6EUGceiCDd2bBqs5VWjxByTqJ/Es2UxApmHSE80y318IR5+npCXUHM eBClqlp4iOkXcz4BHvsQm2fuMQ6FDz36NuR64bO2X3RA+Mk2gv6rTIXfoIJh+8KVuc36z6h84ssv JFAM5z95+kpmx5tZYQFzLcIvlDOQeauU6pqfEOqC3cG9gLYvgrHnlnnHYePlNwwx7Yw/8glJgxvg XjOw9VGalzWaWoQdlDX6YZgdh57R4b6OyOJao+26sgq9hMuy15BARsMlKnRKNk0vqbFDmrdOcNM3 TpBGjZreDxrP8AExFD+iS7K7lsfeRTTUXRLMdfRevRKVYUVWEAN/qyruH79BZ5ypqIFyE52R8tNI xaP/FmUDxKVHfahWJTtOaCu38Ik+v7kUv7vNqAODkiY9zD5HZZuDJcUnzGacNtyOLCeQRU5qYb+F 711ICmL+KYbPVxUtSL1kgXS4I7WHwvUccY7uGgcmADgc1/ktNs42gkePIZYQexNPFNGJBtlVB3IP WZM4vjbmZoWGUl8Vdimk/lLdwVtKXEtrjdFF+F6Rzmy1HGm4bS0iflzKiOcGj5dKQM98ljXi/bl/ e8sy1MB2DEXqEbxZoK1MonC41doT+Mc9oKmqAb0qNhqmlqsmED1rbLKmTG6L/YGfLyZMPn8hfj2O Yxv1QLkQ1vvJ1b9XZ/kBwbWsb//6VITL9E2Epo+PH7CVpbwOE4PQ994FMOAWp0zhb3MZ87J9Ux9Y wQddKOz5a9RZ4D0dPmXc/pf0AlhoL5reFVSp25uORgzixGYLD+XOrAFYFE6nUlFQ2VlH7Yl0dnSs uyiajLPiJgkpM1csvOGR0PmD+jZAfejhETLCpnTWBqeJ4QcrV1vPeJvnvTCUBhRfXWi/7HuwiNs/ mrDQgNQzmAvdDTevTyNNwfOcXoWlE6QIzuqP5tSLjT6anyWDBQiqzCg3/N9XiAspDJNIeOflQSdZ 86ptXpF+YG4NP/wgWUkQBTu7u+10xIudFwDpYV/Z6XtZSv4TZC+2i+2e78c2I6ByIXjrR2w1lp6M Nx1144vRYcZR3tQzHXVN9vZf8PlDzLZr7bT5kSE2EFod/rFeLY0WP46TCs2NKGaLgTSHlgaBuJP/ RFRGIdQW9exc5oqdftdQlXtXD0tPnw1saTo4SJXAoSZ5+OK+BnnYE0rs9AVelzYV5BC+kV4P+6gR gh0+KL5StUsxw3MSdO3dD02LU/AieGzMLv2mQI6rV9678q4xRO4lBXrAGHEtVfVDq9c/x4ackJBF urgEzTIhpI8MzKDHB9olqlja/EfaWrli3ATdItfq9w+gyeMfK3hEo/aQ+azO3QOMpT7hc1zZ7hgE aKLosCclkNeA/HG8eBAo9L6abOZ7EbX2OCF3I9ALpPVV3Q8Iws2vfhHsBbVuSRhnhybV37j5HdG8 lJA4IC90wqJ8tGnDvOhnwHFL5hIa+qWmu04PSMTrk8o+GgnB9MuGT6BzoNg/Yr8eQ5gCxyik4VCj wZo4IbIcKoyXapU/ETKvrOvXqslEThxCD9BGOMB9+5ELBmAn4zjjEnv/ffEw+83I3Y5/M/Zfvc4d U9hiDNsxVuUjhRz0GRmG+Kbr2MN/Fq0QuHDx4gOE6jEEwWlBhzVKYtn9FHGDRB70SPnbOvAaI1Ev sST3T1oklOZDoRPxTzhJ/6I3f74Dh+SCbaRQbLknsF+tFk24lFF/26d/kDebWE+aW/ZNJVMq58kF me2p2wxBwfN6pf13noZ83/NBf1ATZcsdb9Ekpmimu/XCyRI7GuTQbUBrHzOKG4bGV7GF20ixa8UO lXVfBR+AvtGazk17h5n676l3qUeQVXv4FWW8JH60fKudVJ4SyTnvenqNYZIgiwsGe7TBRxFYXSH9 VzvqWYQhEkCMaIQHnfjPPB8MamWBOvpu/B5RxpiGoa5IZh+SySvmt/0JYdTbNqjGv4ZeREcajKJ/ HkVZOpbigIdtNXKOlmtuwJFVgB1u15HK0GN4nhgdVQorWc1sysGFyZnr2oJbsp+mVfIvRUepoYpC R5kE3WkVbyigZRolou3wsF/Ltq10nkcg9DItSlRdkkuPZX9L4orcQBgZ6KKvwvEzMQVp68rjUOkp jIL9Dzxa0evRvKNgQVrLi3KcUq65/ca9zwDPru60iyEDWmIIDdj753/0f0T/7d6ggIMyp93f9K2O 6HphEvj4FGvYcTwn2QwSGD9/vrJvBHh26/mn5uGaUFD9AA2WSZW5fPiKMKEnP6rYvEh83FxEUuqb AztQdSFNVlTpHM758m8J1YHintdJVzAJ9bQRwEtF5tvjWuwhpi7+pVMbsVlVOF2nKkt9xkLd+TL9 oQJYFCMMzy2cVrjJ5D/nmjCLE2st6rq2X2zHnzqb+PA52oMNmLruWQ811pIYu5fnqNnTbG9PZ/sT wrt1fAhhh7orZmfp/cLsbcKEFZCBAVyHxN6SIXNlaMXAYTdjfMqhTTeauWGL7Y1vIiPfpl2rubr4 jw54n0t0dtq4rcsJ7InUjAWIG9UfEQ4GxnLCE2ouGaJk+Q4hDQW2K2vfygHAi3TM8H3AFaPSspoc Pkr7IPqdVkG6AAmIgSzJ7g7JZtDA1oXoRsNsj/++YaKJ6mISypxkTLtcIbeh815Hv7a41U4N8Yun JyROQXjBKB5ucbe/g7KNqmO4vzckOWEjRpfjAnh3YZIRtu495rOPHxvqX/lZVysWweBbCc3XFBTr reRySe8owuYcDIaMUIM0r2j/nvOjJYKaRM2whgPm/jt1X6FV0QMb+rR+jx3igSC5wpkCx8GjH/ib pG+CHXjvCt9eQ7RiV3+yR2CqL50fzkNJk/NgrYlNJcGA7gEuIQUM3y+kl0X0qUwAZ3ryArkuSdU5 G3aE6hSQRSLNetZLSkmuhl/AfHMJXvt0hkJZoan6LIiuCYve8DLALSlu5J0E0Jtttf9PVAT9wA/V /MZTILeaIXP3LjIrSdB80Z/EzCIYwtbnBwsJHM8p6G3PRsEMylLxX9E8yRjkgBemOo9jZXEsDGRC HZntznSySqqBt2/Oqpwl4xuESm7L2t8QvQslRx90oz8YmY1lS5rwnpsSIPnj6M6PUTvWgUR+7zv6 Q1INx9cJ5Bb2G56HH6prJoAl5mvVnvasmNtNMga0dFZPi9dLAQDCGxA2gw8kGeyJE2DYr3UXfEqe P4hsq1jyKzGzFui14MLbsWved8bs+ZlFP1Ny/Dus5hf4oniAnzsP3zR8AwgPVp5YKdnwNmLDfLLE dR4BDoPQE02Jcy/iDve7w6O4hNixJNryanQLlKfuaAO4UmhP3pC7rrlXMQh+NLmFq/EtbXW7lm/3 tYtwB3Zl0Fe+YTENOaAITfeEp+UTSXYaB6+k7IAN1Rb8VCeSTeXHrzBpJZkkTJwnQQaVdIy6G/0K 18rEJputl9P4ZodXFmb9rCxgMei/BNBxC25bAnBKtlrPwSxEkujrlqKgWG59H8x5ZUsYaF5wjwwU HXlX+Cy1BRqcQM3B6ZGBsIOQhQVWtCvmgcTZEg3r9Qi+Fkuoj+QjV/Q5eAa9XP6MP5uwy/4Bel+n bghhOsMo73Av8Vbp39V3KM5nzzVshMLf3QcspHL1huUdciM5wPhPwAXqSBYXEfvFJ/NOFVJpJQ6s Yq0mI/eYmZdfWxTIRe9koNVbO5daJ+FTECIN7D+E7Z60i0yTSdWAjsvQ+SSMbr4lNdIn1HURTb4p TJTxWPWn/R5B5ISuskYe0wvZaAUuEaKBDeJGRA4c5LeR9GowV1MUEWzHmcTs55lYe6BMbKSD2PLC 5VqxZ9uvwut73L2toZtthWzjq3ukmbCAopWTy+bsemB2Yf35pVpBJyqwgM3mQGKGGU28sF9547++ ywg8E8i+WkMIjlk4jAF2nrdeh9J2VBevRgNNypsKsSjmjplPZ0dB55z5Be7+9fcAgy+ZyyeGuHUM hoZdbCy4ZFcmJp1NFXDMWeSn8RR24Ri/78uWBuPTEiXqZhblbTB4b0L+3u4S3GYXljcn7Yci24mC hu/dRPPUoP4dt1mqJmLI6LM3GEwmiuA1Aa/XD+RJnaCkrI/5t4/7Q5Yxaawt50VEa0Ci7OgVonP8 CL4+xw5QmIj2ZNcfude5Qg6GD9wzxWGsHaxDYjb3s3FQJGOOjHOJW3LX95CSyMw6soqvBjfgxZa5 JTy3ppHxunuZd/oG2IH+MtVSppSg8XWjRewrLM+bxF3U1YTD0dx4s/Hk4B+sKnZWqDvqBGmG0SsO 2j6Ge5xJks01KlFGmJs/2s2DGliElHFwkwoeLmYGX2xnMmZGYcJAbhtl8gHczXEWMSCkfY/C9bgx yxfdaFYuMD5Gb7SK6TyBl38dCogVN5G+oNblvPRj0YSenXgT742F2enZYwxsaCFx4meyOdaSTE4H jJl8Y9GXWOik1sDV4na3d/BE+owBKfItp2G7pqk+YWUAfeEiirEQKy8RfYDnfnZbLSXXsDrh+OA0 q4JrBGYDVqJxq9tEVl/jOCzjKDM9KsKnBxNs0+j53tY3mzYTWVaxXvo/N3Nr8FFoy2u7ReJD2dfM EqCRrKw1xZQEe2VdTrIkm76salk8Eyqv29EkdEwzYDpYXHkuYoOqfllHItg56TZ4KwqR0HE401zt nPvFWdTPiHOhPsY1VdFZbsPvStQ+JHM7R09YB1bw7u5Ea8rDdTq3dQmupZQTOjdfgC7BbW8C+ZYJ HFAu6pOn8vFyU1IZeZFD780Snu25++0Wa491cl/7mJzGQ5kqcpimXy+Eym4sH12teFlwcu2uerDH RNzOvtPW6UtIn+sKhHIYBu0VDW6ayTac3clck08mz8NcEoMgCigv6bQyZILc6InY/CvPI/avbZ5Y ChSgzFYV9Jxb8hdVNm/6PtR3js2b5yR5FtOSmjnCp0s1e9VFInwrFnq7EzO4rctHGXCZT5LH2deS XSiqTgPEeA4wJG3ry6Dbo8OMvyCi4DCDv39TLe4qTymZ4rJjyI84rs3jzPZJyDGPq6yuCfYXfnh0 33+VZg6E9A/pv2gexkvuAhHY8VUYKD1QkZSQJ0f+nk9iA1//8QWIA/Y/ONZF3g9XYhpHfvJTofpN 2S14n+x0tG0/2HwliXWj7RXvrGxqyjdR17s2SnGvI9qsVmeYnu83ct5MxdxwJ1cCaQfhFM8Iq6u8 yqIvKCRhpoSH1uoSyfmmqni4TbKhnaW66cUEfP98bVkQw1zaQhA35+Vxhyb2Zj5uaoB1rHIJPx5g NGUY7orD65mxLV5/XVnE+BcWQayCAkY93+LEOtPtk0uv4wPDD8pnEQ65cEVAWLKEpbWIyGB6Hcgc qKcboxANYbdBlnCBn7zoU0IzFqpR/Dlj0q5t83xVep1EW66c+AvOFgfxbT71ZssjsHMPeDD1a1PI H9yfAcCEM9dA6V++afY3kBrkUA47aeFOeDWARQ1XrX7uP/iIwp4CLqArQ8TPmtNstr+7QQXDsiNY KsAfpAa74YX8WtNIrYMHX/RZxaWeqSBOkwN+EzrHnWOE98yJeGckCRD9gWracSIEmVX8lkrKTuR2 XM16u9oFknA4L0VirB5GMFrNgilN53Qh2M9fzdaBqjesF2UxDYYKhlBtR5ZTTu765nPfxT/nvFaE nKOBBkkKDY59c8VFbMrgPBxoiHlYDC3J0m5nbMsRg6B8UU0ruy97zfwGsWFWvpOWlxYlLp71EgyP HCCrekoEPRCtSA7BpSvBvSPlXyusjCSTGsJuJ4ew/DKhbICBdRvhFwmqVnXK2TxHTzAqLV5HWbWX QH50WCtsR8h5S9mlxb8uGhp0arzK5JA1xJgJGk1ZWzh8ybHSQ03N++6T6XitzbySvu96C/HSeLPR HGfsLOh/MC8xYUlid10ipeIZKb1cmWEskfP+5kHzAb1vPS1tjiKTHSY+L1jHAy/2OGLd8VGv7IyL jrkLiYTFcfJwW/7rwoGTOfw3gGdiYnSZZreLivb9qGa2hulDCe7Tdhf7hKwITLrtkWvdUQuoZ1r5 BNgcs49p+tKr5uU83U9dFrLLtqge2qey3tMby6z1TQbOeaQIcfW6gmzDxQyRhC6bepaHrDtPExbd out50cn8COUCD+hs2r8ifNc4GxeKDrMeezRzGIXFAdbwLmFxVGJkv33ABVLqYCRzLYaNzrPl4sb/ fhmkP0XsJuaErheUUIN2q3g3eqN7a8iSr577p6lmDHGRL+NlVXBON9Ve60DZihCSBLP393nOPcDZ KBtPNASudFHjRym1Z541HiAzikZ7XVbPLPfwxspAFNgnS3oG6gfusNXflzRH2ofKQxbyX72IryPL sg38F9gTA+GTWwR9JPg8O2uJdthbd4wNC1pt47stCq+sqIZCemsxQ5yLVeQSxmg31b7dJaOvkLAn +CZynWbXmX2aXM+vgVFEuiwvAKNuJfjFjdiuU9yW5xzVSZ9zQSlvTWrTMcelsn9MR65rNJBCKBcn BMUy/I0mQIbGCIGe2zR3HIF31uHTTp6kHcTGMN2ADRCbPfpbp//WhdNBsAypr9dr1q4KgR7kFSO+ yJX1ARw6DH1xCGJ+eTGV/4KaPi5poUj4dCbYkckYmKuO093VDO3RlrheJpZ5vvFiihB7G9efzwXT G5G0bK3090ra/kEQRnAjESDusgf8X0z2ioWQy5/CbhKfLR8QE9YeYq6Jh4teBe4gCgf9fw4OggcN 1vQ+h5v05k7TJB/7TikUaQ0P3Cx6QJlA/TI99raw0+UFVBsg5/abZElofTGJRiXuxpB4qkusLMBR Vm0kezaVxI6Vgfc9x2Lv4cx1cOBmw2zyqMNhilvLSqE7Oi1ZfWmX7jjMuzQmX+xL0854ZNzPxaA8 0yAvpiiMTIhC70kwt7AEaM/M4wAL76WUg/948jW/XCTvSC62Qobgt3XKxemmNMNM+/nE1YTXfOqp T258PKvKTiq2p5jMk7R2cf8hUVNBKF/nP5yId+3j/VtVytK7Z/mp2Ix5o8TbveFzjBXXG3OxTC78 ETG5efkUPs5/oCQMvmNnS12Iihz5YrYsB3/jDK2jVG0sZMVXy/hnxCv09+JKbU1iqgOBmaxcuT6g RJCNILVoG4tbOEGWkZcrvZK3HlvRyxxE7o8R/26viU+yEi2MPr0tbBPgGRqSY7XCMZVyTQoII9vT ggh8wrT/dkb2Q1AjSNnW6Rr83ymXRqRfmzDYcFVZBtkYwIH9PUUW4EXOSBXI+oSxxkJF+8q43FIy NyiYcA5E/gBVE1m+xoVDlqNGnm1W6oQlXeyEzlcTeMw5WFWPZzRj2fEpzTlfwbFiHSAuxqxmZ+hz FBAdBN11dCpVYDaa7l2MhPqYtwoRN/p502mziDmJoGMbOPZ31GkPD9axv1EQb4FO+74b7lnmKSdW 0m9h3elB5cTDEky2gyStIT2PryYc+BB7bZjCQxvLnFEMEvlW1tc+QXSNfzDPjM2q+cULMX9D16Ny FCxrjfln8LsQ4n7Q245GDnXSXSe8DlG5NfgHqvg+aTbBD6OsDPa1IqTVr3WG9JtFZrYHwULAuVgz Gqk3DSt9+D7q27AXu1Zmo8aI8lHP3rYEUqhvqy3gOw66bK4oGSeOFA7dGAjuXpChT9Dxc/46lmUe hXajXhwozvCXzDJdfI79nckXwGJeKkWbvYvOXyDSSwFyaLpjXCZhybqFod2PWW65fV7dWQpeRYwp ErovNSU6nUw/U+19y5lxnyTFgzvPjQzwPcNnGzXfgJtQIlcYMmdlPtSOvFsPLf9hLcYQYHpamyV4 EexO+5OtyTMiwHIXIQarbJ9JpWwW9rB8dPnpqcyqj3JjUvp14FdRJ5PnOtv8DYoOLb4oovV+pZsZ cg5MPQ9xh3zsterN9dMJJYVs2fL0mXjtl0rnMwXIjIP2GadteANUzcElQUXSZHMdjpMFCamE2pYk 6fsAv+KONSKNqbaZmCqE1I022T/8oYIr/9bIQskxnvqjush+23115bswB5CC7F2RWdIndPe2zjWI I0pMAXC6sO3CX7tNXx3PtiyRiQ1txjEhFIQOYW9bgD+hJtAMNmC2GcvSRcxutZD6zNpykgNMJOvb 12eGz/uZx6QzKwREBA/0xWg+4let2LGYsvm0vIYQHBP/+Wel6KuQMQSRvirp1OZ/0Y/yFOeYak8x Ki8El++i29FNMvg4rdBm4gTGrHd0PlpyQxi4gojAIgtKdSMM+/ed8FYa35ztRqydApQaycmEPluz d7RBWGiJQHccJ//l59tbrrKRPbu6Gc4uJBE3BOYLqWyZEJ4hVQLtWsC5GA6fbcwm6dMMP9rtln5f HaYF9w4Qv/OeaWWVu8z9rRDE/+lSdO/Vo9k2vz/ckdU8Y3v0VrssuCxJwc7YCBUQjKGzt0AXSpO0 cpgrAkkpJG2K8OqCl9YCpnlFjf3nkVB71VCX5WRI8krkbX59LnxkmWRXKGMPtnfK/6plOp/WpGfx mwRwMmWNNIqlijQo+UaalB3BF/qhWmdjMGQsJdvIa4Ap2s5BBM2M+aemr0treh6JjENI13S6B8gF CQZBH92vhKeNaXYH5oCXE+62mP+VAPZw5zvbxMewAhFStUdZ5sdmy1yDGtwbuT13x2DaeOJTMYUm hTQCi5KAu8Wv1nfnqHpiU20zp4SEm5rp4nEYmd6HWqFdnBlKseKt2EkD0Y5Xnt44fSTFNZzBFars kVt2Plo/m2as3ShxYcP25IX8CkzGsNgwrkxP1W6frigndJ8/6hkvRoqkCE6CgxvL9sqjdjgMV+Ns 4uFvs93C3eLt4eJsoBSbTRZX7wfOFUYHwRWoVfKI97IowaxzsVNaCWuUJHmuWvnRaJngjcy1x3nx 2V3WYJ6gHTV4tIFRITvS/Fl+aTCP2JEGn4J/QRnflCjrH+fRJcURGv2S/d5UZAGqm2DzvdosKwyt r+EqwqywIK8s7bzsVq2iWyiqeK2sEUmRpXr1qGpDhKmCCc/9JF6VjQqAdIU34GK13UvcEijyUayk NXxSH6bywfbmLaLE4MHL4MxZ0dfS/HmGFRGrn6YRkO8S509yZKAFOCbC65XC8cchykdRYyA9rTpm o49wyubqvH8P4xIu8twQiTE7SPm7jwMawiUQVxS+bj5qFYltPK25+T1HDIziqazUdbYiaJBKTWYQ VFSU1PJPhRfg2Xv5AKPClR4zbAQtVzIKhJ9ksrwbF5kGadegeabhR/0wnHpp119jexKnrUmpSDMa Y+UK6jxCYWTQZCyJNdgH73wAaVnkNEvC4J53yHOtNm+gvTo+R+627ASrHMNQf8zyXOQjjHbcDm8T H2UeGEx8G7ma/ntjZWY8qTrDlMCyqxTv23Jsojc1NjadSXyBOUyOY8Goy4hxTVY9QX146guVMjeF jpySjKs9YCytOCQqH2JZCq40OlwRLlXucwi5T1pnlreJ0XoTI8fpAvesM5SQW1mEHGKBgdul/0cc gjKebIoI5nQRP6jfseCG7inseRysmywBOdat3cfSJTlofAibPgSF3i8rnzvJ0u1RLD2xWTWiUJj+ CyflhT1KyM37dis8zBG/WjTJXKI9YC6GFqzDBBmtSrqQ08bxLMTf2GCzYmJRo4541H56iP7KC/rn 3wyoHQYrlDsOCc7Fxqt6EoHpGF2rd1RBP+MoLIZasbj+CQ4glOEe19Fg3abLBC9psuYelC1MGOUB cdKEnP7VKp8YEh8HHP7TWXDwVtPk4nSBXXSpmcDcX9apL3ka5e1AaQ9BXQrQRYoXCkNFRVu2nNUp LKs4lMd3LQo0KC8EvWfhIDeOLlh5dXGro+28abbIYVXH7kivqanvo0uZclsm3MFw3SY4Ap1s507a Bq6i2SddYWNkdai7LESJDQLJ8FAkBqfUIK3Z42k3c13ocbE0F7STCehBlDviMvzZsHo5srzrThya J9YlTpgZhSRzp2GczZf+/RSAJKhJXzp3DuW4/L25tPPoAlAzdZiIivP36oAlDkl68xLiXEum43cK PX3+wN5Q2Bb0Gn/yqcmYw06LNCq3/FoXPI8eaNMt2yO9XhBw3aFpXqonkEq1BS9yxy4yY0ghHZSr fnKA/L7twjf0OtWqaPpv4fwiuaLI2S0vQYECeRDJEgKuE9e+971h4sajZhTimjeRbrti8IkIJYYi +UxvTe2tM6a09y1oTWQTH4GSi0HrbcwnoCemMNR78eoFOHQi5+m4XrJmbdjs+PoN12bWtsBiUBH4 D1qMFcKeKqzyLd7bvOhmRjbJI1XTjoR+Hrn0uz9eNQ306AggVqOG/c0UxdBUJfhNn434d0v/8AQ3 8h/6d1NsYXlqTNN2W/VwJq9vzmuv2la3cK+aHJYoUbpKy4mAE6C3jEJnKb/I5LTiORLg5J+Ml65o nKxDdMCPVnwxazTyB7Az973n85/2X1iOP7qbnsIYN/S0+2jAaRcdmn30o+ACVrfTNBF+wvSgce6h Y4hisZSHgIvHdHqKXq6TsLo2d+clRZpaRwgq2VT+ELr7A1E2Co2ce5053BQCvEOsXQHnzmykIMUk EU1zbmiDpQ3tlo/79XS27R5HR1WwSPMr15dYq3H87oRD0QbeVJUyjnd6fTAhDep9cXXDsVUO7LJ+ 0rvyzUa/VFapdna8ZcGWG7fUWu+VacinJOGCIGG7Uhnvja2WvFytBadSycyKqD2HC0svhJG1yzKk 5cBPix4ia5xjtmD0qLRPQdCs8SkgQLzrPzIDWcIZwrx643w082W0yuX0yxOvzcVPENpsLKkWV0sL APk2rFfaJG8AyOapkZl7TV2e44GPhKZrTNVq1i3ErtcFzf46COo5MfyADfP2FYygDtSYF2zwK1R0 bbDFrCueNqHFwtRXHcC/5nepOFZR+oZLGN2U92YI5fozS9BZPsV0EC4F4WH1E499fnixjQmv17Jr 6QVYtiQssX8BRsrmyyLTDOqIWh/Qas4c43Z8g5QlY0kKfudfdMq8O/WAjDr32FAzlgwVjOvsKE2Z VxbpJvcNbgVQdBGl5UyUvZh1TtCqKmnmewE5mPEKuZmwsSdG38mK9VYUOQrFIsECcqGeJayTud6A OCS1mDpyVCN0yti4f7/FBURnIe3MfQXCxKud1XQxTw2DxOFbBUkyl6ui4Qvms2/effcA/hlPjJBb 9djphrKTzRNSKVibBmIMnL7gtd0g1BiNgVJHMf9vr9WKUDgiFkRJ5yso2JaTkBFkSJGFyeUwDOWA xZrAC2cLMba8httByXI23bVsBMr1TEstiH9GgLHSqGfgIyoMAY3Ij+zMicLY1U5OdjtwK+Vg4RrW 7j8BlpsuZC/AO7TvJxfQChzHpeagMcRyTI3gPdAUewXtXm/DZIFT5cLdBTR+cyevGfk6bQORpmxJ S7bxUWE6D8PVzIzUTnGdr3vTBk4FmXhRFCPSiJD4h4JNU362dS7JibmhVhH521+cH4g1dbuqLE9f KLWpuvJPe89+xWsnIwD0fOA3C1/55cfXjGOELhw8RJX94h9SjKh9aIIdgF4LHKNz/y7iy3+uIYnL nzUD/l2F8G03C8bOKPkLwgjiQbKW8MLgPcKPm0z3h0yqTmwNbDDd6Nrbi3Y5WkCkYTOVJ+yCkrxm yq+QJIrgdAsyUuCLlxKE1Ijm3JVUmUXUL4MSq/IE0GED4DvWNnsrCV8OzC5KT45YjW5mb+0dy7fU 8EQeaAy3yWI2A3gjfQkaVNWkdFaixcljmbYhhxzB0xTWmMpWqN9q0h6NHLKD/uEXEa03TJ711Fw+ 6bf/tZXZfYjsg4ba1Bq8djKz4fXGtnvOD5Vd2BuSW5vHjjws9lvoTYamo+DD9YIRRaA5CfCXJLVn DOyXYfE03Wx2RiSWxjXPTXMovyHuDd/izXDwCC0dSIzGqKRDo6LZnDAqaG+w5lXrDL56ML2W3lra vLtFZBcakkLNXrry3Xl50Ps5f9OOew41wdqnLh2wzBr8Si1w861H1DPb9UNxqOyAU5jqSy++BIPG TsO6SBaqf9DL7izIfiNYN6OTYh3ZW9TVQiQU6Rs0U7YbTy1tAeRcLbKTUOKk8a95TDW0Zr7hcSCW FlpLsxXiEUNIb15MXfkiabzA4WHAOVy+1fKhBN4pgx81stmA6tjOevdk5zYwNoztHzMpeRGlU37Q Gai+SUA2OKi6wjgVy24Ot1jSUHebDFQP7cT6yn33GmG7QPaUV7d9Mqlt1IBY/19To2CdqtMVM/uN Tes5QxC4Y9zARUAeSJ/rdLVJzHIgGrr7OgsYboDM9LqpszhvYdVUf9PzK21gBJnoqP7F+rhBdxiZ w7yAxbe7uiy60WyadlrqnPhtKPgbZAM6mN7/Vb1ZIkSs8kIFp0opTN+aShSQKzekEDpEUXcNRD1h ERHcoOvesGkSdE3k480bthxvngJZxi7oyoXJGTSLiz2JLV1lAnJwegidi0LVwnMu237U/gwcTt/l kJSW1iYBqoYMj+k/bM7knyz2DjnzCIvxB4DX5CGQfWXsMquVz5VCEos7AjmVhTs0AJnNjE+u///v dZWe/wfB0KaKoeXEC5gJXqePeWfZyXd12JqjWVOziwf+GtK943P0+IdYjOvPs9kGtWoifuHwBCRY 99VMEfo/djURFQwxag4SX0i1l3Nj6ZeO3v9yi63tJ6qhkgMTx6bQIJFZ1yDvaqJ9v9cnuJj0tmKh BR5lizvK7TuSJPG1YPa6oo6pPtB1h8a8IqN6x4PJF2dFXkylSysBYP+hlf+8jOpDyCpDAtN3deaT ZQA8DbayQTqPUuSWEMC8+w6Tm9dirvZ609XZoGDsR/sEzQIbgua7m4a/VgUad2iowe/5wOiHAkMP BFlKFn+WCKwNmQzGl9itH02Qe3pyG/qfD8rrDTZ14v/TpioVmzqJA+7ycvhHtGkoltlGpDzKW352 Stw1o6BmkSDvezQtnLr0GCZ8nyH5qJVLwcvq+QguBhsEHwIMDdQ1IKhwyHyNO796dsAOVtQY8IkH mErGSwsdjS71rbOSNirq2es8Kvhfs3E+gmFLUoYYok2t7FbDdMNS/faz1EamyuQ253bdM9maXWzP Ymun2Ys//GVe7zpMsyCJ+bAw53E65i4NafvbX5kXgrYv+LWavWxH3KwePt+cCfiaGxUJhB49TQIS 6ttxF+4k4ojLR4ZUThTVggeCSX2mS673BjOaxqd6AvNiHp5kddiWZR/S8NL11edoGE0MQuEHUB7w Gha8lzSvZr8W1hHEgfq5mCuet7/zopdWqmJxcfB/KYdOKHk2+7yI6Vg/OYJDkhUH9CFdvWBp9LRD dvhMnqWmPtdMA7deRBWBxjumNOKZ/fZ5UcCeeZWWwd2C0qRnJNVM0DTsLq0n8cM+neZD4CcxH8WY pjXU2PQH1SnmeUjssoSAh6pfN8c68KwdyxCVStETko/j5wvjvih4O9ys2MKIc9dD5Bca2wnOxopj DlX22RH9jAEpbMNrm95/7JBFMlR05SRLvdA/aT+DxZhrIdI7IgXhGgnJ6ovExETiB3qD9IccBbD/ jtCXNIZbhlPJHbJqpKNk9l+r9UZI0ro99Oxz+yYxh9QGrqK+91s6R1CrBtbP7tjpDVxLLvxE9oNL /X0GCPYaQ6TaBkCUgGbL2r9wFbNxCEW8yqlxICdZQ10vIOqkXNlZmGE9eYvYqptIvc8Wu7Kp2dxw b06e13vpXYCwpJgpS13t22w5wbGXIFjtwmpEO5sT8dKu9RiAzWGeWE0LgSWL81VCZYOaaq1b0mny ZHQ2L8PPeRmja6muIvOVGwhc+Y09XnrcFhUndDPDyCyGug7tG4llTdNbywzv92/ssO/nTfoemSd7 KU+z3YnW8D6vfnefXoc78yoSanx0pSvJ4qKVRvaziut2KzxWb8VOZdRgAZ4XODPJaeMemhR9f+zh a0HrFTPTzKbeDqv+dgKypq/F9fqtwGhlJnZXcsmGEwI2pmdcZnKOsvUYEbe81V1QesI8vnVirpj0 Amq30wYHEm9J6zxJCCz+Klxcnf5kvVLGJxB/P+CeTcf6F+FRJrhWZi/DjUQHVo5oWTu8GETFUord jJa6jj2ZfW9E9enjuFjv3d/o/gRVRh4taoii2tibTvdVmQ6hT/x2SnOKY7LTv0TgSY8Ut/+o+0vK 0rIgnCXIUbXIcvzqe/Xwq5v+xyb3SXH10tlWigyFtlQzkZIVuFkm3LxRCNyzKYxzVIU8Fuit8usR WILN3RkJQUYBZj7ynAHdJFQ/1tLhlL5GTSGEwvqBTOa6vosrjVcKgL1qVH0e4XtUR4dzQqNSs9rR OWjfeJ5ZuKworiQ2SjiXYcsIaAPVL3y3zXpJ3ggELhukAath7E2T3c7La/qOKOziiyZVEvbc63xR rqKP8jsi1S999HzFkY0ERb5tiqYjultdv0ESfuXIt0e4qvP6zxM/GBaL8TLi44ny4shKC9OhC7z2 AHLVZz7Eu8w8mnNry7RcsD5+OBERKm4SRoHWmOu3KOpMAux4j0oebMEiiogdmQ5smpb5+T5TKMIG e8hcCo3g2EOs57KpgUX5M8id2+RTN5gusgIGkFU9Posk30CY2ht1TKvk4K287I7+EU1nWRyBoBs/ IORzOYtomGT2KX7qeO/8/qT5pyklQ2T6XjmUhuNYEXlOEs+ylSVXb4J1fvJYZ+FtWBsI1o+KY+yo 8zgAAY/KORPZOGyPm5LKGED+ZTlccOWpdkp1FKGyIhV3gGFnsVaG9ODd5xn0GquV4wo4Cpja7Aym +9Hh5w8s+7IkXXuXPndQ0dJlqum8qHe2+KwEgbccdvKd8E0r5JNE+5kXCvf+FAEzlathB6PMonkz mvYUyNg1Yg85+Zcxc+PwMBs3M73zgQ3bNTznKewic+P4LJz6S8MFEiuOzg7WhrbOfn424NyqY5zc 8mSq4QoYGGcbGHTw9NWQJpPvaNtQ7QsQEDzAlLteH/3TfSj5IE2eOwJEX6LzrW7njlGvoYhgoGFh zySfcMFfg2XRLZJGwGV6Y8VGhJtyR0KyRzlAWzY9HL1w/uK/X62tSh/vXXlN6ZmSZuB9D6hq/Hwh SuOluZkB9k8iiCVnMGXew4gDEw7Qejp/GXB+IzOvdxukEGd7ri1NZEmKdoeWm7Xz6hkj26K6+Ytw MLImgoK18AnEaOyHvg9j8u6zKJ/YYwhDpAExuQ26jDtpvpgBu2yK9GkTfp9/75uP/GnWLXPVuhPD uGhrA00+uVFor+iWPDfWZdpAgzOJh39RIcVrnmLYgd+GCqs3C8C/++kNc9vxW/ger/WsOJfj94uN +1qUhEOpJNX6qyQrj2UZHFQrwKfDrHofMOMhivmmqHiW6paHv87dYWuGAaxBANNhz2rXmyga+6Ki y8V6rYuLP8KO/s7/Fx6yhnYxyjGv5otePMxx2Ns+hyldVMQIZw4ZwGoA/vose5YQSsuVC7QycZcO 36TGccriKSdcAr0ojGCQKa9WroR1hPvhQEs5JC9zpHreG1j3A8KHue6j967atbYau40a5Hh5rhsR AKtrIWbScyBZyJfZgYrfp+tTdBxpWIs87BIRvqtUkJhTLDKCi+FVALcTm80QF688jGbSrrdylqVi 83/qnVWqonGazIjq/ja1bMmSv7ZYpIxetM85swZw4rHF6qw6Uj1BWWeWh+uuWZxrDj5ytB+dfL5c QyXHgUdRelbpbYVxse5RntC6AYwIiGhycyI8zXzGLej0JHSxaJRxBcIsi3mMMI9K6VlKB9FHbDS9 P66eXR8UWEj/D4gPpcPL9vXI/64796adNJksVaZ2lqJwOgcqnkQziNVKi4c/jYLlWTIewfn7jh81 J/vCMKVrQEfSmG5VfeNGQJ3SPKoc5PhDkLS7bjM34dbD0+mfX29+/8ksmzuzX8+JAI2RlJqmUZpc qWjnknTx6MEGxI86zPB05Vwwiy+8tYNN7z7FFzhZ+6LnP92a3B4HuAG7PgMQDpmlxr3A5a82Dc91 6cMBvFLTy9KAB8jAoVFXrw848ipPykUXNmcaTe5MD46bFpipI1d4Ob6+dDEak+D9SeX5tvd+h6fc gW2t+AUootvz+MjRU6PD53gELv2uszq8eX2+FMydS7hg2UCConcw1sB6Bnzm9DAR3z2vh+QuUUz+ waO7etn0BgPbY6NBlds6AoQA98JH8E/60js3J9wsJ6JszPqqhP3aht+1LHhpO6w/IwqEsQusflEA 9nWfOd8izfndWHPkd8PCBZI2cfYbT+CyqX8sWbESTKKTWpOGuHZRwQAFoSXzXPWYC36NEtli8uac jUbGXLL+fn6nA0uuW0Qs12hLYfR+5xs1ujkvZLrMa3865lTq/hVAumF949GOxZRWHimrAlmZD5ru MKg6r71uwky3tEX8247STGrwC202/c8bXPr1xeeo0Sfks7CGeVrBeCCkdnv6wC/N6n0Tkwv1T+JE 1PYu8JLwUBZvRarkgVLr0sOF2+qA95L33zGgWmpVXCGE3dPJ6ehsrrW8WWdujeGiN9FSl2nuD74G MCD7tabX/fynBfWiSwHuXzQo19zb3wiW2R2dDtWecq1/jb+e7pk5nFibhSXS0tO196fJQq7NxX79 +63zdYi/rpcIe6Y3cgNhVz/vPKMl0m2Kz6qBdExG/KN3bRwowR8ZSLTN2xM4norF1HFW+VufKqzk pctsUpI31DMvrb0/Cdr0JYovEJwjmIhTHW+mBfBXqqrn9aZz12jTUf/NQhoAzV5O03O42zUWCdIA 4EghxN20oWiY4YcHPOsKQ3s/KC5DILEcyD5KIpBByhC/aelb2XdJU/896x7MfpTmXHEOa0Ns27Ae mQEywFrVqN1W4odBDK75XYjJQRZ/R+Dy2Ay7cgExF7PbJ7JiGpNvbQ6uD5OOrq8XEa8osbHvyZ2q kZtw6bnThre7pBkiSZ3wyuU95UEOnp7JzfxR6UOo0Ru0QCqVt62ukQa2H2jGxRLZzCYL+1N2GGVw A8wJjzyRc5m0sElutxditnllQsT00n4QFKwY1bUufCpAhQdInnWmnhz/qIuq0C6Jm5aMb/EOQZnI 4DnHU7ynmQX0o1uE9g2sxOnVlBR0a7gdZmm8SZhRhTJCDSqw6Bw8/EsXB+njlewWfyIMBi+/NleI CV5ZbD91kQLZ0KxfHEs4FF8krtCnD+KCjtsqIt8ieP0dfodIb7EdSTTRMuQNajq62CgrL1Wty2Zx lScOK5/4qRyn4g5YlAxvQZuJu5JLGGJbXj5jWkVRPJsliXMRKYiXBecXtJ72mJrrpzIjcG5hIl7h vWIAAZwEEnkXtDvNJsT0R9rvr/OX7QLCbd4MiE+Fxx5VH4UOyhgBT/P/6RNz+CKwbMxiIDZu5X+A VGvyvXYgUrkxq9uNmEe++4idTZWkU26gYlcJ/JJ4ELZ5saEgmGUAwfeqA9LOGtJKw43gWm7mEsDr xdDFDHJ1hprprux7suB5d8fF/Frzudvap2PDcxIIR/hMZzg00WCRE/zb7b+XOOKzHj189AUqMUyS AoS8ayCu3VDSt/D6bGXzeqHjOvtZzpS2BQypUHOCqTbCyCaj2ezg4x72yknMOBu/ETT7nIyzgP39 MYss7GhAAZ4mtfH1a2xYarwRUp4uCJt5W/JWYa1znR9o54A+swjBuyjAuC/2F/k77kxGdnfsYSA/ hPWF36LOrZmOcHFfYv3slvvNyXF+cxT70FI8ch9Wo5QZVLJq4Q6VnVzbt5kXzsnVI8waVPllpS/H v93AXzm0o2lik+BS7WF8KE7+baNZpr3RYUs2Wm+vaRxsAraswKRwSj2NM3wwEh73tnL9nVuwXnqM tw+SCu4J7KO+Lm2am7Q/PoJDefaCLMFwJtCZiM9OB+SELVzEwhfDBtIX6W/A11CqR1x+sdqxZkon bUnoB73W5UdQw49TFBNF90QnpBvVujo/UaZHKlGHW/afWhEnaYsCf7mWrqebSPsXdGC2pRMNywc4 YVwBA0t4L1B1paomyyEl+tGICdhh554m5cMYwH1Hf5tCKc19QM3f6WZNHK+IHqCK1tRhhA9Bqaco C8kDrK2raGt7+cA/PJCP8ntNMA2vgTD3ACRnJK1ZEy10sk7ds+jzLGoiADa/jdit59mfBG+gWB20 HsrJgscaFyeDGcuYQzmy4fqG+eJZ1lnW/BGISGq0a0McPvN0JtinaphyLO9UsyrliM7CPCEQfW3S DFPovPeHk67iWG8xRnJqk2n3ueKOv1RGUd+6+8p0ZNPl8lwpU1xOEh/24+WZwPLGSWITgOHvNp84 hw+XFFiZjReFcCT6UECRQ+39WV5aiaYvyl6cbklVgeftelQLIqykg1w4U2Itr97p/48R+S9+8lOj SF6unIm2e85uhQUkCpRC4BxactHzkO9hwvjKeh48AySh7PpZNEsmmlIjAlYdEnv8QyYKfDa/VIr6 g5zGf2cCaUcJwbVT/ajiaCTebo0teMvDTctW8zMDNGAMLSqb0OA9ERETnt/txrfcNZSyb/ibX+9c b+IIgeuH/IIpIqcoB9eAAtlwXv3wG0chnvudkc4JwP1p+GLV3/KTQHzAWeB5Y9DKvDGZiygwAJqI 9sWa6UGCN7qhu3oKaoozqdcI03a4McuQavzFc1gUitJCk9hcz8vMKjrXm5aYikL9D3ui0YZqKg04 WK53vt7qf+fE7g+m77pl4yEHDyg9jQNCG1gPPcnGE7POX2HjtJqQuNftH/7AeWQVw9lQ9M5eJMjK EQelPV7DmUIx/puJjwxo32eIGSn8xrM0+aHVhgiXsMby64eSYykQeHMthwWdE/E4hGx8PGlXkjFa yvZsoGr8ml1hLsOaJHUJh7LUtQkdc2pDxinbSuJFgQFq1Ew4gYhhieHozTyFz9L6s91r6dsIdJPt Zj3XssgH+3SLe8evrKr8NisKAqglDFXry193CsOvH5RLepXj0/N+jy7+vd9AIoeQFb3fbqCRa9D/ IbklnqKMGWYTECt59ZhpMvL0FAdKCUzDz99V+L6zP7V8YQ9lCWyt4i/ERUMpZPUP0g0tnqDzZ3B4 EPX8yBokYthb9KakjqSdpoZcawuIwZKY0rPg3n7XuLzCBHv3Iv6kkTFiJytqKgY/TlPLv/+LUH3W uP+GcpBknHMfd4YjIgCrukA00P+yiBtllrkfBF7EQNHp9iVcS+vkkQX0rOMVv8Ok+zlCjLVSfLU3 RN+DoLDbeO1MreKsBM9AaOM3LOY0zB2jnek8IiV0aaPTOLpvXmZKvshAQam1YF9k8feEM+kgQoXv Fpr93qDwsNOjiB7esBB3Lu6Rgp2F7DEEsYwQ0YNQMkoma5+wn2hbNGvYz0e0Xqt72FnwdevUEk69 dRAoDxSRXuboOTi/gFyZzTWCtIt2HjgTvomHycrRoNLfLIyYOQHnoPgTJ0SwnJ1M0Vo4kKbO1knx NNmqpYrTFVPqGmKNB+iz0cwQBT4FwGZXatg6PRE46l6qjleg8qWEWKK3s9FANsIVdp4uV7z4e+3B GLHmbOfOi+8spyBzSvPNTWK5vvaUpUxdvhGY76ebvn8XQuPa9idq+kuTDg6S+i29yXa5uTA0Boyn 4VlYnEJZVFBTQ2GV7gMBQFi56RiRx38obpJPuiLqIuhSZD6UU7VX5VS7b0YE4XWyedBRNAKKWNIj iIgyGSTsc2t4MlIVdZzXlBS3XSOI6bjZTsxa0BRjS38cxI9WyTmWO06tdHuyKNqpgAjV0cp0ECjH CaIW95q5S0uHQrmV6HiAXJOqYB0dpHu2Omjw1QJgjGL5oYZJrFuSHABFJ/UXFwI7crEtTkyeX8s8 uMR/4/8UdA6jeECQjTtdKqKsGF5SWhD/K8gHupelW81FyOvbZQRqzdI9+PQlWycreQWq/eDVuMRM 2+q+gnmj/3RMgtVCgGXJumowV5T3VQdO/fsde1edzA1JwDN3i08HkBX6lff7VLrSALlI5o2Suygs lTfe5wyT0Vk7eZyVBcAlLzlCxmt62cT8/gkT0YgXWXNOcEVPQO4VZhbHD1L1egf7/9O6fK5aEDhF VV+2quOqwGOkzAqNSQA+isQ+qk9H2U/jHLzNuSy/zqtCp8gbHESYiNStaVOxx3A0ziHvvHERP5VV Ejsg/EtTC5/BrnwEMi0h3uU2d/Q5XptLXx3WAMnZXPxWV2nemt7Hpkxz2zHlFPCfH7euuVYvyPVr +5GhbIfjKHs5N9C72Bfix2NIeKp3efPhp9ul4QoSsXkUuoQStYDChFTJh/Jt8/Mw9YFC60ls/gLL 9LczzDBE2fJ8PBov4TF4+QMnxkvet75oVgNGE2GSbQdWvZaCsMZalIcuk9QOVqQuUuOKM3n6No3a alvxXOU0/7EUn8VWguL9E9K/4QWjs8rvHGy9IS/thfoSV1IfZ2utQHsnOg/+nUaoGPutAk4aBjZf rH9mVsx1hxhpgLNBfLPxn5kpUQXzxxa8Dqy+TX43eHxnneW7FgU7synZW1IL8/mhY4zIuMmwedhD qkzur867ngCBiyw/CPIuAKJWOZnH2escJ3FxxtYOuKzyX7UpvRkssmY14t4zkAaKYm1rXK2rm28q MntuByXLBTOfcez1STK1HXK1ZCNEAuKNYhVDUCFYw5LhFWCCMx9r0Q0ULdN5ESqzUcXAPZ6t5AyS Fh8PCPZafz2haB+Yc4m3QqAyTAQ8Szrosqwf2uc1tKa6PgEPxXB8TmTuBpy/QSW8+ER79mULNi3A mJy6U2rEpeldUoKuLqYY/YEia9IoynYP3w4OIZdaZrbruL64vcGgGWZClpzznTgDrOz6HOqYB57r H5TDDMfoxky5pkMCSxfq3xR3OMKGpC2gbgR3Qnz7FhVlCbjorv/wT4xBtr4PvtAcdEW1fd7xiy7B fvh4zUAtuYlD8cHuC40kRDcvboKIulJ5D9pkSjfeCeKs1lh1QJKcycMDBPtuQm/fgnAvHyjCwnVO EfvmiD2IUOqbS/Qosf1QCMgyvKeWYqy5GeIOXpe/pxt3pb5cJLNCCS0Ze+nFGOK00XNGoiDxTASJ qYxmXgoTkTkvc2TtgoW/I139k01LZYjtIFGFhG3TgG18LVnsdKCHbEyJjSyQ5jkwW90diqRtoHou AFhXIB9h `protect end_protected
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: fg_tb_top.vhd -- -- Description: -- This is the demo testbench top file for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; LIBRARY std; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.std_logic_misc.ALL; USE ieee.numeric_std.ALL; USE ieee.std_logic_textio.ALL; USE std.textio.ALL; LIBRARY work; USE work.fg_tb_pkg.ALL; ENTITY fg_tb_top IS END ENTITY; ARCHITECTURE fg_tb_arch OF fg_tb_top IS SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; SIGNAL wr_clk : STD_LOGIC; SIGNAL reset : STD_LOGIC; SIGNAL sim_done : STD_LOGIC := '0'; SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); -- Write and Read clock periods CONSTANT wr_clk_period_by_2 : TIME := 48 ns; -- Procedures to display strings PROCEDURE disp_str(CONSTANT str:IN STRING) IS variable dp_l : line := null; BEGIN write(dp_l,str); writeline(output,dp_l); END PROCEDURE; PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS variable dp_lx : line := null; BEGIN hwrite(dp_lx,hex); writeline(output,dp_lx); END PROCEDURE; BEGIN -- Generation of clock PROCESS BEGIN WAIT FOR 110 ns; -- Wait for global reset WHILE 1 = 1 LOOP wr_clk <= '0'; WAIT FOR wr_clk_period_by_2; wr_clk <= '1'; WAIT FOR wr_clk_period_by_2; END LOOP; END PROCESS; -- Generation of Reset PROCESS BEGIN reset <= '1'; WAIT FOR 960 ns; reset <= '0'; WAIT; END PROCESS; -- Error message printing based on STATUS signal from fg_tb_synth PROCESS(status) BEGIN IF(status /= "0" AND status /= "1") THEN disp_str("STATUS:"); disp_hex(status); END IF; IF(status(7) = '1') THEN assert false report "Data mismatch found" severity error; END IF; IF(status(1) = '1') THEN END IF; IF(status(3) = '1') THEN assert false report "Almost Empty flag Mismatch/timeout" severity error; END IF; IF(status(4) = '1') THEN assert false report "Almost Full flag Mismatch/timeout" severity error; END IF; IF(status(5) = '1') THEN assert false report "Empty flag Mismatch/timeout" severity error; END IF; IF(status(6) = '1') THEN assert false report "Full Flag Mismatch/timeout" severity error; END IF; END PROCESS; PROCESS BEGIN wait until sim_done = '1'; IF(status /= "0" AND status /= "1") THEN assert false report "Simulation failed" severity failure; ELSE assert false report "Simulation Complete" severity failure; END IF; END PROCESS; PROCESS BEGIN wait for 100 ms; assert false report "Test bench timed out" severity failure; END PROCESS; -- Instance of fg_tb_synth fg_tb_synth_inst:fg_tb_synth GENERIC MAP( FREEZEON_ERROR => 0, TB_STOP_CNT => 2, TB_SEED => 101 ) PORT MAP( CLK => wr_clk, RESET => reset, SIM_DONE => sim_done, STATUS => status ); END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: fg_tb_top.vhd -- -- Description: -- This is the demo testbench top file for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; LIBRARY std; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.std_logic_misc.ALL; USE ieee.numeric_std.ALL; USE ieee.std_logic_textio.ALL; USE std.textio.ALL; LIBRARY work; USE work.fg_tb_pkg.ALL; ENTITY fg_tb_top IS END ENTITY; ARCHITECTURE fg_tb_arch OF fg_tb_top IS SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; SIGNAL wr_clk : STD_LOGIC; SIGNAL reset : STD_LOGIC; SIGNAL sim_done : STD_LOGIC := '0'; SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); -- Write and Read clock periods CONSTANT wr_clk_period_by_2 : TIME := 48 ns; -- Procedures to display strings PROCEDURE disp_str(CONSTANT str:IN STRING) IS variable dp_l : line := null; BEGIN write(dp_l,str); writeline(output,dp_l); END PROCEDURE; PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS variable dp_lx : line := null; BEGIN hwrite(dp_lx,hex); writeline(output,dp_lx); END PROCEDURE; BEGIN -- Generation of clock PROCESS BEGIN WAIT FOR 110 ns; -- Wait for global reset WHILE 1 = 1 LOOP wr_clk <= '0'; WAIT FOR wr_clk_period_by_2; wr_clk <= '1'; WAIT FOR wr_clk_period_by_2; END LOOP; END PROCESS; -- Generation of Reset PROCESS BEGIN reset <= '1'; WAIT FOR 960 ns; reset <= '0'; WAIT; END PROCESS; -- Error message printing based on STATUS signal from fg_tb_synth PROCESS(status) BEGIN IF(status /= "0" AND status /= "1") THEN disp_str("STATUS:"); disp_hex(status); END IF; IF(status(7) = '1') THEN assert false report "Data mismatch found" severity error; END IF; IF(status(1) = '1') THEN END IF; IF(status(3) = '1') THEN assert false report "Almost Empty flag Mismatch/timeout" severity error; END IF; IF(status(4) = '1') THEN assert false report "Almost Full flag Mismatch/timeout" severity error; END IF; IF(status(5) = '1') THEN assert false report "Empty flag Mismatch/timeout" severity error; END IF; IF(status(6) = '1') THEN assert false report "Full Flag Mismatch/timeout" severity error; END IF; END PROCESS; PROCESS BEGIN wait until sim_done = '1'; IF(status /= "0" AND status /= "1") THEN assert false report "Simulation failed" severity failure; ELSE assert false report "Simulation Complete" severity failure; END IF; END PROCESS; PROCESS BEGIN wait for 100 ms; assert false report "Test bench timed out" severity failure; END PROCESS; -- Instance of fg_tb_synth fg_tb_synth_inst:fg_tb_synth GENERIC MAP( FREEZEON_ERROR => 0, TB_STOP_CNT => 2, TB_SEED => 101 ) PORT MAP( CLK => wr_clk, RESET => reset, SIM_DONE => sim_done, STATUS => status ); END ARCHITECTURE;
library verilog; use verilog.vl_types.all; entity BFM_AHBSLAVEEXT is generic( AWIDTH : integer := 10; DEPTH : integer := 256; EXT_SIZE : integer := 2; INITFILE : string := " "; ID : integer := 0; ENFUNC : integer := 0; ENFIFO : integer := 0; TPD : integer := 1; DEBUG : integer := -1 ); port( HCLK : in vl_logic; HRESETN : in vl_logic; HSEL : in vl_logic; HWRITE : in vl_logic; HADDR : in vl_logic_vector; HWDATA : in vl_logic_vector(31 downto 0); HRDATA : out vl_logic_vector(31 downto 0); HREADYIN : in vl_logic; HREADYOUT : out vl_logic; HTRANS : in vl_logic_vector(1 downto 0); HSIZE : in vl_logic_vector(2 downto 0); HBURST : in vl_logic_vector(2 downto 0); HMASTLOCK : in vl_logic; HPROT : in vl_logic_vector(3 downto 0); HRESP : out vl_logic; EXT_EN : in vl_logic; EXT_WR : in vl_logic; EXT_RD : in vl_logic; EXT_ADDR : in vl_logic_vector; EXT_DATA : inout vl_logic_vector(31 downto 0); TXREADY : out vl_logic; RXREADY : out vl_logic ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of AWIDTH : constant is 1; attribute mti_svvh_generic_type of DEPTH : constant is 1; attribute mti_svvh_generic_type of EXT_SIZE : constant is 1; attribute mti_svvh_generic_type of INITFILE : constant is 1; attribute mti_svvh_generic_type of ID : constant is 1; attribute mti_svvh_generic_type of ENFUNC : constant is 1; attribute mti_svvh_generic_type of ENFIFO : constant is 1; attribute mti_svvh_generic_type of TPD : constant is 1; attribute mti_svvh_generic_type of DEBUG : constant is 1; end BFM_AHBSLAVEEXT;
library verilog; use verilog.vl_types.all; entity BFM_AHBSLAVEEXT is generic( AWIDTH : integer := 10; DEPTH : integer := 256; EXT_SIZE : integer := 2; INITFILE : string := " "; ID : integer := 0; ENFUNC : integer := 0; ENFIFO : integer := 0; TPD : integer := 1; DEBUG : integer := -1 ); port( HCLK : in vl_logic; HRESETN : in vl_logic; HSEL : in vl_logic; HWRITE : in vl_logic; HADDR : in vl_logic_vector; HWDATA : in vl_logic_vector(31 downto 0); HRDATA : out vl_logic_vector(31 downto 0); HREADYIN : in vl_logic; HREADYOUT : out vl_logic; HTRANS : in vl_logic_vector(1 downto 0); HSIZE : in vl_logic_vector(2 downto 0); HBURST : in vl_logic_vector(2 downto 0); HMASTLOCK : in vl_logic; HPROT : in vl_logic_vector(3 downto 0); HRESP : out vl_logic; EXT_EN : in vl_logic; EXT_WR : in vl_logic; EXT_RD : in vl_logic; EXT_ADDR : in vl_logic_vector; EXT_DATA : inout vl_logic_vector(31 downto 0); TXREADY : out vl_logic; RXREADY : out vl_logic ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of AWIDTH : constant is 1; attribute mti_svvh_generic_type of DEPTH : constant is 1; attribute mti_svvh_generic_type of EXT_SIZE : constant is 1; attribute mti_svvh_generic_type of INITFILE : constant is 1; attribute mti_svvh_generic_type of ID : constant is 1; attribute mti_svvh_generic_type of ENFUNC : constant is 1; attribute mti_svvh_generic_type of ENFIFO : constant is 1; attribute mti_svvh_generic_type of TPD : constant is 1; attribute mti_svvh_generic_type of DEBUG : constant is 1; end BFM_AHBSLAVEEXT;
-- File: gray_inc_reg.vhd -- Generated by MyHDL 1.0dev -- Date: Thu Jun 23 19:06:43 2016 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use std.textio.all; use work.pck_myhdl_10.all; entity gray_inc_reg is port ( graycnt: out unsigned(7 downto 0); enable: in std_logic; clock: in std_logic; reset: in std_logic ); end entity gray_inc_reg; architecture MyHDL of gray_inc_reg is signal graycnt_comb: unsigned(7 downto 0); signal gray_inc_1_bincnt: unsigned(7 downto 0); begin GRAY_INC_REG_GRAY_INC_1_INC_1_SEQ: process (clock, reset) is begin if (reset = '0') then gray_inc_1_bincnt <= to_unsigned(0, 8); elsif rising_edge(clock) then if bool(enable) then gray_inc_1_bincnt <= (gray_inc_1_bincnt + 1); end if; end if; end process GRAY_INC_REG_GRAY_INC_1_INC_1_SEQ; graycnt_comb <= (shift_right(gray_inc_1_bincnt, 1) xor gray_inc_1_bincnt); GRAY_INC_REG_REG_0: process (clock, reset) is begin if (reset = '0') then graycnt <= to_unsigned(0, 8); elsif rising_edge(clock) then graycnt <= graycnt_comb; end if; end process GRAY_INC_REG_REG_0; end architecture MyHDL;
-- File: gray_inc_reg.vhd -- Generated by MyHDL 1.0dev -- Date: Thu Jun 23 19:06:43 2016 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use std.textio.all; use work.pck_myhdl_10.all; entity gray_inc_reg is port ( graycnt: out unsigned(7 downto 0); enable: in std_logic; clock: in std_logic; reset: in std_logic ); end entity gray_inc_reg; architecture MyHDL of gray_inc_reg is signal graycnt_comb: unsigned(7 downto 0); signal gray_inc_1_bincnt: unsigned(7 downto 0); begin GRAY_INC_REG_GRAY_INC_1_INC_1_SEQ: process (clock, reset) is begin if (reset = '0') then gray_inc_1_bincnt <= to_unsigned(0, 8); elsif rising_edge(clock) then if bool(enable) then gray_inc_1_bincnt <= (gray_inc_1_bincnt + 1); end if; end if; end process GRAY_INC_REG_GRAY_INC_1_INC_1_SEQ; graycnt_comb <= (shift_right(gray_inc_1_bincnt, 1) xor gray_inc_1_bincnt); GRAY_INC_REG_REG_0: process (clock, reset) is begin if (reset = '0') then graycnt <= to_unsigned(0, 8); elsif rising_edge(clock) then graycnt <= graycnt_comb; end if; end process GRAY_INC_REG_REG_0; end architecture MyHDL;
-- File: gray_inc_reg.vhd -- Generated by MyHDL 1.0dev -- Date: Thu Jun 23 19:06:43 2016 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use std.textio.all; use work.pck_myhdl_10.all; entity gray_inc_reg is port ( graycnt: out unsigned(7 downto 0); enable: in std_logic; clock: in std_logic; reset: in std_logic ); end entity gray_inc_reg; architecture MyHDL of gray_inc_reg is signal graycnt_comb: unsigned(7 downto 0); signal gray_inc_1_bincnt: unsigned(7 downto 0); begin GRAY_INC_REG_GRAY_INC_1_INC_1_SEQ: process (clock, reset) is begin if (reset = '0') then gray_inc_1_bincnt <= to_unsigned(0, 8); elsif rising_edge(clock) then if bool(enable) then gray_inc_1_bincnt <= (gray_inc_1_bincnt + 1); end if; end if; end process GRAY_INC_REG_GRAY_INC_1_INC_1_SEQ; graycnt_comb <= (shift_right(gray_inc_1_bincnt, 1) xor gray_inc_1_bincnt); GRAY_INC_REG_REG_0: process (clock, reset) is begin if (reset = '0') then graycnt <= to_unsigned(0, 8); elsif rising_edge(clock) then graycnt <= graycnt_comb; end if; end process GRAY_INC_REG_REG_0; end architecture MyHDL;
-- File: gray_inc_reg.vhd -- Generated by MyHDL 1.0dev -- Date: Thu Jun 23 19:06:43 2016 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use std.textio.all; use work.pck_myhdl_10.all; entity gray_inc_reg is port ( graycnt: out unsigned(7 downto 0); enable: in std_logic; clock: in std_logic; reset: in std_logic ); end entity gray_inc_reg; architecture MyHDL of gray_inc_reg is signal graycnt_comb: unsigned(7 downto 0); signal gray_inc_1_bincnt: unsigned(7 downto 0); begin GRAY_INC_REG_GRAY_INC_1_INC_1_SEQ: process (clock, reset) is begin if (reset = '0') then gray_inc_1_bincnt <= to_unsigned(0, 8); elsif rising_edge(clock) then if bool(enable) then gray_inc_1_bincnt <= (gray_inc_1_bincnt + 1); end if; end if; end process GRAY_INC_REG_GRAY_INC_1_INC_1_SEQ; graycnt_comb <= (shift_right(gray_inc_1_bincnt, 1) xor gray_inc_1_bincnt); GRAY_INC_REG_REG_0: process (clock, reset) is begin if (reset = '0') then graycnt <= to_unsigned(0, 8); elsif rising_edge(clock) then graycnt <= graycnt_comb; end if; end process GRAY_INC_REG_REG_0; end architecture MyHDL;
-- File: gray_inc_reg.vhd -- Generated by MyHDL 1.0dev -- Date: Thu Jun 23 19:06:43 2016 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use std.textio.all; use work.pck_myhdl_10.all; entity gray_inc_reg is port ( graycnt: out unsigned(7 downto 0); enable: in std_logic; clock: in std_logic; reset: in std_logic ); end entity gray_inc_reg; architecture MyHDL of gray_inc_reg is signal graycnt_comb: unsigned(7 downto 0); signal gray_inc_1_bincnt: unsigned(7 downto 0); begin GRAY_INC_REG_GRAY_INC_1_INC_1_SEQ: process (clock, reset) is begin if (reset = '0') then gray_inc_1_bincnt <= to_unsigned(0, 8); elsif rising_edge(clock) then if bool(enable) then gray_inc_1_bincnt <= (gray_inc_1_bincnt + 1); end if; end if; end process GRAY_INC_REG_GRAY_INC_1_INC_1_SEQ; graycnt_comb <= (shift_right(gray_inc_1_bincnt, 1) xor gray_inc_1_bincnt); GRAY_INC_REG_REG_0: process (clock, reset) is begin if (reset = '0') then graycnt <= to_unsigned(0, 8); elsif rising_edge(clock) then graycnt <= graycnt_comb; end if; end process GRAY_INC_REG_REG_0; end architecture MyHDL;
-- File: gray_inc_reg.vhd -- Generated by MyHDL 1.0dev -- Date: Thu Jun 23 19:06:43 2016 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use std.textio.all; use work.pck_myhdl_10.all; entity gray_inc_reg is port ( graycnt: out unsigned(7 downto 0); enable: in std_logic; clock: in std_logic; reset: in std_logic ); end entity gray_inc_reg; architecture MyHDL of gray_inc_reg is signal graycnt_comb: unsigned(7 downto 0); signal gray_inc_1_bincnt: unsigned(7 downto 0); begin GRAY_INC_REG_GRAY_INC_1_INC_1_SEQ: process (clock, reset) is begin if (reset = '0') then gray_inc_1_bincnt <= to_unsigned(0, 8); elsif rising_edge(clock) then if bool(enable) then gray_inc_1_bincnt <= (gray_inc_1_bincnt + 1); end if; end if; end process GRAY_INC_REG_GRAY_INC_1_INC_1_SEQ; graycnt_comb <= (shift_right(gray_inc_1_bincnt, 1) xor gray_inc_1_bincnt); GRAY_INC_REG_REG_0: process (clock, reset) is begin if (reset = '0') then graycnt <= to_unsigned(0, 8); elsif rising_edge(clock) then graycnt <= graycnt_comb; end if; end process GRAY_INC_REG_REG_0; end architecture MyHDL;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- cMIPS, a VHDL model of the classical five stage MIPS pipeline. -- Copyright (C) 2013 Roberto Andre Hexsel -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, version 3. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- These components are replacements for Altera's Megafunctions. -- They are meant to be used only in simulation; for synthesis, the -- real Megafunctions must be used instead of these 'fakes'. -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- ----------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity mf_alt_add_4 is port(datab : in std_logic_vector (31 downto 0); result : out std_logic_vector (31 downto 0) ); end mf_alt_add_4; architecture functional of mf_alt_add_4 is begin result <= std_logic_vector( 4 + signed(datab) ); end architecture functional; -- ----------------------------------------------------------------------- -- ----------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity mf_alt_adder is port(dataa : in std_logic_vector (31 downto 0); datab : in std_logic_vector (31 downto 0); result : out std_logic_vector (31 downto 0)); end mf_alt_adder; architecture functional of mf_alt_adder is begin result <= std_logic_vector( signed(dataa) + signed(datab) ); end architecture functional; -- ----------------------------------------------------------------------- -- ----------------------------------------------------------------------- -- add/subtract SIGNED numbers -- ----------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity mf_alt_add_sub is port(add_sub : IN STD_LOGIC; -- add=1, sub=0 dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); overflow : OUT STD_LOGIC; result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)); end mf_alt_add_sub; architecture functional of mf_alt_add_sub is signal ext_a,ext_b, ext_add_C,ext_sub_C : STD_LOGIC_VECTOR (32 DOWNTO 0); signal ovfl_add,ovfl_sub : std_logic; begin ext_A <= dataa(31) & dataa; ext_B <= datab(31) & datab; ext_add_C <= std_logic_vector(signed(ext_A) + signed(ext_B)); ovfl_add <= '1' when (ext_add_C(32) /= ext_add_C(31)) else '0'; ext_sub_C <= std_logic_vector(signed(ext_A)+signed(signed(not ext_B)+1)); ovfl_sub <= '1' when (ext_sub_C(32) /= ext_sub_C(31)) else '0'; result <= ext_add_C(31 downto 0) when add_sub='1' else ext_sub_C(31 downto 0); overflow <= ovfl_add when add_sub='1' else ovfl_sub; end architecture functional; -- ----------------------------------------------------------------------- -- ----------------------------------------------------------------------- -- add/subtract UN-SIGNED numbers, does not signal overflow -- ----------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity mf_alt_add_sub_u is port(add_sub : IN STD_LOGIC; -- add=1, sub=0 dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)); end mf_alt_add_sub_u; architecture functional of mf_alt_add_sub_u is signal add_C, sub_C : STD_LOGIC_VECTOR (31 DOWNTO 0); begin add_C <= std_logic_vector(unsigned(dataa) + unsigned(datab)); sub_C <= std_logic_vector(unsigned(dataa)+unsigned(unsigned(not datab)+1)); result <= add_C(31 downto 0) when add_sub='1' else sub_C(31 downto 0); end architecture functional; -- ----------------------------------------------------------------------- -- ----------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.p_wires.all; entity mf_ram1port is generic (N_WORDS : integer; ADDRS_BITS : integer); port (address : in std_logic_vector (ADDRS_BITS-1 downto 0); clken : in std_logic; clock : in std_logic; data : in std_logic_vector (7 downto 0); wren : in std_logic; q : out std_logic_vector (7 downto 0)); end mf_ram1port; architecture rtl of mf_ram1port is -- Build a 2-D array type for the RAM subtype word_t is std_logic_vector(7 downto 0); type memory_t is array(0 to N_WORDS - 1) of word_t; -- Declare the RAM signal. signal ram : memory_t; -- Register to hold the address signal addr, addr_reg : natural range 0 to N_WORDS - 1; begin addr <= to_integer(unsigned(address)); U_addr: process(clock) begin if rising_edge(clock) then -- Register the address for reading addr_reg <= addr; end if; end process U_addr; U_write: process(clock) begin if (clken = '1') and rising_edge(clock) then if (wren = '1') then ram(addr) <= data; end if; end if; end process U_write; q <= ram(addr_reg); end architecture rtl; -- ----------------------------------------------------------------------- -- fake ROM megafunction = not used in simulation, only on the FPGA ------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.p_wires.all; use work.p_memory.all; entity alt_mf_rom is port ( address : IN STD_LOGIC_VECTOR ((INST_ADDRS_BITS-1) DOWNTO 0); clken : IN STD_LOGIC := '1'; clock : IN STD_LOGIC := '1'; q : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)); end alt_mf_rom; architecture fake of alt_mf_rom is begin -- fake q <= (others => 'X'); end fake; -- ----------------------------------------------------------------------- -- PLL for CPU clocks ---------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use work.p_wires.all; entity mf_altpll is port ( areset : IN STD_LOGIC; inclk0 : IN STD_LOGIC; -- 50MHz input c0 : OUT STD_LOGIC; -- 50MHz, 25% duty cycle, phase 0 c1 : OUT STD_LOGIC; -- 50MHz, 25% duty cycle, phase 120 c2 : OUT STD_LOGIC; -- 50MHz, 25% duty cycle, phase 180 c3 : OUT STD_LOGIC; -- 50MHz, 25% duty cycle, phase 270 c4 : OUT STD_LOGIC); -- 50MHz, 50% duty cycle, phase 0 end mf_altpll; architecture functional of mf_altpll is component count4phases is port(clk, rst : in std_logic; p0,p1,p2,p3 : out std_logic); end component count4phases; component FFD is port(clk, rst, set, D : in std_logic; Q : out std_logic); end component FFD; signal clk4x, phi0,phi1,phi2,phi3, phi2_dlyd : std_logic; begin U_clock4x: process -- clk and clk4x MUST start in opposite phases begin clk4x <= '0'; wait for CLOCK_PER / 8; clk4x <= '1'; wait for CLOCK_PER / 8; end process; U_4PHASE_CLOCK: count4phases port map (clk4x, areset, phi0,phi1,phi2,phi3); -- U_DELAY_PHI2: FFD port map (clk4x, areset, '1', phi2, phi2_dlyd); c0 <= phi3; c1 <= phi0; c2 <= phi1; c3 <= phi2; c4 <= inclk0; end architecture functional; -- ----------------------------------------------------------------------- -- PLL for I/O devices --------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use work.p_wires.all; entity mf_altpll_io is port ( areset : IN STD_LOGIC; inclk0 : IN STD_LOGIC; -- 50 MHz c0 : OUT STD_LOGIC; -- 100MHz, in phase c1 : OUT STD_LOGIC; -- 200MHz, in phase c2 : OUT STD_LOGIC); -- 200MHz, opposite phase end mf_altpll_io; architecture functional of mf_altpll_io is signal clk2x, clk4x0, clk4x180 : std_logic; begin U_clock2x: process -- in phase with inclk0 begin clk2x <= '1'; wait for CLOCK_PER / 4; clk2x <= '0'; wait for CLOCK_PER / 4; end process; U_clock4x: process -- clk and clk4x180 MUST start in opposite phases begin clk4x180 <= '0'; wait for CLOCK_PER / 8; clk4x180 <= '1'; wait for CLOCK_PER / 8; end process; clk4x0 <= not(clk4x180); c0 <= clk2x; c1 <= clk4x0; c2 <= clk4x180; end architecture functional; -- ----------------------------------------------------------------------- -- ----------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity mf_altclkctrl is port ( inclk : IN STD_LOGIC; outclk : OUT STD_LOGIC); end mf_altclkctrl; architecture functional of mf_altclkctrl is begin outclk <= inclk; end architecture functional; -- -----------------------------------------------------------------------
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- cMIPS, a VHDL model of the classical five stage MIPS pipeline. -- Copyright (C) 2013 Roberto Andre Hexsel -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, version 3. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- These components are replacements for Altera's Megafunctions. -- They are meant to be used only in simulation; for synthesis, the -- real Megafunctions must be used instead of these 'fakes'. -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- ----------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity mf_alt_add_4 is port(datab : in std_logic_vector (31 downto 0); result : out std_logic_vector (31 downto 0) ); end mf_alt_add_4; architecture functional of mf_alt_add_4 is begin result <= std_logic_vector( 4 + signed(datab) ); end architecture functional; -- ----------------------------------------------------------------------- -- ----------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity mf_alt_adder is port(dataa : in std_logic_vector (31 downto 0); datab : in std_logic_vector (31 downto 0); result : out std_logic_vector (31 downto 0)); end mf_alt_adder; architecture functional of mf_alt_adder is begin result <= std_logic_vector( signed(dataa) + signed(datab) ); end architecture functional; -- ----------------------------------------------------------------------- -- ----------------------------------------------------------------------- -- add/subtract SIGNED numbers -- ----------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity mf_alt_add_sub is port(add_sub : IN STD_LOGIC; -- add=1, sub=0 dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); overflow : OUT STD_LOGIC; result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)); end mf_alt_add_sub; architecture functional of mf_alt_add_sub is signal ext_a,ext_b, ext_add_C,ext_sub_C : STD_LOGIC_VECTOR (32 DOWNTO 0); signal ovfl_add,ovfl_sub : std_logic; begin ext_A <= dataa(31) & dataa; ext_B <= datab(31) & datab; ext_add_C <= std_logic_vector(signed(ext_A) + signed(ext_B)); ovfl_add <= '1' when (ext_add_C(32) /= ext_add_C(31)) else '0'; ext_sub_C <= std_logic_vector(signed(ext_A)+signed(signed(not ext_B)+1)); ovfl_sub <= '1' when (ext_sub_C(32) /= ext_sub_C(31)) else '0'; result <= ext_add_C(31 downto 0) when add_sub='1' else ext_sub_C(31 downto 0); overflow <= ovfl_add when add_sub='1' else ovfl_sub; end architecture functional; -- ----------------------------------------------------------------------- -- ----------------------------------------------------------------------- -- add/subtract UN-SIGNED numbers, does not signal overflow -- ----------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity mf_alt_add_sub_u is port(add_sub : IN STD_LOGIC; -- add=1, sub=0 dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)); end mf_alt_add_sub_u; architecture functional of mf_alt_add_sub_u is signal add_C, sub_C : STD_LOGIC_VECTOR (31 DOWNTO 0); begin add_C <= std_logic_vector(unsigned(dataa) + unsigned(datab)); sub_C <= std_logic_vector(unsigned(dataa)+unsigned(unsigned(not datab)+1)); result <= add_C(31 downto 0) when add_sub='1' else sub_C(31 downto 0); end architecture functional; -- ----------------------------------------------------------------------- -- ----------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.p_wires.all; entity mf_ram1port is generic (N_WORDS : integer; ADDRS_BITS : integer); port (address : in std_logic_vector (ADDRS_BITS-1 downto 0); clken : in std_logic; clock : in std_logic; data : in std_logic_vector (7 downto 0); wren : in std_logic; q : out std_logic_vector (7 downto 0)); end mf_ram1port; architecture rtl of mf_ram1port is -- Build a 2-D array type for the RAM subtype word_t is std_logic_vector(7 downto 0); type memory_t is array(0 to N_WORDS - 1) of word_t; -- Declare the RAM signal. signal ram : memory_t; -- Register to hold the address signal addr, addr_reg : natural range 0 to N_WORDS - 1; begin addr <= to_integer(unsigned(address)); U_addr: process(clock) begin if rising_edge(clock) then -- Register the address for reading addr_reg <= addr; end if; end process U_addr; U_write: process(clock) begin if (clken = '1') and rising_edge(clock) then if (wren = '1') then ram(addr) <= data; end if; end if; end process U_write; q <= ram(addr_reg); end architecture rtl; -- ----------------------------------------------------------------------- -- fake ROM megafunction = not used in simulation, only on the FPGA ------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.p_wires.all; use work.p_memory.all; entity alt_mf_rom is port ( address : IN STD_LOGIC_VECTOR ((INST_ADDRS_BITS-1) DOWNTO 0); clken : IN STD_LOGIC := '1'; clock : IN STD_LOGIC := '1'; q : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)); end alt_mf_rom; architecture fake of alt_mf_rom is begin -- fake q <= (others => 'X'); end fake; -- ----------------------------------------------------------------------- -- PLL for CPU clocks ---------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use work.p_wires.all; entity mf_altpll is port ( areset : IN STD_LOGIC; inclk0 : IN STD_LOGIC; -- 50MHz input c0 : OUT STD_LOGIC; -- 50MHz, 25% duty cycle, phase 0 c1 : OUT STD_LOGIC; -- 50MHz, 25% duty cycle, phase 120 c2 : OUT STD_LOGIC; -- 50MHz, 25% duty cycle, phase 180 c3 : OUT STD_LOGIC; -- 50MHz, 25% duty cycle, phase 270 c4 : OUT STD_LOGIC); -- 50MHz, 50% duty cycle, phase 0 end mf_altpll; architecture functional of mf_altpll is component count4phases is port(clk, rst : in std_logic; p0,p1,p2,p3 : out std_logic); end component count4phases; component FFD is port(clk, rst, set, D : in std_logic; Q : out std_logic); end component FFD; signal clk4x, phi0,phi1,phi2,phi3, phi2_dlyd : std_logic; begin U_clock4x: process -- clk and clk4x MUST start in opposite phases begin clk4x <= '0'; wait for CLOCK_PER / 8; clk4x <= '1'; wait for CLOCK_PER / 8; end process; U_4PHASE_CLOCK: count4phases port map (clk4x, areset, phi0,phi1,phi2,phi3); -- U_DELAY_PHI2: FFD port map (clk4x, areset, '1', phi2, phi2_dlyd); c0 <= phi3; c1 <= phi0; c2 <= phi1; c3 <= phi2; c4 <= inclk0; end architecture functional; -- ----------------------------------------------------------------------- -- PLL for I/O devices --------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use work.p_wires.all; entity mf_altpll_io is port ( areset : IN STD_LOGIC; inclk0 : IN STD_LOGIC; -- 50 MHz c0 : OUT STD_LOGIC; -- 100MHz, in phase c1 : OUT STD_LOGIC; -- 200MHz, in phase c2 : OUT STD_LOGIC); -- 200MHz, opposite phase end mf_altpll_io; architecture functional of mf_altpll_io is signal clk2x, clk4x0, clk4x180 : std_logic; begin U_clock2x: process -- in phase with inclk0 begin clk2x <= '1'; wait for CLOCK_PER / 4; clk2x <= '0'; wait for CLOCK_PER / 4; end process; U_clock4x: process -- clk and clk4x180 MUST start in opposite phases begin clk4x180 <= '0'; wait for CLOCK_PER / 8; clk4x180 <= '1'; wait for CLOCK_PER / 8; end process; clk4x0 <= not(clk4x180); c0 <= clk2x; c1 <= clk4x0; c2 <= clk4x180; end architecture functional; -- ----------------------------------------------------------------------- -- ----------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity mf_altclkctrl is port ( inclk : IN STD_LOGIC; outclk : OUT STD_LOGIC); end mf_altclkctrl; architecture functional of mf_altclkctrl is begin outclk <= inclk; end architecture functional; -- -----------------------------------------------------------------------
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2014 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file RxTstFIFO2K.vhd when simulating -- the core, RxTstFIFO2K. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY RxTstFIFO2K IS PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END RxTstFIFO2K; ARCHITECTURE RxTstFIFO2K_a OF RxTstFIFO2K IS -- synthesis translate_off COMPONENT wrapped_RxTstFIFO2K PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_RxTstFIFO2K USE ENTITY XilinxCoreLib.fifo_generator_v9_3(behavioral) GENERIC MAP ( c_add_ngc_constraint => 0, c_application_type_axis => 0, c_application_type_rach => 0, c_application_type_rdch => 0, c_application_type_wach => 0, c_application_type_wdch => 0, c_application_type_wrch => 0, c_axi_addr_width => 32, c_axi_aruser_width => 1, c_axi_awuser_width => 1, c_axi_buser_width => 1, c_axi_data_width => 64, c_axi_id_width => 4, c_axi_ruser_width => 1, c_axi_type => 0, c_axi_wuser_width => 1, c_axis_tdata_width => 64, c_axis_tdest_width => 4, c_axis_tid_width => 8, c_axis_tkeep_width => 4, c_axis_tstrb_width => 4, c_axis_tuser_width => 4, c_axis_type => 0, c_common_clock => 0, c_count_type => 0, c_data_count_width => 11, c_default_value => "BlankString", c_din_width => 8, c_din_width_axis => 1, c_din_width_rach => 32, c_din_width_rdch => 64, c_din_width_wach => 32, c_din_width_wdch => 64, c_din_width_wrch => 2, c_dout_rst_val => "BB", c_dout_width => 16, c_enable_rlocs => 0, c_enable_rst_sync => 1, c_error_injection_type => 0, c_error_injection_type_axis => 0, c_error_injection_type_rach => 0, c_error_injection_type_rdch => 0, c_error_injection_type_wach => 0, c_error_injection_type_wdch => 0, c_error_injection_type_wrch => 0, c_family => "spartan6", c_full_flags_rst_val => 1, c_has_almost_empty => 0, c_has_almost_full => 0, c_has_axi_aruser => 0, c_has_axi_awuser => 0, c_has_axi_buser => 0, c_has_axi_rd_channel => 0, c_has_axi_ruser => 0, c_has_axi_wr_channel => 0, c_has_axi_wuser => 0, c_has_axis_tdata => 0, c_has_axis_tdest => 0, c_has_axis_tid => 0, c_has_axis_tkeep => 0, c_has_axis_tlast => 0, c_has_axis_tready => 1, c_has_axis_tstrb => 0, c_has_axis_tuser => 0, c_has_backup => 0, c_has_data_count => 0, c_has_data_counts_axis => 0, c_has_data_counts_rach => 0, c_has_data_counts_rdch => 0, c_has_data_counts_wach => 0, c_has_data_counts_wdch => 0, c_has_data_counts_wrch => 0, c_has_int_clk => 0, c_has_master_ce => 0, c_has_meminit_file => 0, c_has_overflow => 0, c_has_prog_flags_axis => 0, c_has_prog_flags_rach => 0, c_has_prog_flags_rdch => 0, c_has_prog_flags_wach => 0, c_has_prog_flags_wdch => 0, c_has_prog_flags_wrch => 0, c_has_rd_data_count => 0, c_has_rd_rst => 0, c_has_rst => 1, c_has_slave_ce => 0, c_has_srst => 0, c_has_underflow => 0, c_has_valid => 0, c_has_wr_ack => 0, c_has_wr_data_count => 0, c_has_wr_rst => 0, c_implementation_type => 2, c_implementation_type_axis => 11, c_implementation_type_rach => 12, c_implementation_type_rdch => 11, c_implementation_type_wach => 12, c_implementation_type_wdch => 11, c_implementation_type_wrch => 12, c_init_wr_pntr_val => 0, c_interface_type => 0, c_memory_type => 1, c_mif_file_name => "BlankString", c_msgon_val => 1, c_optimization_mode => 0, c_overflow_low => 0, c_preload_latency => 0, c_preload_regs => 1, c_prim_fifo_type => "2kx9", c_prog_empty_thresh_assert_val => 4, c_prog_empty_thresh_assert_val_axis => 1022, c_prog_empty_thresh_assert_val_rach => 1022, c_prog_empty_thresh_assert_val_rdch => 1022, c_prog_empty_thresh_assert_val_wach => 1022, c_prog_empty_thresh_assert_val_wdch => 1022, c_prog_empty_thresh_assert_val_wrch => 1022, c_prog_empty_thresh_negate_val => 5, c_prog_empty_type => 0, c_prog_empty_type_axis => 0, c_prog_empty_type_rach => 0, c_prog_empty_type_rdch => 0, c_prog_empty_type_wach => 0, c_prog_empty_type_wdch => 0, c_prog_empty_type_wrch => 0, c_prog_full_thresh_assert_val => 2047, c_prog_full_thresh_assert_val_axis => 1023, c_prog_full_thresh_assert_val_rach => 1023, c_prog_full_thresh_assert_val_rdch => 1023, c_prog_full_thresh_assert_val_wach => 1023, c_prog_full_thresh_assert_val_wdch => 1023, c_prog_full_thresh_assert_val_wrch => 1023, c_prog_full_thresh_negate_val => 2046, c_prog_full_type => 0, c_prog_full_type_axis => 0, c_prog_full_type_rach => 0, c_prog_full_type_rdch => 0, c_prog_full_type_wach => 0, c_prog_full_type_wdch => 0, c_prog_full_type_wrch => 0, c_rach_type => 0, c_rd_data_count_width => 10, c_rd_depth => 1024, c_rd_freq => 1, c_rd_pntr_width => 10, c_rdch_type => 0, c_reg_slice_mode_axis => 0, c_reg_slice_mode_rach => 0, c_reg_slice_mode_rdch => 0, c_reg_slice_mode_wach => 0, c_reg_slice_mode_wdch => 0, c_reg_slice_mode_wrch => 0, c_synchronizer_stage => 2, c_underflow_low => 0, c_use_common_overflow => 0, c_use_common_underflow => 0, c_use_default_settings => 0, c_use_dout_rst => 1, c_use_ecc => 0, c_use_ecc_axis => 0, c_use_ecc_rach => 0, c_use_ecc_rdch => 0, c_use_ecc_wach => 0, c_use_ecc_wdch => 0, c_use_ecc_wrch => 0, c_use_embedded_reg => 0, c_use_fifo16_flags => 0, c_use_fwft_data_count => 0, c_valid_low => 0, c_wach_type => 0, c_wdch_type => 0, c_wr_ack_low => 0, c_wr_data_count_width => 11, c_wr_depth => 2048, c_wr_depth_axis => 1024, c_wr_depth_rach => 16, c_wr_depth_rdch => 1024, c_wr_depth_wach => 16, c_wr_depth_wdch => 1024, c_wr_depth_wrch => 16, c_wr_freq => 1, c_wr_pntr_width => 11, c_wr_pntr_width_axis => 10, c_wr_pntr_width_rach => 4, c_wr_pntr_width_rdch => 10, c_wr_pntr_width_wach => 4, c_wr_pntr_width_wdch => 10, c_wr_pntr_width_wrch => 4, c_wr_response_latency => 1, c_wrch_type => 0 ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_RxTstFIFO2K PORT MAP ( rst => rst, wr_clk => wr_clk, rd_clk => rd_clk, din => din, wr_en => wr_en, rd_en => rd_en, dout => dout, full => full, empty => empty ); -- synthesis translate_on END RxTstFIFO2K_a;
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2014 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file RxTstFIFO2K.vhd when simulating -- the core, RxTstFIFO2K. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY RxTstFIFO2K IS PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END RxTstFIFO2K; ARCHITECTURE RxTstFIFO2K_a OF RxTstFIFO2K IS -- synthesis translate_off COMPONENT wrapped_RxTstFIFO2K PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_RxTstFIFO2K USE ENTITY XilinxCoreLib.fifo_generator_v9_3(behavioral) GENERIC MAP ( c_add_ngc_constraint => 0, c_application_type_axis => 0, c_application_type_rach => 0, c_application_type_rdch => 0, c_application_type_wach => 0, c_application_type_wdch => 0, c_application_type_wrch => 0, c_axi_addr_width => 32, c_axi_aruser_width => 1, c_axi_awuser_width => 1, c_axi_buser_width => 1, c_axi_data_width => 64, c_axi_id_width => 4, c_axi_ruser_width => 1, c_axi_type => 0, c_axi_wuser_width => 1, c_axis_tdata_width => 64, c_axis_tdest_width => 4, c_axis_tid_width => 8, c_axis_tkeep_width => 4, c_axis_tstrb_width => 4, c_axis_tuser_width => 4, c_axis_type => 0, c_common_clock => 0, c_count_type => 0, c_data_count_width => 11, c_default_value => "BlankString", c_din_width => 8, c_din_width_axis => 1, c_din_width_rach => 32, c_din_width_rdch => 64, c_din_width_wach => 32, c_din_width_wdch => 64, c_din_width_wrch => 2, c_dout_rst_val => "BB", c_dout_width => 16, c_enable_rlocs => 0, c_enable_rst_sync => 1, c_error_injection_type => 0, c_error_injection_type_axis => 0, c_error_injection_type_rach => 0, c_error_injection_type_rdch => 0, c_error_injection_type_wach => 0, c_error_injection_type_wdch => 0, c_error_injection_type_wrch => 0, c_family => "spartan6", c_full_flags_rst_val => 1, c_has_almost_empty => 0, c_has_almost_full => 0, c_has_axi_aruser => 0, c_has_axi_awuser => 0, c_has_axi_buser => 0, c_has_axi_rd_channel => 0, c_has_axi_ruser => 0, c_has_axi_wr_channel => 0, c_has_axi_wuser => 0, c_has_axis_tdata => 0, c_has_axis_tdest => 0, c_has_axis_tid => 0, c_has_axis_tkeep => 0, c_has_axis_tlast => 0, c_has_axis_tready => 1, c_has_axis_tstrb => 0, c_has_axis_tuser => 0, c_has_backup => 0, c_has_data_count => 0, c_has_data_counts_axis => 0, c_has_data_counts_rach => 0, c_has_data_counts_rdch => 0, c_has_data_counts_wach => 0, c_has_data_counts_wdch => 0, c_has_data_counts_wrch => 0, c_has_int_clk => 0, c_has_master_ce => 0, c_has_meminit_file => 0, c_has_overflow => 0, c_has_prog_flags_axis => 0, c_has_prog_flags_rach => 0, c_has_prog_flags_rdch => 0, c_has_prog_flags_wach => 0, c_has_prog_flags_wdch => 0, c_has_prog_flags_wrch => 0, c_has_rd_data_count => 0, c_has_rd_rst => 0, c_has_rst => 1, c_has_slave_ce => 0, c_has_srst => 0, c_has_underflow => 0, c_has_valid => 0, c_has_wr_ack => 0, c_has_wr_data_count => 0, c_has_wr_rst => 0, c_implementation_type => 2, c_implementation_type_axis => 11, c_implementation_type_rach => 12, c_implementation_type_rdch => 11, c_implementation_type_wach => 12, c_implementation_type_wdch => 11, c_implementation_type_wrch => 12, c_init_wr_pntr_val => 0, c_interface_type => 0, c_memory_type => 1, c_mif_file_name => "BlankString", c_msgon_val => 1, c_optimization_mode => 0, c_overflow_low => 0, c_preload_latency => 0, c_preload_regs => 1, c_prim_fifo_type => "2kx9", c_prog_empty_thresh_assert_val => 4, c_prog_empty_thresh_assert_val_axis => 1022, c_prog_empty_thresh_assert_val_rach => 1022, c_prog_empty_thresh_assert_val_rdch => 1022, c_prog_empty_thresh_assert_val_wach => 1022, c_prog_empty_thresh_assert_val_wdch => 1022, c_prog_empty_thresh_assert_val_wrch => 1022, c_prog_empty_thresh_negate_val => 5, c_prog_empty_type => 0, c_prog_empty_type_axis => 0, c_prog_empty_type_rach => 0, c_prog_empty_type_rdch => 0, c_prog_empty_type_wach => 0, c_prog_empty_type_wdch => 0, c_prog_empty_type_wrch => 0, c_prog_full_thresh_assert_val => 2047, c_prog_full_thresh_assert_val_axis => 1023, c_prog_full_thresh_assert_val_rach => 1023, c_prog_full_thresh_assert_val_rdch => 1023, c_prog_full_thresh_assert_val_wach => 1023, c_prog_full_thresh_assert_val_wdch => 1023, c_prog_full_thresh_assert_val_wrch => 1023, c_prog_full_thresh_negate_val => 2046, c_prog_full_type => 0, c_prog_full_type_axis => 0, c_prog_full_type_rach => 0, c_prog_full_type_rdch => 0, c_prog_full_type_wach => 0, c_prog_full_type_wdch => 0, c_prog_full_type_wrch => 0, c_rach_type => 0, c_rd_data_count_width => 10, c_rd_depth => 1024, c_rd_freq => 1, c_rd_pntr_width => 10, c_rdch_type => 0, c_reg_slice_mode_axis => 0, c_reg_slice_mode_rach => 0, c_reg_slice_mode_rdch => 0, c_reg_slice_mode_wach => 0, c_reg_slice_mode_wdch => 0, c_reg_slice_mode_wrch => 0, c_synchronizer_stage => 2, c_underflow_low => 0, c_use_common_overflow => 0, c_use_common_underflow => 0, c_use_default_settings => 0, c_use_dout_rst => 1, c_use_ecc => 0, c_use_ecc_axis => 0, c_use_ecc_rach => 0, c_use_ecc_rdch => 0, c_use_ecc_wach => 0, c_use_ecc_wdch => 0, c_use_ecc_wrch => 0, c_use_embedded_reg => 0, c_use_fifo16_flags => 0, c_use_fwft_data_count => 0, c_valid_low => 0, c_wach_type => 0, c_wdch_type => 0, c_wr_ack_low => 0, c_wr_data_count_width => 11, c_wr_depth => 2048, c_wr_depth_axis => 1024, c_wr_depth_rach => 16, c_wr_depth_rdch => 1024, c_wr_depth_wach => 16, c_wr_depth_wdch => 1024, c_wr_depth_wrch => 16, c_wr_freq => 1, c_wr_pntr_width => 11, c_wr_pntr_width_axis => 10, c_wr_pntr_width_rach => 4, c_wr_pntr_width_rdch => 10, c_wr_pntr_width_wach => 4, c_wr_pntr_width_wdch => 10, c_wr_pntr_width_wrch => 4, c_wr_response_latency => 1, c_wrch_type => 0 ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_RxTstFIFO2K PORT MAP ( rst => rst, wr_clk => wr_clk, rd_clk => rd_clk, din => din, wr_en => wr_en, rd_en => rd_en, dout => dout, full => full, empty => empty ); -- synthesis translate_on END RxTstFIFO2K_a;
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2014 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file RxTstFIFO2K.vhd when simulating -- the core, RxTstFIFO2K. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY RxTstFIFO2K IS PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END RxTstFIFO2K; ARCHITECTURE RxTstFIFO2K_a OF RxTstFIFO2K IS -- synthesis translate_off COMPONENT wrapped_RxTstFIFO2K PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_RxTstFIFO2K USE ENTITY XilinxCoreLib.fifo_generator_v9_3(behavioral) GENERIC MAP ( c_add_ngc_constraint => 0, c_application_type_axis => 0, c_application_type_rach => 0, c_application_type_rdch => 0, c_application_type_wach => 0, c_application_type_wdch => 0, c_application_type_wrch => 0, c_axi_addr_width => 32, c_axi_aruser_width => 1, c_axi_awuser_width => 1, c_axi_buser_width => 1, c_axi_data_width => 64, c_axi_id_width => 4, c_axi_ruser_width => 1, c_axi_type => 0, c_axi_wuser_width => 1, c_axis_tdata_width => 64, c_axis_tdest_width => 4, c_axis_tid_width => 8, c_axis_tkeep_width => 4, c_axis_tstrb_width => 4, c_axis_tuser_width => 4, c_axis_type => 0, c_common_clock => 0, c_count_type => 0, c_data_count_width => 11, c_default_value => "BlankString", c_din_width => 8, c_din_width_axis => 1, c_din_width_rach => 32, c_din_width_rdch => 64, c_din_width_wach => 32, c_din_width_wdch => 64, c_din_width_wrch => 2, c_dout_rst_val => "BB", c_dout_width => 16, c_enable_rlocs => 0, c_enable_rst_sync => 1, c_error_injection_type => 0, c_error_injection_type_axis => 0, c_error_injection_type_rach => 0, c_error_injection_type_rdch => 0, c_error_injection_type_wach => 0, c_error_injection_type_wdch => 0, c_error_injection_type_wrch => 0, c_family => "spartan6", c_full_flags_rst_val => 1, c_has_almost_empty => 0, c_has_almost_full => 0, c_has_axi_aruser => 0, c_has_axi_awuser => 0, c_has_axi_buser => 0, c_has_axi_rd_channel => 0, c_has_axi_ruser => 0, c_has_axi_wr_channel => 0, c_has_axi_wuser => 0, c_has_axis_tdata => 0, c_has_axis_tdest => 0, c_has_axis_tid => 0, c_has_axis_tkeep => 0, c_has_axis_tlast => 0, c_has_axis_tready => 1, c_has_axis_tstrb => 0, c_has_axis_tuser => 0, c_has_backup => 0, c_has_data_count => 0, c_has_data_counts_axis => 0, c_has_data_counts_rach => 0, c_has_data_counts_rdch => 0, c_has_data_counts_wach => 0, c_has_data_counts_wdch => 0, c_has_data_counts_wrch => 0, c_has_int_clk => 0, c_has_master_ce => 0, c_has_meminit_file => 0, c_has_overflow => 0, c_has_prog_flags_axis => 0, c_has_prog_flags_rach => 0, c_has_prog_flags_rdch => 0, c_has_prog_flags_wach => 0, c_has_prog_flags_wdch => 0, c_has_prog_flags_wrch => 0, c_has_rd_data_count => 0, c_has_rd_rst => 0, c_has_rst => 1, c_has_slave_ce => 0, c_has_srst => 0, c_has_underflow => 0, c_has_valid => 0, c_has_wr_ack => 0, c_has_wr_data_count => 0, c_has_wr_rst => 0, c_implementation_type => 2, c_implementation_type_axis => 11, c_implementation_type_rach => 12, c_implementation_type_rdch => 11, c_implementation_type_wach => 12, c_implementation_type_wdch => 11, c_implementation_type_wrch => 12, c_init_wr_pntr_val => 0, c_interface_type => 0, c_memory_type => 1, c_mif_file_name => "BlankString", c_msgon_val => 1, c_optimization_mode => 0, c_overflow_low => 0, c_preload_latency => 0, c_preload_regs => 1, c_prim_fifo_type => "2kx9", c_prog_empty_thresh_assert_val => 4, c_prog_empty_thresh_assert_val_axis => 1022, c_prog_empty_thresh_assert_val_rach => 1022, c_prog_empty_thresh_assert_val_rdch => 1022, c_prog_empty_thresh_assert_val_wach => 1022, c_prog_empty_thresh_assert_val_wdch => 1022, c_prog_empty_thresh_assert_val_wrch => 1022, c_prog_empty_thresh_negate_val => 5, c_prog_empty_type => 0, c_prog_empty_type_axis => 0, c_prog_empty_type_rach => 0, c_prog_empty_type_rdch => 0, c_prog_empty_type_wach => 0, c_prog_empty_type_wdch => 0, c_prog_empty_type_wrch => 0, c_prog_full_thresh_assert_val => 2047, c_prog_full_thresh_assert_val_axis => 1023, c_prog_full_thresh_assert_val_rach => 1023, c_prog_full_thresh_assert_val_rdch => 1023, c_prog_full_thresh_assert_val_wach => 1023, c_prog_full_thresh_assert_val_wdch => 1023, c_prog_full_thresh_assert_val_wrch => 1023, c_prog_full_thresh_negate_val => 2046, c_prog_full_type => 0, c_prog_full_type_axis => 0, c_prog_full_type_rach => 0, c_prog_full_type_rdch => 0, c_prog_full_type_wach => 0, c_prog_full_type_wdch => 0, c_prog_full_type_wrch => 0, c_rach_type => 0, c_rd_data_count_width => 10, c_rd_depth => 1024, c_rd_freq => 1, c_rd_pntr_width => 10, c_rdch_type => 0, c_reg_slice_mode_axis => 0, c_reg_slice_mode_rach => 0, c_reg_slice_mode_rdch => 0, c_reg_slice_mode_wach => 0, c_reg_slice_mode_wdch => 0, c_reg_slice_mode_wrch => 0, c_synchronizer_stage => 2, c_underflow_low => 0, c_use_common_overflow => 0, c_use_common_underflow => 0, c_use_default_settings => 0, c_use_dout_rst => 1, c_use_ecc => 0, c_use_ecc_axis => 0, c_use_ecc_rach => 0, c_use_ecc_rdch => 0, c_use_ecc_wach => 0, c_use_ecc_wdch => 0, c_use_ecc_wrch => 0, c_use_embedded_reg => 0, c_use_fifo16_flags => 0, c_use_fwft_data_count => 0, c_valid_low => 0, c_wach_type => 0, c_wdch_type => 0, c_wr_ack_low => 0, c_wr_data_count_width => 11, c_wr_depth => 2048, c_wr_depth_axis => 1024, c_wr_depth_rach => 16, c_wr_depth_rdch => 1024, c_wr_depth_wach => 16, c_wr_depth_wdch => 1024, c_wr_depth_wrch => 16, c_wr_freq => 1, c_wr_pntr_width => 11, c_wr_pntr_width_axis => 10, c_wr_pntr_width_rach => 4, c_wr_pntr_width_rdch => 10, c_wr_pntr_width_wach => 4, c_wr_pntr_width_wdch => 10, c_wr_pntr_width_wrch => 4, c_wr_response_latency => 1, c_wrch_type => 0 ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_RxTstFIFO2K PORT MAP ( rst => rst, wr_clk => wr_clk, rd_clk => rd_clk, din => din, wr_en => wr_en, rd_en => rd_en, dout => dout, full => full, empty => empty ); -- synthesis translate_on END RxTstFIFO2K_a;
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2014 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file RxTstFIFO2K.vhd when simulating -- the core, RxTstFIFO2K. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY RxTstFIFO2K IS PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END RxTstFIFO2K; ARCHITECTURE RxTstFIFO2K_a OF RxTstFIFO2K IS -- synthesis translate_off COMPONENT wrapped_RxTstFIFO2K PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_RxTstFIFO2K USE ENTITY XilinxCoreLib.fifo_generator_v9_3(behavioral) GENERIC MAP ( c_add_ngc_constraint => 0, c_application_type_axis => 0, c_application_type_rach => 0, c_application_type_rdch => 0, c_application_type_wach => 0, c_application_type_wdch => 0, c_application_type_wrch => 0, c_axi_addr_width => 32, c_axi_aruser_width => 1, c_axi_awuser_width => 1, c_axi_buser_width => 1, c_axi_data_width => 64, c_axi_id_width => 4, c_axi_ruser_width => 1, c_axi_type => 0, c_axi_wuser_width => 1, c_axis_tdata_width => 64, c_axis_tdest_width => 4, c_axis_tid_width => 8, c_axis_tkeep_width => 4, c_axis_tstrb_width => 4, c_axis_tuser_width => 4, c_axis_type => 0, c_common_clock => 0, c_count_type => 0, c_data_count_width => 11, c_default_value => "BlankString", c_din_width => 8, c_din_width_axis => 1, c_din_width_rach => 32, c_din_width_rdch => 64, c_din_width_wach => 32, c_din_width_wdch => 64, c_din_width_wrch => 2, c_dout_rst_val => "BB", c_dout_width => 16, c_enable_rlocs => 0, c_enable_rst_sync => 1, c_error_injection_type => 0, c_error_injection_type_axis => 0, c_error_injection_type_rach => 0, c_error_injection_type_rdch => 0, c_error_injection_type_wach => 0, c_error_injection_type_wdch => 0, c_error_injection_type_wrch => 0, c_family => "spartan6", c_full_flags_rst_val => 1, c_has_almost_empty => 0, c_has_almost_full => 0, c_has_axi_aruser => 0, c_has_axi_awuser => 0, c_has_axi_buser => 0, c_has_axi_rd_channel => 0, c_has_axi_ruser => 0, c_has_axi_wr_channel => 0, c_has_axi_wuser => 0, c_has_axis_tdata => 0, c_has_axis_tdest => 0, c_has_axis_tid => 0, c_has_axis_tkeep => 0, c_has_axis_tlast => 0, c_has_axis_tready => 1, c_has_axis_tstrb => 0, c_has_axis_tuser => 0, c_has_backup => 0, c_has_data_count => 0, c_has_data_counts_axis => 0, c_has_data_counts_rach => 0, c_has_data_counts_rdch => 0, c_has_data_counts_wach => 0, c_has_data_counts_wdch => 0, c_has_data_counts_wrch => 0, c_has_int_clk => 0, c_has_master_ce => 0, c_has_meminit_file => 0, c_has_overflow => 0, c_has_prog_flags_axis => 0, c_has_prog_flags_rach => 0, c_has_prog_flags_rdch => 0, c_has_prog_flags_wach => 0, c_has_prog_flags_wdch => 0, c_has_prog_flags_wrch => 0, c_has_rd_data_count => 0, c_has_rd_rst => 0, c_has_rst => 1, c_has_slave_ce => 0, c_has_srst => 0, c_has_underflow => 0, c_has_valid => 0, c_has_wr_ack => 0, c_has_wr_data_count => 0, c_has_wr_rst => 0, c_implementation_type => 2, c_implementation_type_axis => 11, c_implementation_type_rach => 12, c_implementation_type_rdch => 11, c_implementation_type_wach => 12, c_implementation_type_wdch => 11, c_implementation_type_wrch => 12, c_init_wr_pntr_val => 0, c_interface_type => 0, c_memory_type => 1, c_mif_file_name => "BlankString", c_msgon_val => 1, c_optimization_mode => 0, c_overflow_low => 0, c_preload_latency => 0, c_preload_regs => 1, c_prim_fifo_type => "2kx9", c_prog_empty_thresh_assert_val => 4, c_prog_empty_thresh_assert_val_axis => 1022, c_prog_empty_thresh_assert_val_rach => 1022, c_prog_empty_thresh_assert_val_rdch => 1022, c_prog_empty_thresh_assert_val_wach => 1022, c_prog_empty_thresh_assert_val_wdch => 1022, c_prog_empty_thresh_assert_val_wrch => 1022, c_prog_empty_thresh_negate_val => 5, c_prog_empty_type => 0, c_prog_empty_type_axis => 0, c_prog_empty_type_rach => 0, c_prog_empty_type_rdch => 0, c_prog_empty_type_wach => 0, c_prog_empty_type_wdch => 0, c_prog_empty_type_wrch => 0, c_prog_full_thresh_assert_val => 2047, c_prog_full_thresh_assert_val_axis => 1023, c_prog_full_thresh_assert_val_rach => 1023, c_prog_full_thresh_assert_val_rdch => 1023, c_prog_full_thresh_assert_val_wach => 1023, c_prog_full_thresh_assert_val_wdch => 1023, c_prog_full_thresh_assert_val_wrch => 1023, c_prog_full_thresh_negate_val => 2046, c_prog_full_type => 0, c_prog_full_type_axis => 0, c_prog_full_type_rach => 0, c_prog_full_type_rdch => 0, c_prog_full_type_wach => 0, c_prog_full_type_wdch => 0, c_prog_full_type_wrch => 0, c_rach_type => 0, c_rd_data_count_width => 10, c_rd_depth => 1024, c_rd_freq => 1, c_rd_pntr_width => 10, c_rdch_type => 0, c_reg_slice_mode_axis => 0, c_reg_slice_mode_rach => 0, c_reg_slice_mode_rdch => 0, c_reg_slice_mode_wach => 0, c_reg_slice_mode_wdch => 0, c_reg_slice_mode_wrch => 0, c_synchronizer_stage => 2, c_underflow_low => 0, c_use_common_overflow => 0, c_use_common_underflow => 0, c_use_default_settings => 0, c_use_dout_rst => 1, c_use_ecc => 0, c_use_ecc_axis => 0, c_use_ecc_rach => 0, c_use_ecc_rdch => 0, c_use_ecc_wach => 0, c_use_ecc_wdch => 0, c_use_ecc_wrch => 0, c_use_embedded_reg => 0, c_use_fifo16_flags => 0, c_use_fwft_data_count => 0, c_valid_low => 0, c_wach_type => 0, c_wdch_type => 0, c_wr_ack_low => 0, c_wr_data_count_width => 11, c_wr_depth => 2048, c_wr_depth_axis => 1024, c_wr_depth_rach => 16, c_wr_depth_rdch => 1024, c_wr_depth_wach => 16, c_wr_depth_wdch => 1024, c_wr_depth_wrch => 16, c_wr_freq => 1, c_wr_pntr_width => 11, c_wr_pntr_width_axis => 10, c_wr_pntr_width_rach => 4, c_wr_pntr_width_rdch => 10, c_wr_pntr_width_wach => 4, c_wr_pntr_width_wdch => 10, c_wr_pntr_width_wrch => 4, c_wr_response_latency => 1, c_wrch_type => 0 ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_RxTstFIFO2K PORT MAP ( rst => rst, wr_clk => wr_clk, rd_clk => rd_clk, din => din, wr_en => wr_en, rd_en => rd_en, dout => dout, full => full, empty => empty ); -- synthesis translate_on END RxTstFIFO2K_a;
------------------------------------------------------------------------------ -- LEON3 Demonstration design -- Copyright (C) 2006 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; use techmap.allclkgen.all; library gaisler; use gaisler.memctrl.all; use gaisler.ddrpkg.all; use gaisler.leon3.all; use gaisler.uart.all; use gaisler.misc.all; use gaisler.spi.all; use gaisler.net.all; use gaisler.jtag.all; library esa; use esa.memoryctrl.all; use work.config.all; entity leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW ); port ( reset : in std_ulogic; reset_o1 : out std_ulogic; reset_o2 : out std_ulogic; clk_in : in std_ulogic; clk_vga : in std_ulogic; errorn : out std_ulogic; -- PROM interface address : out std_logic_vector(23 downto 0); data : inout std_logic_vector(7 downto 0); romsn : out std_ulogic; oen : out std_ulogic; writen : out std_ulogic; -- pragma translate_off iosn : out std_ulogic; testdata : inout std_logic_vector(23 downto 0); -- pragma translate_on -- DDR2 memory ddr_clk : out std_logic_vector(1 downto 0); ddr_clkb : out std_logic_vector(1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic; ddr_csb : out std_logic; ddr_we : out std_ulogic; -- write enable ddr_ras : out std_ulogic; -- ras ddr_cas : out std_ulogic; -- cas ddr_dm : out std_logic_vector(3 downto 0); -- dm ddr_dqs : inout std_logic_vector(3 downto 0); -- dqs ddr_dqsn : inout std_logic_vector(3 downto 0); -- dqsn ddr_ad : out std_logic_vector(12 downto 0); -- address ddr_ba : out std_logic_vector(1 downto 0); -- bank address ddr_dq : inout std_logic_vector(31 downto 0); -- data ddr_odt : out std_logic; -- Debug support unit dsubre : in std_ulogic; -- Debug Unit break (connect to button) -- AHB Uart dsurx : in std_ulogic; dsutx : out std_ulogic; -- Ethernet signals etx_clk : in std_ulogic; erx_clk : in std_ulogic; erxd : in std_logic_vector(3 downto 0); erx_dv : in std_ulogic; erx_er : in std_ulogic; erx_col : in std_ulogic; erx_crs : in std_ulogic; etxd : out std_logic_vector(3 downto 0); etx_en : out std_ulogic; etx_er : out std_ulogic; emdc : out std_ulogic; emdio : inout std_logic; -- SVGA vid_hsync : out std_logic; vid_vsync : out std_logic; vid_r : out std_logic_vector(3 downto 0); vid_g : out std_logic_vector(3 downto 0); vid_b : out std_logic_vector(3 downto 0); -- SPI flash spi_sel_n : inout std_ulogic; spi_clk : out std_ulogic; spi_mosi : out std_ulogic; -- Output signals to LEDs led : out std_logic_vector(2 downto 0) ); end; architecture rtl of leon3mp is signal vcc : std_logic; signal gnd : std_logic; signal memi : memory_in_type; signal memo : memory_out_type; signal wpo : wprot_out_type; signal gpioi : gpio_in_type; signal gpioo : gpio_out_type; signal apbi : apb_slv_in_type; signal apbo : apb_slv_out_vector := (others => apb_none); signal ahbsi : ahb_slv_in_type; signal ahbso : ahb_slv_out_vector := (others => ahbs_none); signal ahbmi : ahb_mst_in_type; signal ahbmo : ahb_mst_out_vector := (others => ahbm_none); signal cgi : clkgen_in_type; signal cgo : clkgen_out_type; signal u1i, dui : uart_in_type; signal u1o, duo : uart_out_type; signal irqi : irq_in_vector(0 to CFG_NCPU-1); signal irqo : irq_out_vector(0 to CFG_NCPU-1); signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1); signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1); signal dsui : dsu_in_type; signal dsuo : dsu_out_type; signal ethi : eth_in_type; signal etho : eth_out_type; signal gpti : gptimer_in_type; signal vgao : apbvga_out_type; signal spii : spi_in_type; signal spio : spi_out_type; signal slvsel : std_logic_vector(CFG_SPICTRL_SLVS-1 downto 0); signal spmi : spimctrl_in_type; signal spmo : spimctrl_out_type; signal lclk : std_ulogic; signal lclk_vga : std_ulogic; signal clkm, rstn, clkml : std_ulogic; signal tck, tms, tdi, tdo : std_ulogic; signal rstraw : std_logic; signal lock : std_logic; -- RS232 APB Uart signal rxd1 : std_logic; signal txd1 : std_logic; -- Used for connecting input/output signals to the DDR2 controller signal core_ddr_clk : std_logic_vector(2 downto 0); signal core_ddr_clkb : std_logic_vector(2 downto 0); signal core_ddr_cke : std_logic_vector(1 downto 0); signal core_ddr_csb : std_logic_vector(1 downto 0); signal core_ddr_ad : std_logic_vector(13 downto 0); signal core_ddr_odt : std_logic_vector(1 downto 0); attribute keep : boolean; attribute syn_keep : boolean; attribute syn_preserve : boolean; attribute syn_keep of lock : signal is true; attribute syn_keep of clkml : signal is true; attribute syn_keep of clkm : signal is true; attribute syn_preserve of clkml : signal is true; attribute syn_preserve of clkm : signal is true; attribute syn_keep of lclk_vga : signal is true; attribute syn_preserve of lclk_vga : signal is true; attribute keep of lock : signal is true; attribute keep of clkml : signal is true; attribute keep of clkm : signal is true; constant BOARD_FREQ : integer := 125000; -- input frequency in KHz constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz begin ---------------------------------------------------------------------- --- Reset and Clock generation ------------------------------------- ---------------------------------------------------------------------- vcc <= '1'; gnd <= '0'; cgi.pllctrl <= "00"; cgi.pllrst <= rstraw; -- Glitch free reset that can be used for the Eth Phy and flash memory reset_o1 <= rstn; reset_o2 <= rstn; rst0 : rstgen generic map (acthigh => 1) port map (reset, clkm, lock, rstn, rstraw); clk_pad : clkpad generic map (tech => padtech) port map (clk_in, lclk); -- clock generator clkgen0 : clkgen generic map (fabtech, CFG_CLKMUL, CFG_CLKDIV, 0, 0, 0, 0, 0, BOARD_FREQ, 0) port map (lclk, gnd, clkm, open, open, open, open, cgi, cgo, open, open, open); ---------------------------------------------------------------------- --- AHB CONTROLLER -------------------------------------------------- ---------------------------------------------------------------------- ahb0 : ahbctrl generic map (defmast => CFG_DEFMST, split => CFG_SPLIT, rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => 1, nahbm => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH+CFG_SVGA_ENABLE, nahbs => 8) port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso); ---------------------------------------------------------------------- --- LEON3 processor and DSU ----------------------------------------- ---------------------------------------------------------------------- -- LEON3 processor leon3gen : if CFG_LEON3 = 1 generate cpu : for i in 0 to CFG_NCPU-1 generate u0 : leon3s generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1, CFG_DFIXED, CFG_SCAN, CFG_MMU_PAGE, CFG_BP, CFG_NP_ASI, CFG_WRPSR) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i)); end generate; error_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error); -- LEON3 Debug Support Unit dsugen : if CFG_DSU = 1 generate dsu0 : dsu3 generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#, ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ) port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo); dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break); dsui.enable <= '1'; led(2) <= dsuo.active; end generate; end generate; nodsu : if CFG_DSU = 0 generate ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0'; end generate; -- Debug UART dcomgen : if CFG_AHB_UART = 1 generate dcom0 : ahbuart generic map (hindex => CFG_NCPU, pindex => 4, paddr => 7) port map (rstn, clkm, dui, duo, apbi, apbo(4), ahbmi, ahbmo(CFG_NCPU)); dsurx_pad : inpad generic map (tech => padtech) port map (dsurx, dui.rxd); dsutx_pad : outpad generic map (tech => padtech) port map (dsutx, duo.txd); led(0) <= not dui.rxd; led(1) <= not duo.txd; end generate; nouah : if CFG_AHB_UART = 0 generate apbo(4) <= apb_none; end generate; ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART) port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART), open, open, open, open, open, open, open, gnd); end generate; ---------------------------------------------------------------------- --- Memory controllers ---------------------------------------------- ---------------------------------------------------------------------- mg2 : if CFG_MCTRL_LEON2 = 1 generate -- LEON2 memory controller sr1 : mctrl generic map (hindex => 5, pindex => 0, paddr => 0, ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT, rammask => 0) port map (rstn, clkm, memi, memo, ahbsi, ahbso(5), apbi, apbo(0), wpo, open); end generate; memi.brdyn <= '1'; memi.bexcn <= '1'; memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "00"; mg0 : if (CFG_MCTRL_LEON2 = 0) generate apbo(0) <= apb_none; ahbso(5) <= ahbs_none; roms_pad : outpad generic map (tech => padtech) port map (romsn, vcc); memo.bdrive(0) <= '1'; end generate; mgpads : if (CFG_MCTRL_LEON2 /= 0) generate addr_pad : outpadv generic map (tech => padtech, width => 24) port map (address, memo.address(23 downto 0)); roms_pad : outpad generic map (tech => padtech) port map (romsn, memo.romsn(0)); oen_pad : outpad generic map (tech => padtech) port map (oen, memo.oen); wri_pad : outpad generic map (tech => padtech) port map (writen, memo.writen); -- pragma translate_off iosn_pad : outpad generic map (tech => padtech) port map (iosn, memo.iosn); tbdr : iopadv generic map (tech => padtech, width => 24) port map (testdata(23 downto 0), memo.data(23 downto 0), memo.bdrive(1), memi.data(23 downto 0)); -- pragma translate_on end generate; bdr : iopadv generic map (tech => padtech, width => 8) port map (data(7 downto 0), memo.data(31 downto 24), memo.bdrive(0), memi.data(31 downto 24)); ---------------------------------------------------------------------- --- DDR2 memory controller ------------------------------------------ ---------------------------------------------------------------------- ddr2sp0 : if (CFG_DDR2SP /= 0) generate ddrc0 : ddr2spa generic map ( fabtech => spartan3, memtech => memtech, hindex => 4, haddr => 16#400#, hmask => 16#F00#, ioaddr => 1, pwron => CFG_DDR2SP_INIT, MHz => BOARD_FREQ/1000, clkmul => 2, clkdiv => 2, TRFC => CFG_DDR2SP_TRFC, -- readdly must be 0 for simulation, but 1 for hardware --pragma translate_off readdly => 0, --pragma translate_on ahbfreq => CPU_FREQ/1000, col => CFG_DDR2SP_COL, Mbyte => CFG_DDR2SP_SIZE, ddrbits => CFG_DDR2SP_DATAWIDTH, odten => 0) port map ( cgo.clklock, rstn, lclk, clkm, vcc, lock, clkml, clkml, ahbsi, ahbso(4), core_ddr_clk, core_ddr_clkb, ddr_clk_fb_out, ddr_clk_fb, core_ddr_cke, core_ddr_csb, ddr_we, ddr_ras, ddr_cas, ddr_dm, ddr_dqs, ddr_dqsn, core_ddr_ad, ddr_ba, ddr_dq, core_ddr_odt); ddr_clk(1 downto 0) <= core_ddr_clk(1 downto 0); ddr_clkb(1 downto 0) <= core_ddr_clkb(1 downto 0); ddr_cke <= core_ddr_cke(0); ddr_csb <= core_ddr_csb(0); ddr_ad <= core_ddr_ad(12 downto 0); ddr_odt <= core_ddr_odt(0); end generate; noddr : if (CFG_DDR2SP = 0) generate lock <= '1'; end generate; ---------------------------------------------------------------------- --- SPI Memory Controller-------------------------------------------- ---------------------------------------------------------------------- spimc: if CFG_SPICTRL_ENABLE = 0 and CFG_SPIMCTRL = 1 generate spimctrl0 : spimctrl -- SPI Memory Controller generic map (hindex => 7, hirq => 11, faddr => 16#e00#, fmask => 16#ff8#, ioaddr => 16#002#, iomask => 16#fff#, spliten => CFG_SPLIT, oepol => 0, sdcard => CFG_SPIMCTRL_SDCARD, readcmd => CFG_SPIMCTRL_READCMD, dummybyte => CFG_SPIMCTRL_DUMMYBYTE, dualoutput => CFG_SPIMCTRL_DUALOUTPUT, scaler => CFG_SPIMCTRL_SCALER, altscaler => CFG_SPIMCTRL_ASCALER, pwrupcnt => CFG_SPIMCTRL_PWRUPCNT) port map (rstn, clkm, ahbsi, ahbso(7), spmi, spmo); -- MISO is shared with Flash data 0 spmi.miso <= memi.data(24); mosi_pad : outpad generic map (tech => padtech) port map (spi_mosi, spmo.mosi); sck_pad : outpad generic map (tech => padtech) port map (spi_clk, spmo.sck); slvsel0_pad : odpad generic map (tech => padtech) port map (spi_sel_n, spmo.csn); end generate; ---------------------------------------------------------------------- --- APB Bridge and various periherals ------------------------------- ---------------------------------------------------------------------- -- APB Bridge apb0 : apbctrl generic map (hindex => 1, haddr => CFG_APBADDR) port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo); -- Interrupt controller irqctrl : if CFG_IRQ3_ENABLE /= 0 generate irqctrl0 : irqmp generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU) port map (rstn, clkm, apbi, apbo(2), irqo, irqi); end generate; irq3 : if CFG_IRQ3_ENABLE = 0 generate x : for i in 0 to CFG_NCPU-1 generate irqi(i).irl <= "0000"; end generate; apbo(2) <= apb_none; end generate; -- Time Unit gpt : if CFG_GPT_ENABLE /= 0 generate timer0 : gptimer generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ, sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM, nbits => CFG_GPT_TW) port map (rstn, clkm, apbi, apbo(3), gpti, open); gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0'; end generate; notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate; -- GPIO Unit gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate grgpio0: grgpio generic map(pindex => 11, paddr => 11, imask => CFG_GRGPIO_IMASK, nbits => 12) port map(rstn, clkm, apbi, apbo(11), gpioi, gpioo); end generate; ua1 : if CFG_UART1_ENABLE /= 0 generate uart1 : apbuart -- UART 1 generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart, fifosize => CFG_UART1_FIFO) port map (rstn, clkm, apbi, apbo(1), u1i, u1o); u1i.rxd <= rxd1; u1i.ctsn <= '0'; u1i.extclk <= '0'; txd1 <= u1o.txd; serrx_pad : inpad generic map (tech => padtech) port map (dsurx, rxd1); sertx_pad : outpad generic map (tech => padtech) port map (dsutx, txd1); led(0) <= not rxd1; led(1) <= not txd1; end generate; noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate; -- There is no PS/2 port apbo(5) <= apb_none; spic: if CFG_SPICTRL_ENABLE = 1 generate -- SPI controller spi1 : spictrl generic map (pindex => 7, paddr => 7, pmask => 16#fff#, pirq => 11, fdepth => CFG_SPICTRL_FIFO, slvselen => CFG_SPICTRL_SLVREG, slvselsz => CFG_SPICTRL_SLVS, odmode => 0, netlist => 0, syncram => CFG_SPICTRL_SYNCRAM, ft => CFG_SPICTRL_FT) port map (rstn, clkm, apbi, apbo(7), spii, spio, slvsel); spii.spisel <= '1'; -- Master only -- MISO is shared with Flash data 0 spii.miso <= memi.data(24); mosi_pad : outpad generic map (tech => padtech) port map (spi_mosi, spio.mosi); sck_pad : outpad generic map (tech => padtech) port map (spi_clk, spio.sck); slvsel_pad : odpad generic map (tech => padtech) port map (spi_sel_n, slvsel(0)); end generate spic; nospi: if CFG_SPICTRL_ENABLE = 0 and CFG_SPIMCTRL = 0 generate apbo(7) <= apb_none; mosi_pad : outpad generic map (tech => padtech) port map (spi_mosi, gnd); sck_pad : outpad generic map (tech => padtech) port map (spi_clk, gnd); slvsel_pad : odpad generic map (tech => padtech) port map (spi_sel_n, vcc); end generate; ----------------------------------------------------------------------- --- SVGA ------------------------------------------------------------- ----------------------------------------------------------------------- svga : if CFG_SVGA_ENABLE /= 0 generate clk_vga_pad : clkpad generic map (tech => padtech) port map (clk_vga, lclk_vga); svga0 : svgactrl generic map(memtech => memtech, pindex => 6, paddr => 6, hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG, clk0 => 40000,clk1 => 0, clk2 => 0, burstlen => 5) port map(rstn, clkm, lclk_vga, apbi, apbo(6), vgao, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), open); vert_sync_pad : outpad generic map (tech => padtech) port map (vid_vsync, vgao.vsync); horiz_sync_pad : outpad generic map (tech => padtech) port map (vid_hsync, vgao.hsync); video_out_r_pad : outpadv generic map (tech => padtech, width => 4) port map (vid_r, vgao.video_out_r(7 downto 4)); video_out_g_pad : outpadv generic map (tech => padtech, width => 4) port map (vid_g, vgao.video_out_g(7 downto 4)); video_out_b_pad : outpadv generic map (tech => padtech, width => 4) port map (vid_b, vgao.video_out_b(7 downto 4)); end generate; ----------------------------------------------------------------------- --- ETHERNET --------------------------------------------------------- ----------------------------------------------------------------------- eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC e1 : grethm generic map(hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE, pindex => 15, paddr => 15, pirq => 12, memtech => memtech, mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO, nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF, macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, phyrstadr => 1, ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL, giga => CFG_GRETH1G) port map(rst => rstn, clk => clkm, ahbmi => ahbmi, ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE), apbi => apbi, apbo => apbo(15), ethi => ethi, etho => etho); end generate; ethpads : if (CFG_GRETH = 1) generate -- eth pads emdio_pad : iopad generic map (tech => padtech) port map (emdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i); etxc_pad : clkpad generic map (tech => padtech, arch => 2) port map (etx_clk, ethi.tx_clk); erxc_pad : clkpad generic map (tech => padtech, arch => 2) port map (erx_clk, ethi.rx_clk); erxd_pad : inpadv generic map (tech => padtech, width => 4) port map (erxd, ethi.rxd(3 downto 0)); erxdv_pad : inpad generic map (tech => padtech) port map (erx_dv, ethi.rx_dv); erxer_pad : inpad generic map (tech => padtech) port map (erx_er, ethi.rx_er); erxco_pad : inpad generic map (tech => padtech) port map (erx_col, ethi.rx_col); erxcr_pad : inpad generic map (tech => padtech) port map (erx_crs, ethi.rx_crs); etxd_pad : outpadv generic map (tech => padtech, width => 4) port map (etxd, etho.txd(3 downto 0)); etxen_pad : outpad generic map (tech => padtech) port map (etx_en, etho.tx_en); etxer_pad : outpad generic map (tech => padtech) port map (etx_er, etho.tx_er); emdc_pad : outpad generic map (tech => padtech) port map (emdc, etho.mdc); end generate; ----------------------------------------------------------------------- --- AHB ROM ---------------------------------------------------------- ----------------------------------------------------------------------- bpromgen : if CFG_AHBROMEN /= 0 generate brom : entity work.ahbrom generic map (hindex => 6, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP) port map ( rstn, clkm, ahbsi, ahbso(6)); end generate; nobpromgen : if CFG_AHBROMEN = 0 generate ahbso(6) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- AHB RAM ---------------------------------------------------------- ----------------------------------------------------------------------- ahbramgen : if CFG_AHBRAMEN = 1 generate ahbram0 : ahbram generic map (hindex => 3, haddr => CFG_AHBRADDR, tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ, pipe => CFG_AHBRPIPE) port map (rstn, clkm, ahbsi, ahbso(3)); end generate; nram : if CFG_AHBRAMEN = 0 generate ahbso(3) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- Drive unused bus elements --------------------------------------- ----------------------------------------------------------------------- nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH+CFG_SVGA_ENABLE+1) to NAHBMST-1 generate ahbmo(i) <= ahbm_none; end generate; ----------------------------------------------------------------------- --- Boot message ---------------------------------------------------- ----------------------------------------------------------------------- -- pragma translate_off x : report_design generic map ( msg1 => "LEON3 Demonstration design for Xilinx Spartan3A DSP 1800A board", fabtech => tech_table(fabtech), memtech => tech_table(memtech), mdel => 1 ); -- pragma translate_on end rtl;
------------------------------------------------------------------ -- _____ -- / \ -- /____ \____ -- / \===\ \==/ -- /___\===\___\/ AVNET -- \======/ -- \====/ ----------------------------------------------------------------- -- -- This design is the property of Avnet. Publication of this -- design is not authorized without written consent from Avnet. -- -- Please direct any questions to: [email protected] -- -- Disclaimer: -- Avnet, Inc. makes no warranty for the use of this code or design. -- This code is provided "As Is". Avnet, Inc assumes no responsibility for -- any errors, which may appear in this code, nor does it make a commitment -- to update the information contained herein. Avnet, Inc specifically -- disclaims any implied warranties of fitness for a particular purpose. -- Copyright(c) 2011 Avnet, Inc. -- All rights reserved. -- ------------------------------------------------------------------ -- -- Create Date: Sep 15, 2011 -- Design Name: FMC-IMAGEON -- Module Name: fmc_imageon_vita_core.vhd -- Project Name: FMC-IMAGEON -- Target Devices: Virtex-6 -- Kintex-7, Zynq -- Avnet Boards: FMC-IMAGEON -- -- Tool versions: ISE 14.1 -- -- Description: FMC-IMAGEON VITA receiver - Core Logic. -- -- Dependencies: -- -- Revision: Sep 15, 2011: 1.00 Initial version: -- - VITA SPI controller -- Sep 22, 2011: 1.01 Added: -- - ISERDES interface -- Sep 28, 2011: 1.02 Added: -- - sync channel decoder -- - crc checker -- - data remapper -- Oct 20, 2011: 1.03 Modify: -- - iserdes (use BUFR) -- Oct 21, 2011: 1.04 Added: -- - fpn prnu correction -- Nov 03, 2011: 1.05 Added: -- - trigger generator -- Dec 19, 2011: 1.06 Modified: -- - port to Kintex-7 -- Jan 12, 2012: 1.07 Added: -- - new fsync output port -- Modify: -- - syncgen -- Feb 06, 2012: 1.08 Modify: -- - triggergenerator -- (new version with debounce logic) -- - new C_XSVI_DIRECT_OUTPUT option -- Feb 22, 2012: 1.09 Modified -- - port to Zynq -- - new C_XSVI_USE_SYNCGEN option -- May 28, 2012: 1.11 Added: -- - host_triggen_cnt_update -- (for simultaneous update of high/low values) -- - host_triggen_gen_polarity -- Jun 01, 2012: 1.12 Modify: -- - Move syncgen after demux_fifo -- - Increase size of demux_fifo -- (to tolerate jitter in video timing from sensor) -- - Add programmable delay on framestart for syncgen -- Jul 31, 2012: 1.13 Modify: -- - define clk200, clk, clk4x with SIGIS = CLK -- - define reset with SIGIS = RST -- - port to Spartan-6 -- ------------------------------------------------------------------ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. library UNISIM; use UNISIM.VComponents.all; entity fmc_imageon_vita_core is Generic ( C_XSVI_DATA_WIDTH : integer := 10; C_XSVI_DIRECT_OUTPUT : integer := 0; C_XSVI_USE_SYNCGEN : integer := 1; C_FAMILY : string := "virtex6" ); Port ( clk200 : in std_logic; clk : in std_logic; clk4x : in std_logic; reset : in std_logic; oe : in std_logic; -- HOST Interface - VITA host_vita_reset : in std_logic; -- HOST Interface - SPI host_spi_clk : in std_logic; host_spi_reset : in std_logic; host_spi_timing : in std_logic_vector(15 downto 0); host_spi_status_busy : out std_logic; host_spi_status_error : out std_logic; host_spi_txfifo_clk : in std_logic; host_spi_txfifo_wen : in std_logic; host_spi_txfifo_din : in std_logic_vector(31 downto 0); host_spi_txfifo_full : out std_logic; host_spi_rxfifo_clk : in std_logic; host_spi_rxfifo_ren : in std_logic; host_spi_rxfifo_dout : out std_logic_vector(31 downto 0); host_spi_rxfifo_empty : out std_logic; -- HOST Interface - ISERDES host_iserdes_reset : in std_logic; host_iserdes_auto_align : in std_logic; host_iserdes_align_start : in std_logic; host_iserdes_fifo_enable : in std_logic; host_iserdes_manual_tap : in std_logic_vector(9 downto 0); host_iserdes_training : in std_logic_vector(9 downto 0); host_iserdes_clk_ready : out std_logic; host_iserdes_clk_status : out std_logic_vector(15 downto 0); host_iserdes_align_busy : out std_logic; host_iserdes_aligned : out std_logic; -- HOST Interface - Sync Channel Decoder host_decoder_reset : in std_logic; host_decoder_enable : in std_logic; host_decoder_startoddeven : in std_logic_vector(31 downto 0); host_decoder_code_ls : in std_logic_vector(9 downto 0); host_decoder_code_le : in std_logic_vector(9 downto 0); host_decoder_code_fs : in std_logic_vector(9 downto 0); host_decoder_code_fe : in std_logic_vector(9 downto 0); host_decoder_code_bl : in std_logic_vector(9 downto 0); host_decoder_code_img : in std_logic_vector(9 downto 0); host_decoder_code_tr : in std_logic_vector(9 downto 0); host_decoder_code_crc : in std_logic_vector(9 downto 0); host_decoder_frame_start : out std_logic; host_decoder_cnt_black_lines : out std_logic_vector(31 downto 0); host_decoder_cnt_image_lines : out std_logic_vector(31 downto 0); host_decoder_cnt_black_pixels : out std_logic_vector(31 downto 0); host_decoder_cnt_image_pixels : out std_logic_vector(31 downto 0); host_decoder_cnt_frames : out std_logic_vector(31 downto 0); host_decoder_cnt_windows : out std_logic_vector(31 downto 0); host_decoder_cnt_clocks : out std_logic_vector(31 downto 0); host_decoder_cnt_start_lines : out std_logic_vector(31 downto 0); host_decoder_cnt_end_lines : out std_logic_vector(31 downto 0); host_decoder_cnt_monitor0high : out std_logic_vector(31 downto 0); host_decoder_cnt_monitor0low : out std_logic_vector(31 downto 0); host_decoder_cnt_monitor1high : out std_logic_vector(31 downto 0); host_decoder_cnt_monitor1low : out std_logic_vector(31 downto 0); -- HOST Interface - CRC Checker host_crc_reset : in std_logic; host_crc_initvalue : in std_logic; host_crc_status : out std_logic_vector(31 downto 0); -- HOST Interface - Data Channel Remapper host_remapper_write_cfg : in std_logic_vector(2 downto 0); host_remapper_mode : in std_logic_vector(2 downto 0); -- HOST Interface - Trigger Generator host_triggen_enable : in std_logic_vector(2 downto 0); host_triggen_sync2readout : in std_logic_vector(2 downto 0); host_triggen_readouttrigger : in std_logic; host_triggen_default_freq : in std_logic_vector(31 downto 0); host_triggen_cnt_trigger0high : in std_logic_vector(31 downto 0); host_triggen_cnt_trigger0low : in std_logic_vector(31 downto 0); host_triggen_cnt_trigger1high : in std_logic_vector(31 downto 0); host_triggen_cnt_trigger1low : in std_logic_vector(31 downto 0); host_triggen_cnt_trigger2high : in std_logic_vector(31 downto 0); host_triggen_cnt_trigger2low : in std_logic_vector(31 downto 0); host_triggen_ext_debounce : in std_logic_vector(31 downto 0); host_triggen_ext_polarity : in std_logic; host_triggen_gen_polarity : in std_logic_vector(2 downto 0); -- HOST Interface - FPN/PRNU Correction host_fpn_prnu_values : in std_logic_vector((16*16)-1 downto 0); -- HOST Interface - Sync Generator host_syncgen_delay : in std_logic_vector(15 downto 0); host_syncgen_hactive : in std_logic_vector(15 downto 0); host_syncgen_hfporch : in std_logic_vector(15 downto 0); host_syncgen_hsync : in std_logic_vector(15 downto 0); host_syncgen_hbporch : in std_logic_vector(15 downto 0); host_syncgen_vactive : in std_logic_vector(15 downto 0); host_syncgen_vfporch : in std_logic_vector(15 downto 0); host_syncgen_vsync : in std_logic_vector(15 downto 0); host_syncgen_vbporch : in std_logic_vector(15 downto 0); -- I/O pins io_vita_clk_pll : out std_logic; io_vita_reset_n : out std_logic; io_vita_trigger : out std_logic_vector(2 downto 0); io_vita_monitor : in std_logic_vector(1 downto 0); io_vita_spi_sclk : out std_logic; io_vita_spi_ssel_n : out std_logic; io_vita_spi_mosi : out std_logic; io_vita_spi_miso : in std_logic; io_vita_clk_out_p : in std_logic; io_vita_clk_out_n : in std_logic; io_vita_sync_p : in std_logic; io_vita_sync_n : in std_logic; io_vita_data_p : in std_logic_vector(7 downto 0); io_vita_data_n : in std_logic_vector(7 downto 0); -- Trigger Port trigger1 : in std_logic; -- Frame Sync Port fsync : out std_logic; -- XSVI Port xsvi_vsync_o : out std_logic; xsvi_hsync_o : out std_logic; xsvi_vblank_o : out std_logic; xsvi_hblank_o : out std_logic; xsvi_active_video_o : out std_logic; xsvi_video_data_o : out std_logic_vector((C_XSVI_DATA_WIDTH-1) downto 0); -- Debug Ports debug_spi_o : out std_logic_vector( 95 downto 0); debug_iserdes_o : out std_logic_vector(229 downto 0); debug_decoder_o : out std_logic_vector(186 downto 0); debug_crc_o : out std_logic_vector( 87 downto 0); debug_triggen_o : out std_logic_vector( 9 downto 0); debug_video_o : out std_logic_vector( 31 downto 0) ); end fmc_imageon_vita_core; architecture rtl of fmc_imageon_vita_core is signal host_iserdes_reset_n : std_logic; -- -- VITA SPI Controller -- component spi_top is generic ( gSIMULATION : integer := 0; gSysClkSpeed : integer := 50; --LowLevel SPI settings gSpiClkSpeed : integer := 1000; -- SPI Clock Speed in kHz gUseFixedSpeed : integer := 1; -- 0: use timing input -- 1: use SysClkSpeed/SpiClkSpeed generics gDATA_WIDTH : integer := 26; gTxMSB_FIRST : integer := 1; gRxMSB_FIRST : integer := 1; gSCLK_POLARITY : std_logic := '0'; --'0': idle low, '1': idle high gCS_POLARITY : std_logic := '1'; --'0': active high, '1': active low gEN_POLARITY : std_logic := '0'; --'0': normal, '1': invert gMOSI_POLARITY : std_logic := '0'; --'0': normal, '1': invert gMISO_POLARITY : std_logic := '0'; --'0': normal, '1': invert gMISO_SAMPLE : std_logic := '1'; --'0': sample on rising edge --'1': sample on falling edge gMOSI_CLK : std_logic := '0'; --'0': clock out on rising edge --'1': clock out on falling edge --Seq SPI settings gSyncTriggerWidth : integer; -- min 1, max 15 gRWbitposition : integer := 0 --seen from LSB ); Port ( CLOCK : in std_logic; RESET : in std_logic; TIMING : in std_logic_vector(15 downto 0); BUSY : out std_logic; --synchro signals synctriggers : in std_logic_vector(gSyncTriggerWidth-1 downto 0); sync1_select : in std_logic_vector(3 downto 0); sync2_select : in std_logic_vector(3 downto 0); -- Fifo signals -- read fifo interface (SPI write path/SPI read address path) APP_RDFIFO_CLK : out std_logic; APP_RDFIFO_EN : out std_logic; APP_RDFIFO_DATA_OUT : in std_logic_vector( 31 downto 0); APP_RDFIFO_EMPTY : in std_logic; -- write fifo interface (SPI read data path) APP_WRFIFO_CLK : out std_logic; APP_WRFIFO_EN : out std_logic; APP_WRFIFO_DATA_IN : out std_logic_vector( 31 downto 0); APP_WRFIFO_FULL : in std_logic; ERROR : out std_logic; -- -- SPI -- SCLK : out std_logic; MOSI : out std_logic; MISO : in std_logic; CS : out std_logic; EN : out std_logic ); end component spi_top; signal vita_spi_status_busy : std_logic; signal vita_spi_status_error : std_logic; -- -- VITA SPI FIFOs -- component afifo_32 is generic ( C_FAMILY : string := "virtex6" ); port ( rst : IN std_logic; wr_clk : IN std_logic; wr_en : IN std_logic; din : IN std_logic_VECTOR(31 downto 0); rd_clk : IN std_logic; rd_en : IN std_logic; dout : OUT std_logic_VECTOR(31 downto 0); empty : OUT std_logic; full : OUT std_logic ); end component afifo_32; signal vita_spi_txfifo_clk : std_logic; signal vita_spi_txfifo_ren : std_logic; signal vita_spi_txfifo_dout : std_logic_vector(31 downto 0); signal vita_spi_txfifo_empty : std_logic; signal vita_spi_rxfifo_clk : std_logic; signal vita_spi_rxfifo_wen : std_logic; signal vita_spi_rxfifo_din : std_logic_vector(31 downto 0); signal vita_spi_rxfifo_full : std_logic; -- -- VITA Serial LVDS Receiver -- constant gSIMULATION : integer := 0; constant NROF_CONN : integer := 5; constant NROF_CONTR_CONN : integer := 5; constant NROF_CLOCKCOMP : integer := 1; constant NROF_WINDOWS : integer := 8; constant DATAWIDTH : integer := 10; constant CLKSPEED : integer := 62; constant INVBOOL : boolean := FALSE; constant NROF_DELAYCTRLS : integer := 1; -- usedatapathfunc(gEngineering, gLVDS_OUT) ? -- APP_CFG_REG.Sysmode(5) ? = ?? -- APP_CFG_REG.Sysmode(6) ? = ?? -- APP_CFG_REG.Sysmode(7) ? = INITVALUE = '0' component iserdes_interface is generic ( SIMULATION : integer := 0; NROF_CONN : integer := 4; --16 bits NROF_CONTR_CONN : integer := 4; NROF_CLOCKCOMP : integer := 1; DATAWIDTH : integer := 10; -- can be 4, 6, 8 or 10 for DDR, can be 2, 3, 4, 5, 6, 7, or 8 for SDR. RETRY_MAX : integer := 32767; --16 bits, global STABLE_COUNT : integer := 16; TAP_COUNT_MAX : integer := 64; DATA_RATE : string := "DDR"; -- DDR/SDR DIFF_TERM : boolean := TRUE; USE_FIFO : boolean := FALSE; USE_BLOCKRAMFIFO : boolean := TRUE; INVERT_OUTPUT : boolean := FALSE; INVERSE_BITORDER : boolean := FALSE; CLKSPEED : integer := 50; -- APPCLK speed in MHz. Everything is generated from Appclk to be as sync as possible --DATAWIDTH, DATARATE, and clockspeed are used to calculate high speed clk speed. --SIM_DEVICE : string := "VIRTEX5"; --VIRTEX4/VIRTEX5, for BUFR C_FAMILY : string := "virtex6"; NROF_DELAYCTRLS : integer := 1; IDELAYCLK_MULT : integer := 4; IDELAYCLK_DIV : integer := 1; GENIDELAYCLK : boolean := FALSE; -- generate own idelayrefclk based on mult and div parameters or use external clk -- ext clk can come from common part and thus always be in spec regardless of clkspeed USE_OUTPLL : boolean := TRUE; --use output/multiplieng PLL instead of DCM USE_INPLL : boolean := TRUE; --use input/dividing PLL instead of DCM USE_HS_EXT_CLK_IN : boolean := FALSE; -- use external clock high speed clock in -- YES -> use as CLK source, either via BUFG or BUFIO/BUFR, -- -> when USE_HS_REGIONAL_CLK = YES -- use BUFIO (only IOblock can be clocked) -- -> when USE_HS_REGIONAL_CLK = NO -- use BUFG -- -- NO -> when use USE_LS_EXT_CLK_IN = YES -- not supported -- when use USE_LS_EXT_CLK_IN = NO -- appclk combined with DCM as CLK source -- use BUFG as CLK source USE_LS_EXT_CLK_IN : boolean := FALSE; -- use external clock low speed clock in -- YES -> use as CLKDIV source, either via BUFG or BUFIO/BUFR, -- -> when USE_LS_REGIONAL_CLK = YES -- use BUFR -- -> when USE_LS_REGIONAL_CLK = NO -- use BUFG -- -- -- NO -> when USE_HS_EXT_CLK_IN = YES -- -> when USE_HS_REGIONAL_CLK =YES and BUFR can divide -- use BUFIO/BUFR to divide HS -- -> when USE_HS_REGIONAL_CLK =YES and BUFR can not divide -- use BUFIO/BUFR + DCM to divide HS -- -> when USE_HS_EXT_CLK_IN = NO -- use DCM (same as HS_EXT_CLK_IN) as clk source, sync with appclk -- -- USE_DIFF_HS_CLK_IN : boolean := FALSE; -- differential mode, automatically instantiates the correct buffer USE_DIFF_LS_CLK_IN : boolean := FALSE; -- differential mode, automatically instantiates the correct buffer USE_HS_REGIONAL_CLK : boolean := FALSE; -- only used when USE_HS_EXT_CLK_IN = yes USE_LS_REGIONAL_CLK : boolean := FALSE; -- only used when USE_LS_EXT_CLK_IN = yes USE_HS_EXT_CLK_OUT : boolean := FALSE; -- use external clock high speed clock out USE_LS_EXT_CLK_OUT : boolean := FALSE; -- use external clock low speed clock out USE_DIFF_HS_CLK_OUT : boolean := FALSE; -- differential mode, automatically instantiates the correct buffer USE_DIFF_LS_CLK_OUT : boolean := FALSE; -- differential mode, automatically instantiates the correct buffer USE_DATAPATH : boolean := TRUE ); port( CLOCK : in std_logic; RESET : in std_logic; CLK_RDY : out std_logic; CLK_STATUS : out std_logic_vector((16*NROF_CLOCKCOMP)-1 downto 0); CLK200 : in std_logic; -- optional 200MHz refclk -- to sensor (external) LS_OUT_CLK : out std_logic_vector(NROF_CLOCKCOMP-1 downto 0); LS_OUT_CLKb : out std_logic_vector(NROF_CLOCKCOMP-1 downto 0); -- only used in differential mode HS_OUT_CLK : out std_logic_vector(NROF_CLOCKCOMP-1 downto 0); HS_OUT_CLKb : out std_logic_vector(NROF_CLOCKCOMP-1 downto 0); -- from sensor (only used when USED_EXT_CLK = YES) LS_IN_CLK : in std_logic_vector(NROF_CLOCKCOMP-1 downto 0); LS_IN_CLKb : in std_logic_vector(NROF_CLOCKCOMP-1 downto 0); HS_IN_CLK : in std_logic_vector(NROF_CLOCKCOMP-1 downto 0); HS_IN_CLKb : in std_logic_vector(NROF_CLOCKCOMP-1 downto 0); --serdes data, directly connected to bondpads SDATAP : in std_logic_vector(NROF_CONN-1 downto 0); SDATAN : in std_logic_vector(NROF_CONN-1 downto 0); -- status info EDGE_DETECT : out std_logic_vector(NROF_CONN-1 downto 0); TRAINING_DETECT : out std_logic_vector(NROF_CONN-1 downto 0); STABLE_DETECT : out std_logic_vector(NROF_CONN-1 downto 0); FIRST_EDGE_FOUND : out std_logic_vector(NROF_CONN-1 downto 0); SECOND_EDGE_FOUND : out std_logic_vector(NROF_CONN-1 downto 0); NROF_RETRIES : out std_logic_vector((16*NROF_CONN)-1 downto 0); TAP_SETTING : out std_logic_vector((10*NROF_CONN)-1 downto 0); WINDOW_WIDTH : out std_logic_vector((10*NROF_CONN)-1 downto 0); WORD_ALIGN : out std_logic_vector(NROF_CONN-1 downto 0); TIMEOUTONACK : out std_logic_vector(NROF_CONTR_CONN-1 downto 0); -- control ALIGN_START : in std_logic; ALIGN_BUSY : out std_logic; ALIGNED : out std_logic; FIFO_EN : in std_logic; AUTOALIGN : in std_logic; TRAINING : in std_logic_vector(DATAWIDTH-1 downto 0); MANUAL_TAP : in std_logic_vector(9 downto 0); EN_LS_CLK_OUT : in std_logic; EN_HS_CLK_OUT : in std_logic; -- parallel data out FIFO_RDEN : in std_logic; FIFO_EMPTY : out std_logic; FIFO_DATAOUT : out std_logic_vector((NROF_CONN*DATAWIDTH)-1 downto 0) ); end component iserdes_interface; component iserdes_interface_s6 is port ( CLOCK : in std_logic; RESET : in std_logic; -- serdes clock, directly connected to bondpads SCLKP : in std_logic; SCLKN : in std_logic; -- serdes data, directly connected to bondpads SDATAP : in std_logic_vector(4 downto 0); SDATAN : in std_logic_vector(4 downto 0); -- control ALIGN_START : in std_logic; FIFO_EN : in std_logic; TRAINING : in std_logic_vector(DATAWIDTH-1 downto 0); MANUAL_TAP : in std_logic_vector(9 downto 0); -- status PLL_LOCKED : out std_logic; ALIGN_BUSY : out std_logic; ALIGNED : out std_logic; -- parallel data out FIFO_RDEN : in std_logic; FIFO_EMPTY : out std_logic; FIFO_DATAOUT : out std_logic_vector((NROF_CONN*DATAWIDTH)-1 downto 0) ); end component iserdes_interface_s6; signal CLK_RDY : std_logic; signal CLK_STATUS : std_logic_vector((16*NROF_CLOCKCOMP)-1 downto 0); signal EDGE_DETECT : std_logic_vector(NROF_CONN-1 downto 0); signal TRAINING_DETECT : std_logic_vector(NROF_CONN-1 downto 0); signal STABLE_DETECT : std_logic_vector(NROF_CONN-1 downto 0); signal FIRST_EDGE_FOUND : std_logic_vector(NROF_CONN-1 downto 0); signal SECOND_EDGE_FOUND : std_logic_vector(NROF_CONN-1 downto 0); signal NROF_RETRIES : std_logic_vector((16*NROF_CONN)-1 downto 0); signal TAP_SETTING : std_logic_vector((10*NROF_CONN)-1 downto 0); signal WINDOW_WIDTH : std_logic_vector((10*NROF_CONN)-1 downto 0); signal WORD_ALIGN : std_logic_vector(NROF_CONN-1 downto 0); signal TIMEOUTONACK : std_logic_vector(NROF_CONTR_CONN-1 downto 0); -- control --signal ALIGN_START : std_logic; signal ALIGN_BUSY : std_logic; signal ALIGNED : std_logic; --signal FIFO_EN : std_logic; --signal AUTOALIGN : std_logic; --signal TRAINING : std_logic_vector(DATAWIDTH-1 downto 0); --signal MANUAL_TAP : std_logic_vector(9 downto 0); --signal EN_LS_CLK_OUT : std_logic; --signal EN_HS_CLK_OUT : std_logic; -- parallel data out signal FIFO_RDEN : std_logic; signal FIFO_EMPTY : std_logic; signal FIFO_DATAOUT : std_logic_vector((NROF_CONN*DATAWIDTH)-1 downto 0); -- -- Sync Channel Decoder -- component syncchanneldecoder generic ( NROF_CONN : integer; DATAWIDTH : integer; NROF_WINDOWS : integer ); port ( -- Control signals CLOCK : in std_logic; RESET : in std_logic; -- Internal signaling en_decoder : in std_logic; --busy_decoder : out std_logic; PAR_DATA_RDEN : out std_logic; PAR_DATA_EMPTY : in std_logic; PAR_DATAIN : in std_logic_vector((NROF_CONN*DATAWIDTH)-1 downto 0); PAR_SYNCOUT : out std_logic_vector((DATAWIDTH)-1 downto 0); PAR_DATAOUT : out std_logic_vector(((NROF_CONN-1)*DATAWIDTH)-1 downto 0); PAR_DATA_IMGVALID : out std_logic; PAR_DATA_BLACKVALID : out std_logic; PAR_DATA_LINE : out std_logic; PAR_DATA_FRAME : out std_logic; KERNEL_ODD_EVEN : out std_logic; START_KERNEL : out std_logic; StartOddEven : in std_logic_vector(31 downto 0); LS_value : in std_logic_vector(9 downto 0); LE_value : in std_logic_vector(9 downto 0); FS_value : in std_logic_vector(9 downto 0); FE_value : in std_logic_vector(9 downto 0); BL_value : in std_logic_vector(9 downto 0); IMG_value : in std_logic_vector(9 downto 0); TR_value : in std_logic_vector(9 downto 0); CRC_value : in std_logic_vector(9 downto 0); -- synchro signals framestart : out std_logic; windowstart : out std_logic; windowend : out std_logic; linestart : out std_logic; lineend : out std_logic; blacklinestart : out std_logic; blacklineend : out std_logic; imagelinestart : out std_logic; imagelineend : out std_logic; validcrc : out std_logic; -- counters FramesCnt : out std_logic_vector(31 downto 0); -- lines/frame counter BlackLinesCnt : out std_logic_vector(31 downto 0); ImgLinesCnt : out std_logic_vector(31 downto 0); -- pixels/frame counter BlackPixelCnt : out std_logic_vector(31 downto 0); ImgPixelCnt : out std_logic_vector(31 downto 0); -- windows/frame counter WindowsCnt : out std_logic_vector(31 downto 0); -- clocks/frame counter -> fps ClocksCnt : out std_logic_vector(31 downto 0); StartLineCnt : out std_logic_vector(31 downto 0); EndLineCnt : out std_logic_vector(31 downto 0); -- monitors MONITOR : in std_logic_vector(1 downto 0); Monitor0HighCnt : out std_logic_vector(31 downto 0); Monitor0LowCnt : out std_logic_vector(31 downto 0); Monitor1HighCnt : out std_logic_vector(31 downto 0); Monitor1LowCnt : out std_logic_vector(31 downto 0) ); end component; --signal SYNC_PAR_DATA_RDEN : std_logic; --signal SYNC_PAR_DATAIN : std_logic_vector((NROF_CONN*DATAWIDTH)-1 downto 0); signal SYNC_PAR_SYNCOUT : std_logic_vector(DATAWIDTH-1 downto 0); signal SYNC_PAR_DATAOUT : std_logic_vector(((NROF_CONN-1)*DATAWIDTH)-1 downto 0); signal SYNC_PAR_DATA_IMGVALID : std_logic; signal SYNC_PAR_DATA_BLACKVALID : std_logic; signal SYNC_PAR_DATA_LINE : std_logic; signal SYNC_PAR_DATA_FRAME : std_logic; signal SYNC_KERNEL_ODD_EVEN : std_logic; signal SYNC_START_KERNEL : std_logic; --signal SYNC_VIDEO_SYNC : std_logic_vector(4 downto 0); -- synchro signals signal framestart : std_logic; signal windowstart : std_logic; signal windowend : std_logic; signal linestart : std_logic; signal lineend : std_logic; signal blacklinestart : std_logic; signal blacklineend : std_logic; signal imagelinestart : std_logic; signal imagelineend : std_logic; signal validcrc : std_logic; -- -- CRC Checker -- constant POLYNOMIAL : std_logic_vector(10 downto 0) := "11001001111"; component crc_checker is generic ( NROF_DATACONN : integer; DATAWIDTH : integer; NROF_WINDOWS : integer; POLYNOMIAL : std_logic_vector ); port ( -- Control signals CLOCK : in std_logic; RESET : in std_logic; -- APP_CFG_REG : in AppCfgRegTp; INITVALUE : in std_logic; en_decoder : in std_logic; -- Data input PAR_SYNC_IN : in std_logic_vector(DATAWIDTH-1 downto 0); PAR_DATA_IN : in std_logic_vector((NROF_DATACONN*DATAWIDTH)-1 downto 0); PAR_DATA_IMGVALID_IN : in std_logic; PAR_DATA_BLACKVALID_IN : in std_logic; PAR_DATA_CRCVALID_IN : in std_logic; PAR_DATA_LINE_IN : in std_logic; PAR_DATA_FRAME_IN : in std_logic; START_KERNEL_IN : in std_logic; KERNEL_ODD_EVEN_IN : in std_logic; VIDEO_SYNC_IN : in std_logic_vector(4 downto 0); -- Data out PAR_SYNC_OUT : out std_logic_vector(DATAWIDTH-1 downto 0); PAR_DATA_OUT : out std_logic_vector((NROF_DATACONN*DATAWIDTH)-1 downto 0); PAR_DATA_IMGVALID_OUT : out std_logic; PAR_DATA_BLACKVALID_OUT : out std_logic; PAR_DATA_CRCVALID_OUT : out std_logic; PAR_DATA_LINE_OUT : out std_logic; PAR_DATA_FRAME_OUT : out std_logic; START_KERNEL_OUT : out std_logic; KERNEL_ODD_EVEN_OUT : out std_logic; VIDEO_SYNC_OUT : out std_logic_vector(4 downto 0); --status CRC_STATUS : out std_logic_vector(NROF_DATACONN-1 downto 0) ); end component; signal CRC_PAR_SYNC_OUT : std_logic_vector(DATAWIDTH-1 downto 0); signal CRC_PAR_DATA_OUT : std_logic_vector(((NROF_CONN-1)*DATAWIDTH)-1 downto 0); signal CRC_PAR_DATA_IMGVALID_OUT : std_logic; signal CRC_PAR_DATA_BLACKVALID_OUT : std_logic; signal CRC_PAR_DATA_CRCVALID_OUT : std_logic; signal CRC_PAR_DATA_LINE_OUT : std_logic; signal CRC_PAR_DATA_FRAME_OUT : std_logic; signal CRC_START_KERNEL : std_logic; signal CRC_KERNEL_ODD_EVEN : std_logic; signal CRC_VIDEO_SYNC : std_logic_vector(4 downto 0); signal CRC_STATUS : std_logic_vector(NROF_CONN - 2 downto 0); signal CRC_DEBUG : std_logic_vector(((NROF_CONN-1)*(2*DATAWIDTH+1))-1 downto 0); -- -- Data Channel Re-Mapper -- component remapper generic ( NROF_DATACONN : integer; DATAWIDTH : integer; NROF_WINDOWS : integer ); port ( -- Control signals CLOCK : in std_logic; RESET : in std_logic; WriteCfg : in std_logic_vector(2 downto 0); RemapMode : in std_logic_vector(2 downto 0); -- Data input --from serial PAR_SYNC : in std_logic_vector((DATAWIDTH)-1 downto 0); PAR_DATA : in std_logic_vector((NROF_DATACONN*DATAWIDTH)-1 downto 0); PAR_DATA_IMGVALID : in std_logic; PAR_DATA_BLACKVALID : in std_logic; PAR_DATA_CRCVALID : in std_logic; PAR_DATA_LINE : in std_logic; PAR_DATA_FRAME : in std_logic; -- kernel odd/even control START_KERNEL : in std_logic; KERNEL_ODD_EVEN : in std_logic; VIDEO_SYNC_IN : in std_logic_vector(4 downto 0); VIDEO_SYNC_OUT : out std_logic_vector(4 downto 0); en_decoder : in std_logic; -- Data output PAR_DATA_OUT : out std_logic_vector((NROF_DATACONN*DATAWIDTH)-1 downto 0); PAR_DATA_VALID_OUT : out std_logic; PAR_DATA_LINE_OUT : out std_logic; PAR_DATA_FRAME_OUT : out std_logic; PAR_DATA_WINDOW_OUT : out std_logic ); end component; signal REMAP_PAR_DATA_OUT : std_logic_vector(((NROF_CONN-1)*DATAWIDTH)-1 downto 0); signal REMAP_PAR_DATA_VALID_OUT : std_logic; signal REMAP_PAR_DATA_LINE_OUT : std_logic; signal REMAP_PAR_DATA_FRAME_OUT : std_logic; signal REMAP_PAR_DATA_WINDOW_OUT : std_logic; signal REMAP_VIDEO_SYNC : std_logic_vector(4 downto 0); -- -- FPN/PRNU Correction -- component correct_column_fpn_prnu_dsp48e is generic ( NROF_DATACONN : integer; DATAWIDTH : integer; ENABLECORRECT : boolean; C_FAMILY : string ); port ( -- Control signals CLOCK : in std_logic; RESET : in std_logic; CorrectValues : in std_logic_vector((NROF_DATACONN*4*16)-1 downto 0); WR_DATA_in : in std_logic_vector((NROF_DATACONN*DATAWIDTH)-1 downto 0); WR_NEXT_in : in std_logic; WR_FRAME_in : in std_logic; WR_LINE_in : in std_logic; WR_WINDOW_in : in std_logic; WR_DATA_out : out std_logic_vector((NROF_DATACONN*DATAWIDTH)-1 downto 0); WR_NEXT_out : out std_logic; WR_FRAME_out : out std_logic; WR_LINE_out : out std_logic; WR_WINDOW_out : out std_logic; VIDEO_SYNC_IN : in std_logic_vector(4 downto 0); VIDEO_SYNC_OUT : out std_logic_vector(4 downto 0) ); end component; signal BLC_CORRECT_VALUES : std_logic_vector(((NROF_CONN-1)*4*16)-1 downto 0); signal BLC_PAR_DATA_OUT : std_logic_vector(((NROF_CONN-1)*DATAWIDTH)-1 downto 0); signal BLC_PAR_DATA_VALID_OUT : std_logic; signal BLC_PAR_DATA_LINE_OUT : std_logic; signal BLC_PAR_DATA_FRAME_OUT : std_logic; signal BLC_PAR_DATA_WINDOW_OUT : std_logic; signal BLC_VIDEO_SYNC : std_logic_vector(4 downto 0); -- -- Trigger Generator -- component triggergenerator port ( -- Control signals csi_clockreset_clk : in std_logic; csi_clockreset_reset_n : in std_logic; coe_external_trigger_in : in std_logic; readouttrigger : in std_logic; ENABLETRIGGER : in std_logic_vector(2 downto 0); SYNCTOREADOUT_OR_EXT : in std_logic_vector(2 downto 0); --(0): enable timeout default frequency --(1): trigger on readout input trigger --(2): trigger on external input trigger --Note: (0) can be combined with (1) xor (2), (1) and (2) can be combined but it is prob not usefull DEFAULTFREQ : in std_logic_vector(31 downto 0); --acutally an interval TRIGGERLENGTHLOW0 : in std_logic_vector(31 downto 0); TRIGGERLENGTHHIGH0 : in std_logic_vector(31 downto 0); TRIGGERLENGTHLOW1 : in std_logic_vector(31 downto 0); TRIGGERLENGTHHIGH1 : in std_logic_vector(31 downto 0); TRIGGERLENGTHLOW2 : in std_logic_vector(31 downto 0); TRIGGERLENGTHHIGH2 : in std_logic_vector(31 downto 0); EXTERNAL_TRIGGER_DEB : in std_logic_vector(31 downto 0); EXTERNAL_TRIGGER_POL : in std_logic; --0 is active low 1 is active high coe_vita_TRIGGER : out std_logic_vector(2 downto 0) ); end component; -- signal readouttrigger : std_logic; -- signal readouttrigger_d1 : std_logic; -- signal readouttrigger_d2 : std_logic; signal triggen_vita_trigger : std_logic_vector(2 downto 0); -- -- Delayed Start Frame signal -- component pulse_regen is generic ( C_FAMILY : string := "kintex7" ); port ( rst : IN std_logic; clk1 : IN std_logic; pulse1 : IN std_logic; clk2 : IN std_logic; pulse2 : OUT std_logic ); end component; signal framestart_cnt : unsigned(15 downto 0) := (others => '0'); signal framestart_active : std_logic := '0'; signal framestart2 : std_logic; signal framestart2_regen : std_logic; -- -- Video Sync Generator -- component VideoSyncGen is generic ( HWidth_g : integer := 16; VWidth_g : integer := 16 ); port ( -- Global Reset i_Clk_p : in std_logic; i_Reset_p : in std_logic; -- i_Restart_p : in std_logic; -- Video Configuration iv16_VidHActive_p : in std_logic_vector(15 downto 0); iv16_VidHFPorch_p : in std_logic_vector(15 downto 0); iv16_VidHSync_p : in std_logic_vector(15 downto 0); iv16_VidHBPorch_p : in std_logic_vector(15 downto 0); -- iv16_VidVActive_p : in std_logic_vector(15 downto 0); iv16_VidVFPorch_p : in std_logic_vector(15 downto 0); iv16_VidVSync_p : in std_logic_vector(15 downto 0); iv16_VidVBPorch_p : in std_logic_vector(15 downto 0); -- Video Synchronization Signals o_HSync_p : out std_logic; o_VSync_p : out std_logic; o_De_p : out std_logic; o_HBlank_p : out std_logic; o_VBlank_p : out std_logic; -- Data Request strobe (1 cycle in advance of synchronization signals) ov_HCount_p : out std_logic_vector(HWidth_g-1 downto 0); ov_VCount_p : out std_logic_vector(VWidth_g-1 downto 0); o_PixelRequest_p : out std_logic ); end component VideoSyncGen; signal syncgen_hsync : std_logic; signal syncgen_vsync : std_logic; signal syncgen_de : std_logic; signal syncgen_hblank : std_logic; signal syncgen_vblank : std_logic; --signal syncgen_pixelrequest : std_logic; -- -- De-Multiplexer -- component afifo_64i_16o is generic ( C_FAMILY : string := "virtex6" ); port ( rst : IN std_logic; wr_clk : IN std_logic; wr_en : IN std_logic; din : IN std_logic_VECTOR(63 downto 0); rd_clk : IN std_logic; rd_en : IN std_logic; dout : OUT std_logic_VECTOR(15 downto 0); empty : OUT std_logic; full : OUT std_logic ); end component; signal demux_din : std_logic_vector(63 downto 0); signal demux_dout : std_logic_vector(15 downto 0); signal demux_empty : std_logic; signal demux_full : std_logic; -- -- I/O registers & buffers -- signal clk_n : std_logic; signal net0 : std_logic; signal net1 : std_logic; signal oe_n : std_logic; signal vita_clk_pll_o : std_logic; signal vita_reset_n_o : std_logic; signal vita_trigger_o : std_logic_vector(2 downto 0); signal vita_spi_sclk_o : std_logic; signal vita_spi_ssel_n_o : std_logic; signal vita_spi_mosi_o : std_logic; signal vita_clk_pll_t : std_logic; signal vita_reset_n_t : std_logic; signal vita_trigger_t : std_logic_vector(2 downto 0); signal vita_spi_sclk_t : std_logic; signal vita_spi_ssel_n_t : std_logic; signal vita_spi_mosi_t : std_logic; begin host_iserdes_reset_n <= not host_iserdes_reset; -- -- SPI Controller -- vita_spi: spi_top generic map ( gSIMULATION => 0, --gSIMULATION, gSysClkSpeed => 50, -- 50MHz --gSysClkSpeed, --LowLevel SPI settings gSpiClkSpeed => 1000, -- 1000KHz (or 1MHz) gUseFixedSpeed => 0, gDATA_WIDTH => 26, gTxMSB_FIRST => 1, gRxMSB_FIRST => 1, gSCLK_POLARITY => '0', gCS_POLARITY => '1', gEN_POLARITY => '0', gMOSI_POLARITY => '0', gMISO_POLARITY => '0', gMISO_SAMPLE => '0', gMOSI_CLK => '0', --Seq SPI settings gSyncTriggerWidth => 10, gRWbitposition => 16 ) port map ( CLOCK => host_spi_clk, RESET => host_spi_reset, TIMING => host_spi_timing, --TIMING, BUSY => vita_spi_status_busy, --synchro signals synctriggers => (others => '0'), --synctriggers, sync1_select => (others => '0'), --sync1_select, sync2_select => (others => '0'), --sync2_select, -- Fifo signals -- read fifo interface (SPI write path/SPI read address path) APP_RDFIFO_CLK => vita_spi_txfifo_clk, APP_RDFIFO_EN => vita_spi_txfifo_ren, APP_RDFIFO_DATA_OUT => vita_spi_txfifo_dout, APP_RDFIFO_EMPTY => vita_spi_txfifo_empty, -- write fifo interface (SPI read data path) APP_WRFIFO_CLK => vita_spi_rxfifo_clk, APP_WRFIFO_EN => vita_spi_rxfifo_wen, APP_WRFIFO_DATA_IN => vita_spi_rxfifo_din, APP_WRFIFO_FULL => vita_spi_rxfifo_full, ERROR => vita_spi_status_error, -- -- SPI -- SCLK => vita_spi_sclk_o, MOSI => vita_spi_mosi_o, MISO => io_vita_spi_miso, CS => vita_spi_ssel_n_o, EN => open ); host_spi_status_busy <= vita_spi_status_busy; host_spi_status_error <= vita_spi_status_error; -- -- VITA SPI FIFOs -- vita_spi_txfifo_l : afifo_32 generic map ( C_FAMILY => C_FAMILY ) port map ( rst => host_spi_reset, wr_clk => host_spi_txfifo_clk, wr_en => host_spi_txfifo_wen, din => host_spi_txfifo_din, rd_clk => vita_spi_txfifo_clk, rd_en => vita_spi_txfifo_ren, dout => vita_spi_txfifo_dout, empty => vita_spi_txfifo_empty, full => host_spi_txfifo_full ); vita_spi_rxfifo_l : afifo_32 generic map ( C_FAMILY => C_FAMILY ) port map ( rst => host_spi_reset, wr_clk => vita_spi_rxfifo_clk, wr_en => vita_spi_rxfifo_wen, din => vita_spi_rxfifo_din, rd_clk => host_spi_rxfifo_clk, rd_en => host_spi_rxfifo_ren, dout => host_spi_rxfifo_dout, empty => host_spi_rxfifo_empty, full => vita_spi_rxfifo_full ); -- -- VITA Serial LVDS Receiver -- vita_iserdes_s6 : if ( C_FAMILY = "spartan6" ) generate vita_iserdes : iserdes_interface_s6 port map ( CLOCK => clk , RESET => host_iserdes_reset , -- serdes clock, directly connected to bondpads SCLKP => io_vita_clk_out_p , SCLKN => io_vita_clk_out_n , -- serdes data, directly connected to bondpads SDATAP(4 downto 1) => io_vita_data_p(3 downto 0) , SDATAP(0) => io_vita_sync_p , SDATAN(4 downto 1) => io_vita_data_n(3 downto 0) , SDATAN(0) => io_vita_sync_n , -- control ALIGN_START => host_iserdes_align_start , FIFO_EN => host_iserdes_fifo_enable , TRAINING => host_iserdes_training , MANUAL_TAP => host_iserdes_manual_tap , -- status PLL_LOCKED => CLK_RDY , ALIGN_BUSY => ALIGN_BUSY , ALIGNED => ALIGNED , -- parallel data out FIFO_RDEN => FIFO_RDEN , FIFO_EMPTY => FIFO_EMPTY , FIFO_DATAOUT => FIFO_DATAOUT ); host_iserdes_clk_ready <= CLK_RDY; host_iserdes_clk_status <= CLK_STATUS; host_iserdes_align_busy <= ALIGN_BUSY; host_iserdes_aligned <= ALIGNED; end generate; vita_iserdes_v5 : if not ( C_FAMILY = "spartan6" ) generate vita_iserdes : iserdes_interface generic map ( SIMULATION => gSIMULATION , NROF_CONN => NROF_CONN , NROF_CONTR_CONN => NROF_CONN , NROF_CLOCKCOMP => 1 , DATAWIDTH => DATAWIDTH , RETRY_MAX => 32767 , STABLE_COUNT => 16 , --TAP_COUNT_MAX => 64 , -- for Virtex-5 IODELAY TAP_COUNT_MAX => 32 , -- for Virtex-6 IODELAYE1 DATA_RATE => "DDR" , DIFF_TERM => TRUE , USE_FIFO => TRUE , USE_BLOCKRAMFIFO => TRUE , INVERT_OUTPUT => INVBOOL , --change back for final system !!!!! INVERSE_BITORDER => FALSE , CLKSPEED => CLKSPEED , --SIM_DEVICE => "VIRTEX5" , C_FAMILY => C_FAMILY , NROF_DELAYCTRLS => NROF_DELAYCTRLS, --should be 2 for 'correct' char board, change when required IDELAYCLK_MULT => 3 , IDELAYCLK_DIV => 1 , GENIDELAYCLK => FALSE , USE_OUTPLL => FALSE , --use output/multiplieng PLL instead of DCM USE_INPLL => FALSE , USE_HS_EXT_CLK_IN => TRUE,--useLVDSclocks(gEngineering, gLVDS_OUT) , USE_LS_EXT_CLK_IN => FALSE , USE_DIFF_HS_CLK_IN => TRUE,--useLVDSclocks(gEngineering, gLVDS_OUT) , -- differential mode, automatically instantiates the correct buffer USE_DIFF_LS_CLK_IN => FALSE , -- differential mode, automatically instantiates the correct buffer USE_HS_REGIONAL_CLK => TRUE,--useLVDSclocks(gEngineering, gLVDS_OUT) , -- only used when USE_HS_EXT_CLK_IN = yes USE_LS_REGIONAL_CLK => FALSE , -- USE_HS_EXT_CLK_OUT => FALSE , -- use external clock high speed clock out USE_LS_EXT_CLK_OUT => FALSE , -- use external clock low speed clock out USE_DIFF_HS_CLK_OUT => TRUE , -- differential mode, automatically instantiates the correct buffer USE_DIFF_LS_CLK_OUT => FALSE , -- differential mode, automatically instantiates the correct buffer USE_DATAPATH => TRUE--usedatapathfunc(gEngineering, gLVDS_OUT) ) port map( CLOCK => clk , RESET => host_iserdes_reset, CLK200 => clk200 , CLK_RDY => CLK_RDY , CLK_STATUS => CLK_STATUS , -- to sensor (external) --LS_OUT_CLK(0) => open, --CLK_PLL , --LS_OUT_CLKb(0) => open, --CLK_PLL_n , --HS_OUT_CLK(0) => open, --ClockIn_P , --HS_OUT_CLKb(0) => open, --ClockIn_N , -- from sensor (only used when USED_EXT_CLK = YES) LS_IN_CLK(0) => '0', LS_IN_CLKb(0) => '0', HS_IN_CLK(0) => io_vita_clk_out_p, HS_IN_CLKb(0) => io_vita_clk_out_n, --serdes data, directly connected to bondpads SDATAP(4 downto 1) => io_vita_data_p(3 downto 0), SDATAP(0) => io_vita_sync_p , SDATAN(4 downto 1) => io_vita_data_n(3 downto 0), SDATAN(0) => io_vita_sync_n , -- status info EDGE_DETECT => EDGE_DETECT , TRAINING_DETECT => TRAINING_DETECT , STABLE_DETECT => STABLE_DETECT , FIRST_EDGE_FOUND => FIRST_EDGE_FOUND , SECOND_EDGE_FOUND => SECOND_EDGE_FOUND , NROF_RETRIES => NROF_RETRIES , TAP_SETTING => TAP_SETTING , WINDOW_WIDTH => WINDOW_WIDTH , WORD_ALIGN => WORD_ALIGN , -- control ALIGN_START => host_iserdes_align_start, ALIGN_BUSY => ALIGN_BUSY , ALIGNED => ALIGNED , FIFO_EN => host_iserdes_fifo_enable, AUTOALIGN => host_iserdes_auto_align, TRAINING => host_iserdes_training, MANUAL_TAP => host_iserdes_manual_tap, EN_LS_CLK_OUT => '0' ,--APP_CFG_REG.Sysmode(5), EN_HS_CLK_OUT => '0' ,--APP_CFG_REG.Sysmode(6), TIMEOUTONACK => open , -- parallel data out FIFO_RDEN => FIFO_RDEN , FIFO_EMPTY => FIFO_EMPTY , FIFO_DATAOUT => FIFO_DATAOUT ); host_iserdes_clk_ready <= CLK_RDY; host_iserdes_clk_status <= CLK_STATUS; host_iserdes_align_busy <= ALIGN_BUSY; host_iserdes_aligned <= ALIGNED; end generate; -- -- Sync Channel Decoder -- vita_syncchanneldecoder: syncchanneldecoder generic map ( NROF_CONN => NROF_CONN , DATAWIDTH => DATAWIDTH , NROF_WINDOWS => 8 ) port map ( -- Control signals CLOCK => clk , RESET => host_decoder_reset , -- Internal signaling en_decoder => host_decoder_enable , PAR_DATA_RDEN => FIFO_RDEN , PAR_DATA_EMPTY => FIFO_EMPTY , PAR_DATAIN => FIFO_DATAOUT , PAR_SYNCOUT => SYNC_PAR_SYNCOUT , PAR_DATAOUT => SYNC_PAR_DATAOUT , PAR_DATA_IMGVALID => SYNC_PAR_DATA_IMGVALID , PAR_DATA_BLACKVALID => SYNC_PAR_DATA_BLACKVALID , PAR_DATA_LINE => SYNC_PAR_DATA_LINE , PAR_DATA_FRAME => SYNC_PAR_DATA_FRAME , KERNEL_ODD_EVEN => SYNC_KERNEL_ODD_EVEN , START_KERNEL => SYNC_START_KERNEL , StartOddEven => host_decoder_startoddeven, LS_value => host_decoder_code_ls , LE_value => host_decoder_code_le , FS_value => host_decoder_code_fs , FE_value => host_decoder_code_fe , BL_value => host_decoder_code_bl , IMG_value => host_decoder_code_img , TR_value => host_decoder_code_tr , CRC_value => host_decoder_code_crc , -- synchro signals framestart => framestart , windowstart => windowstart , windowend => windowend , linestart => linestart , lineend => lineend , blacklinestart => blacklinestart , blacklineend => blacklineend , imagelinestart => imagelinestart , imagelineend => imagelineend , validcrc => validcrc , -- counters FramesCnt => host_decoder_cnt_frames, -- lines/frame counter BlackLinesCnt => host_decoder_cnt_black_lines, ImgLinesCnt => host_decoder_cnt_image_lines, -- pixels/frame counter BlackPixelCnt => host_decoder_cnt_black_pixels, ImgPixelCnt => host_decoder_cnt_image_pixels, -- windows/frame counter WindowsCnt => host_decoder_cnt_windows, -- clocks/frame counter -> fps ClocksCnt => host_decoder_cnt_clocks, StartLineCnt => host_decoder_cnt_start_lines, EndLineCnt => host_decoder_cnt_end_lines, -- monitors MONITOR => io_vita_monitor, Monitor0HighCnt => host_decoder_cnt_monitor0high, Monitor0LowCnt => host_decoder_cnt_monitor0low, Monitor1HighCnt => host_decoder_cnt_monitor1high, Monitor1LowCnt => host_decoder_cnt_monitor1low ); host_decoder_frame_start <= framestart; -- -- CRC Checker -- vita_crc_checker: crc_checker generic map ( NROF_DATACONN => NROF_CONN - 1 , DATAWIDTH => DATAWIDTH , NROF_WINDOWS => NROF_WINDOWS , POLYNOMIAL => POLYNOMIAL ) port map ( -- Control signals CLOCK => clk , RESET => host_decoder_reset , INITVALUE => host_crc_initvalue , en_decoder => host_decoder_enable , -- Data input PAR_SYNC_IN => SYNC_PAR_SYNCOUT , PAR_DATA_IN => SYNC_PAR_DATAOUT , PAR_DATA_IMGVALID_IN => SYNC_PAR_DATA_IMGVALID , PAR_DATA_BLACKVALID_IN => SYNC_PAR_DATA_BLACKVALID , PAR_DATA_CRCVALID_IN => validcrc , PAR_DATA_LINE_IN => SYNC_PAR_DATA_LINE , PAR_DATA_FRAME_IN => SYNC_PAR_DATA_FRAME , START_KERNEL_IN => SYNC_START_KERNEL , KERNEL_ODD_EVEN_IN => SYNC_KERNEL_ODD_EVEN , VIDEO_SYNC_IN => "00000", --SYNC_VIDEO_SYNC , -- Data out PAR_SYNC_OUT => CRC_PAR_SYNC_OUT , PAR_DATA_OUT => CRC_PAR_DATA_OUT , PAR_DATA_IMGVALID_OUT => CRC_PAR_DATA_IMGVALID_OUT , PAR_DATA_BLACKVALID_OUT => CRC_PAR_DATA_BLACKVALID_OUT , PAR_DATA_CRCVALID_OUT => CRC_PAR_DATA_CRCVALID_OUT , PAR_DATA_LINE_OUT => CRC_PAR_DATA_LINE_OUT , PAR_DATA_FRAME_OUT => CRC_PAR_DATA_FRAME_OUT , START_KERNEL_OUT => CRC_START_KERNEL , KERNEL_ODD_EVEN_OUT => CRC_KERNEL_ODD_EVEN , VIDEO_SYNC_OUT => open, --CRC_VIDEO_SYNC , --status CRC_STATUS => CRC_STATUS -- CRC_DEBUG => CRC_DEBUG ); host_crc_status(31 downto (NROF_CONN - 1)) <= (others => '0'); host_crc_status((NROF_CONN - 2) downto 0) <= CRC_STATUS; -- -- Data Channel Re-Mapper -- vita_remapper: remapper generic map ( NROF_DATACONN => NROF_CONN - 1 , DATAWIDTH => DATAWIDTH , NROF_WINDOWS => 8 ) port map ( -- Control signals CLOCK => clk , RESET => host_decoder_reset , WriteCfg => host_remapper_write_cfg , RemapMode => host_remapper_mode , -- Data input --from serial PAR_SYNC => CRC_PAR_SYNC_OUT , PAR_DATA => CRC_PAR_DATA_OUT , PAR_DATA_IMGVALID => CRC_PAR_DATA_IMGVALID_OUT , PAR_DATA_BLACKVALID => CRC_PAR_DATA_BLACKVALID_OUT , PAR_DATA_CRCVALID => CRC_PAR_DATA_CRCVALID_OUT , PAR_DATA_LINE => CRC_PAR_DATA_LINE_OUT , PAR_DATA_FRAME => CRC_PAR_DATA_FRAME_OUT , -- kernel odd/even control START_KERNEL => CRC_KERNEL_ODD_EVEN , KERNEL_ODD_EVEN => CRC_START_KERNEL , VIDEO_SYNC_IN => CRC_VIDEO_SYNC , VIDEO_SYNC_OUT => REMAP_VIDEO_SYNC , en_decoder => host_decoder_enable , -- Data output PAR_DATA_OUT => REMAP_PAR_DATA_OUT , PAR_DATA_VALID_OUT => REMAP_PAR_DATA_VALID_OUT , PAR_DATA_LINE_OUT => REMAP_PAR_DATA_LINE_OUT , PAR_DATA_FRAME_OUT => REMAP_PAR_DATA_FRAME_OUT , PAR_DATA_WINDOW_OUT => REMAP_PAR_DATA_WINDOW_OUT ); -- -- FPN/PRNU Correction -- vita_blc: correct_column_fpn_prnu_dsp48e generic map ( NROF_DATACONN => NROF_CONN - 1 , DATAWIDTH => DATAWIDTH , ENABLECORRECT => true , C_FAMILY => C_FAMILY ) port map ( -- Control signals CLOCK => clk, RESET => host_decoder_reset, CorrectValues => host_fpn_prnu_values, WR_DATA_in => REMAP_PAR_DATA_OUT, WR_NEXT_in => REMAP_PAR_DATA_VALID_OUT, WR_FRAME_in => REMAP_PAR_DATA_LINE_OUT, WR_LINE_in => REMAP_PAR_DATA_FRAME_OUT, WR_WINDOW_in => REMAP_PAR_DATA_WINDOW_OUT, WR_DATA_out => BLC_PAR_DATA_OUT, WR_NEXT_out => BLC_PAR_DATA_VALID_OUT, WR_FRAME_out => BLC_PAR_DATA_LINE_OUT, WR_LINE_out => BLC_PAR_DATA_FRAME_OUT, WR_WINDOW_out => BLC_PAR_DATA_WINDOW_OUT, VIDEO_SYNC_IN => REMAP_VIDEO_SYNC, VIDEO_SYNC_OUT => BLC_VIDEO_SYNC ); -- -- Trigger Generator -- -- readouttrigger <= host_triggen_readouttrigger or trigger1; -- triggen_readouttrigger_l : process (clk) -- begin -- if rising_edge( clk ) then -- readouttrigger_d1 <= readouttrigger; -- readouttrigger_d2 <= readouttrigger_d1; -- end if; -- end process; vita_triggergenerator: triggergenerator port map ( -- Control signals csi_clockreset_clk => clk , csi_clockreset_reset_n => host_iserdes_reset_n , -- readouttrigger => readouttrigger_d2 , coe_external_trigger_in => trigger1 , readouttrigger => host_triggen_readouttrigger , ENABLETRIGGER => host_triggen_enable , SYNCTOREADOUT_OR_EXT => host_triggen_sync2readout , DEFAULTFREQ => host_triggen_default_freq , TRIGGERLENGTHLOW0 => host_triggen_cnt_trigger0low , TRIGGERLENGTHHIGH0 => host_triggen_cnt_trigger0high, TRIGGERLENGTHLOW1 => host_triggen_cnt_trigger1low , TRIGGERLENGTHHIGH1 => host_triggen_cnt_trigger1high, TRIGGERLENGTHLOW2 => host_triggen_cnt_trigger2low , TRIGGERLENGTHHIGH2 => host_triggen_cnt_trigger2high, EXTERNAL_TRIGGER_DEB => host_triggen_ext_debounce , EXTERNAL_TRIGGER_POL => host_triggen_ext_polarity , coe_vita_TRIGGER => triggen_vita_trigger ); triggen_gen_polarity_l : process (clk) begin if rising_edge( clk ) then -- TRIGGER0 if ( host_triggen_gen_polarity(0) = '0' ) then vita_trigger_o(0) <= triggen_vita_trigger(0); else vita_trigger_o(0) <= not triggen_vita_trigger(0); end if; -- TRIGGER1 if ( host_triggen_gen_polarity(1) = '0' ) then vita_trigger_o(1) <= triggen_vita_trigger(1); else vita_trigger_o(1) <= not triggen_vita_trigger(1); end if; -- TRIGGER2 if ( host_triggen_gen_polarity(2) = '0' ) then vita_trigger_o(2) <= triggen_vita_trigger(2); else vita_trigger_o(2) <= not triggen_vita_trigger(2); end if; end if; end process; -- -- Delayed Start Frame signal -- framestart2_l : process (reset, clk) begin if ( reset = '1' ) then framestart_active <= '0'; framestart_cnt <= (others => '0'); framestart2 <= '0'; elsif rising_edge( clk ) then -- default values framestart2 <= '0'; -- detect incoming framestart if ( framestart = '1' ) then framestart_active <= '1'; framestart_cnt <= (others => '0'); end if; -- create delayed framestart2 if ( framestart_active = '1' ) then framestart_cnt <= framestart_cnt + 1; if ( framestart_cnt = unsigned(host_syncgen_delay)-1 ) then framestart_active <= '0'; framestart2 <= '1'; end if; end if; end if; end process framestart2_l; -- regenerate framestart2 to clk4x clock framestart2_regen_l : pulse_regen generic map ( C_FAMILY => C_FAMILY ) port map ( rst => reset, clk1 => clk, pulse1 => framestart2, clk2 => clk4x, pulse2 => framestart2_regen ); -- -- Video Sync Generator -- --XSVI_WITH_SYNCGEN : if (C_XSVI_USE_SYNCGEN = 1) generate syncgen_l : VideoSyncGen generic map ( HWidth_g => 16, VWidth_g => 16 ) port map ( -- Global Reset i_Clk_p => clk4x, i_Reset_p => reset, i_Restart_p => framestart2_regen, -- Video Configuration iv16_VidHActive_p => host_syncgen_hactive, iv16_VidHFPorch_p => host_syncgen_hfporch, iv16_VidHSync_p => host_syncgen_hsync, iv16_VidHBPorch_p => host_syncgen_hbporch, -- iv16_VidVActive_p => host_syncgen_vactive, iv16_VidVFPorch_p => host_syncgen_vfporch, iv16_VidVSync_p => host_syncgen_vsync, iv16_VidVBPorch_p => host_syncgen_vbporch, -- Video Synchronization Signals o_HSync_p => syncgen_hsync, o_VSync_p => syncgen_vsync, o_De_p => syncgen_de, o_HBlank_p => syncgen_hblank, o_VBlank_p => syncgen_vblank, -- Data Request strobe (1 cycle in advance of synchronization signals) ov_HCount_p => open, ov_VCount_p => open, o_PixelRequest_p => open --syncgen_pixelrequest ); -- syncgen_delay_l : process (clk) -- begin -- if rising_edge( clk ) then ---- SYNC_VIDEO_SYNC(4) <= syncgen_vsync; ---- SYNC_VIDEO_SYNC(3) <= syncgen_hsync; ---- SYNC_VIDEO_SYNC(2) <= syncgen_vblank; ---- SYNC_VIDEO_SYNC(1) <= syncgen_hblank; ---- SYNC_VIDEO_SYNC(0) <= syncgen_de; -- CRC_VIDEO_SYNC(4) <= syncgen_vsync; -- CRC_VIDEO_SYNC(3) <= syncgen_hsync; -- CRC_VIDEO_SYNC(2) <= syncgen_vblank; -- CRC_VIDEO_SYNC(1) <= syncgen_hblank; -- CRC_VIDEO_SYNC(0) <= syncgen_de; -- end if; -- end process; -- --end generate XSVI_WITH_SYNCGEN; --XSVI_WITHOUT_SYNCGEN : if (C_XSVI_USE_SYNCGEN = 0) generate -- Without the VideoSynGen module, -- only the DE signal is availabel via IMGVALID CRC_VIDEO_SYNC(4) <= '0'; -- vsync CRC_VIDEO_SYNC(3) <= '0'; -- hsync CRC_VIDEO_SYNC(2) <= '0'; -- vblank CRC_VIDEO_SYNC(1) <= '0'; -- hblank CRC_VIDEO_SYNC(0) <= CRC_PAR_DATA_IMGVALID_OUT; -- de --end generate XSVI_WITHOUT_SYNCGEN; DEMUX_GEN : if (C_XSVI_DIRECT_OUTPUT = 0) generate -- -- De-Multiplexer -- demux_fifo_l : afifo_64i_16o generic map ( C_FAMILY => C_FAMILY ) port map ( rst => framestart, wr_clk => clk, wr_en => BLC_VIDEO_SYNC(0), -- delayed version of CRC_PAR_DATA_IMGVALID_OUT din => demux_din, rd_clk => clk4x, rd_en => syncgen_de, --syncgen_pixelrequest, dout => demux_dout, empty => demux_empty, full => demux_full ); demux_din(63 downto 58) <= BLC_VIDEO_SYNC & framestart; demux_din(57 downto 48) <= BLC_PAR_DATA_OUT( 9 downto 0); demux_din(47 downto 42) <= BLC_VIDEO_SYNC & '0'; demux_din(41 downto 32) <= BLC_PAR_DATA_OUT(19 downto 10); demux_din(31 downto 26) <= BLC_VIDEO_SYNC & '0'; demux_din(25 downto 16) <= BLC_PAR_DATA_OUT(29 downto 20); demux_din(15 downto 10) <= BLC_VIDEO_SYNC & '0'; demux_din( 9 downto 0) <= BLC_PAR_DATA_OUT(39 downto 30); -- -- XSVI Interface -- XSVI_8BIT_GEN : if (C_XSVI_DATA_WIDTH = 8) generate xsvi_8bit_oregs_l : process (clk4x) begin if rising_edge( clk4x ) then -- xsvi_vsync_o <= demux_dout(15); -- xsvi_hsync_o <= demux_dout(14); -- xsvi_vblank_o <= demux_dout(13); -- xsvi_hblank_o <= demux_dout(12); -- xsvi_active_video_o <= demux_dout(11); -- fsync <= demux_dout(10); xsvi_vsync_o <= syncgen_vsync; xsvi_hsync_o <= syncgen_hsync; xsvi_vblank_o <= syncgen_vblank; xsvi_hblank_o <= syncgen_hblank; xsvi_active_video_o <= syncgen_de; fsync <= framestart2_regen; xsvi_video_data_o <= demux_dout(9 downto 2); end if; end process; end generate XSVI_8BIT_GEN; XSVI_10BIT_GEN : if (C_XSVI_DATA_WIDTH = 10) generate xsvi_10bit_oregs_l : process (clk4x) begin if rising_edge( clk4x ) then -- xsvi_vsync_o <= demux_dout(15); -- xsvi_hsync_o <= demux_dout(14); -- xsvi_vblank_o <= demux_dout(13); -- xsvi_hblank_o <= demux_dout(12); -- xsvi_active_video_o <= demux_dout(11); -- fsync <= demux_dout(10); xsvi_vsync_o <= syncgen_vsync; xsvi_hsync_o <= syncgen_hsync; xsvi_vblank_o <= syncgen_vblank; xsvi_hblank_o <= syncgen_hblank; xsvi_active_video_o <= syncgen_de; fsync <= framestart2_regen; xsvi_video_data_o <= demux_dout(9 downto 0); end if; end process; end generate XSVI_10BIT_GEN; XSVI_16BIT_GEN : if (C_XSVI_DATA_WIDTH = 16) generate xsvi_16bit_oregs_l : process (clk4x) begin if rising_edge( clk4x ) then -- xsvi_vsync_o <= demux_dout(15); -- xsvi_hsync_o <= demux_dout(14); -- xsvi_vblank_o <= demux_dout(13); -- xsvi_hblank_o <= demux_dout(12); -- xsvi_active_video_o <= demux_dout(11); -- fsync <= demux_dout(10); xsvi_vsync_o <= syncgen_vsync; xsvi_hsync_o <= syncgen_hsync; xsvi_vblank_o <= syncgen_vblank; xsvi_hblank_o <= syncgen_hblank; xsvi_active_video_o <= syncgen_de; fsync <= framestart2_regen; xsvi_video_data_o <= X"80" & demux_dout(9 downto 2); end if; end process; end generate XSVI_16BIT_GEN; XSVI_24BIT_GEN : if (C_XSVI_DATA_WIDTH = 24) generate xsvi_24bit_oregs_l : process (clk4x) begin if rising_edge( clk4x ) then -- xsvi_vsync_o <= demux_dout(15); -- xsvi_hsync_o <= demux_dout(14); -- xsvi_vblank_o <= demux_dout(13); -- xsvi_hblank_o <= demux_dout(12); -- xsvi_active_video_o <= demux_dout(11); -- fsync <= demux_dout(10); xsvi_vsync_o <= syncgen_vsync; xsvi_hsync_o <= syncgen_hsync; xsvi_vblank_o <= syncgen_vblank; xsvi_hblank_o <= syncgen_hblank; xsvi_active_video_o <= syncgen_de; fsync <= framestart2_regen; xsvi_video_data_o <= demux_dout(9 downto 2) & demux_dout(9 downto 2) & demux_dout(9 downto 2); end if; end process; end generate XSVI_24BIT_GEN; end generate DEMUX_GEN; DIRECT_OUTPUT_GEN : if (C_XSVI_DIRECT_OUTPUT = 1) generate -- -- XSVI Interface -- XSVI_40BIT_GEN : if (C_XSVI_DATA_WIDTH = 40) generate xsvi_40bit_oregs_l : process (clk) begin if rising_edge( clk ) then xsvi_vsync_o <= BLC_VIDEO_SYNC(4); xsvi_hsync_o <= BLC_VIDEO_SYNC(3); xsvi_vblank_o <= BLC_VIDEO_SYNC(2); xsvi_hblank_o <= BLC_VIDEO_SYNC(1); xsvi_active_video_o <= BLC_VIDEO_SYNC(0); fsync <= framestart; xsvi_video_data_o <= BLC_PAR_DATA_OUT(39 downto 30) & BLC_PAR_DATA_OUT(29 downto 20) & BLC_PAR_DATA_OUT(19 downto 10) & BLC_PAR_DATA_OUT( 9 downto 0) ; end if; end process; end generate XSVI_40BIT_GEN; XSVI_64BIT_GEN : if (C_XSVI_DATA_WIDTH = 64) generate xsvi_64bit_oregs_l : process (clk) begin if rising_edge( clk ) then xsvi_vsync_o <= BLC_VIDEO_SYNC(4); xsvi_hsync_o <= BLC_VIDEO_SYNC(3); xsvi_vblank_o <= BLC_VIDEO_SYNC(2); xsvi_hblank_o <= BLC_VIDEO_SYNC(1); xsvi_active_video_o <= BLC_VIDEO_SYNC(0); fsync <= framestart; xsvi_video_data_o <= "000000" & BLC_PAR_DATA_OUT(39 downto 30) & "000000" & BLC_PAR_DATA_OUT(29 downto 20) & "000000" & BLC_PAR_DATA_OUT(19 downto 10) & "000000" & BLC_PAR_DATA_OUT( 9 downto 0) ; end if; end process; end generate XSVI_64BIT_GEN; end generate DIRECT_OUTPUT_GEN; -- -- I/O registers & buffers -- clk_n <= not clk; oe_n <= not oe; net0 <= '0'; net1 <= '1'; --io_oregs1_l : process (clk) --begin -- if Rising_Edge(clk) then vita_reset_n_o <= not host_vita_reset; -- vita_trigger_o <= (others => '0'); -- vita_reset_n_t <= oe_n; vita_trigger_t <= (others => oe_n); -- end if; --end process; --io_oregs2_l : process (host_spi_clk) --begin -- if Rising_Edge(host_spi_clk) then vita_spi_sclk_t <= oe_n; vita_spi_ssel_n_t <= oe_n; vita_spi_mosi_t <= oe_n; -- end if; --end process; S6_GEN : if (C_FAMILY = "spartan6") generate ODDR_vita_clk_pll_o : ODDR2 generic map ( DDR_ALIGNMENT => "C0", -- "NONE", "C0" or "C1" INIT => '1', -- Sets initial state of Q SRTYPE => "ASYNC") -- Reset type port map ( Q => vita_clk_pll_o, C0 => clk, C1 => clk_n, CE => net1, D0 => net0, D1 => net1, R => net0, S => net0); ODDR_vita_clk_pll_t : ODDR2 generic map ( DDR_ALIGNMENT => "C0", -- "NONE", "C0" or "C1" INIT => '1', -- Sets initial state of Q SRTYPE => "ASYNC") -- Reset type port map ( Q => vita_clk_pll_t, C0 => clk, C1 => clk_n, CE => net1, D0 => oe_n, D1 => oe_n, R => net0, S => net0); end generate S6_GEN; V6_GEN : if (C_FAMILY = "virtex6" or C_FAMILY = "kintex7" or C_FAMILY = "zynq" or C_FAMILY = "artix7" or C_FAMILY = "virtex7") generate ODDR_vita_clk_pll_o : ODDR generic map ( DDR_CLK_EDGE => "SAME_EDGE", -- "OPPOSITE_EDGE" or "SAME_EDGE" INIT => '1', -- Sets initial state of Q SRTYPE => "ASYNC") -- Reset type port map ( Q => vita_clk_pll_o, C => clk, CE => net1, D1 => net0, D2 => net1, R => net0, S => net0); ODDR_vita_clk_pll_t : ODDR generic map ( DDR_CLK_EDGE => "SAME_EDGE", -- "OPPOSITE_EDGE" or "SAME_EDGE" INIT => '1', -- Sets initial state of Q SRTYPE => "ASYNC") -- Reset type port map ( Q => vita_clk_pll_t, C => clk, CE => net1, D1 => oe_n, D2 => oe_n, R => net0, S => net0); end generate V6_GEN; -- -- Tri-stateable outputs -- Can be used to disable outputs to FMC connector -- until FMC module is correctly identified. -- OBUFT_vita_reset_n : OBUFT port map ( O => io_vita_reset_n, I => vita_reset_n_o, T => vita_reset_n_t ); IO1: for I in 0 to 2 generate OBUFT_vita_trigger : OBUFT port map ( O => io_vita_trigger(I), I => vita_trigger_o(I), T => vita_trigger_t(I) ); end generate IO1; OBUFT_vita_clk_pll : OBUFT port map ( O => io_vita_clk_pll, I => vita_clk_pll_o, T => vita_clk_pll_t ); OBUFT_vita_spi_sclk : OBUFT port map ( O => io_vita_spi_sclk, I => vita_spi_sclk_o, T => vita_spi_sclk_t ); OBUFT_vita_spi_ssel_n : OBUFT port map ( O => io_vita_spi_ssel_n, I => vita_spi_ssel_n_o, T => vita_spi_ssel_n_t ); OBUFT_vita_spi_mosi : OBUFT port map ( O => io_vita_spi_mosi, I => vita_spi_mosi_o, T => vita_spi_mosi_t ); -- -- Debug Ports -- Can be used to connect to ChipScope for debugging. -- Having a port makes these signals accessible for debug via EDK. -- debug_spi_l : process (host_spi_clk) begin if Rising_Edge(host_spi_clk) then debug_spi_o(15 downto 0) <= host_spi_timing; debug_spi_o( 16) <= vita_spi_sclk_o; debug_spi_o( 17) <= vita_spi_ssel_n_o; debug_spi_o( 18) <= vita_spi_mosi_o; debug_spi_o( 19) <= io_vita_spi_miso; debug_spi_o( 20) <= host_vita_reset; debug_spi_o( 21) <= host_spi_txfifo_wen; debug_spi_o( 22) <= host_spi_rxfifo_ren; debug_spi_o( 23) <= '0'; debug_spi_o( 24) <= '0'; debug_spi_o( 25) <= host_spi_reset; debug_spi_o( 26) <= vita_spi_status_busy; debug_spi_o( 27) <= vita_spi_status_error; debug_spi_o( 28) <= vita_spi_rxfifo_wen; debug_spi_o( 29) <= vita_spi_txfifo_ren; debug_spi_o( 30) <= vita_spi_rxfifo_full; debug_spi_o( 31) <= vita_spi_txfifo_empty; debug_spi_o(63 downto 32) <= vita_spi_rxfifo_din; debug_spi_o(95 downto 64) <= vita_spi_txfifo_dout; end if; end process; debug_iserdes_l : process (clk) begin if Rising_Edge(clk) then debug_iserdes_o( 49 downto 0) <= FIFO_DATAOUT; debug_iserdes_o( 50) <= FIFO_EMPTY; debug_iserdes_o( 51) <= host_iserdes_fifo_enable; debug_iserdes_o( 52) <= host_iserdes_auto_align; debug_iserdes_o( 53) <= host_iserdes_align_start; debug_iserdes_o( 54) <= host_iserdes_reset; debug_iserdes_o( 59 downto 55) <= EDGE_DETECT; debug_iserdes_o( 64 downto 60) <= TRAINING_DETECT; debug_iserdes_o( 69 downto 65) <= STABLE_DETECT; debug_iserdes_o( 74 downto 70) <= FIRST_EDGE_FOUND; debug_iserdes_o( 79 downto 75) <= SECOND_EDGE_FOUND; debug_iserdes_o( 89 downto 80) <= host_iserdes_training; debug_iserdes_o( 99 downto 90) <= host_iserdes_manual_tap; debug_iserdes_o(115 downto 100) <= CLK_STATUS; debug_iserdes_o( 116) <= CLK_RDY; debug_iserdes_o( 117) <= ALIGN_BUSY; debug_iserdes_o( 118) <= ALIGNED; debug_iserdes_o( 119) <= '0'; debug_iserdes_o(124 downto 120) <= WORD_ALIGN; debug_iserdes_o(129 downto 125) <= TIMEOUTONACK; debug_iserdes_o(179 downto 130) <= TAP_SETTING; debug_iserdes_o(229 downto 180) <= WINDOW_WIDTH; --debug_iserdes_o(309 downto 230) <= NROF_RETRIES; end if; end process; debug_decoder_l : process (clk) begin if Rising_Edge(clk) then debug_decoder_o( 49 downto 0) <= FIFO_DATAOUT; debug_decoder_o( 50) <= FIFO_EMPTY; debug_decoder_o( 51) <= FIFO_RDEN; debug_decoder_o( 52) <= host_iserdes_fifo_enable; debug_decoder_o( 53) <= host_decoder_enable; debug_decoder_o( 54) <= framestart; debug_decoder_o( 55) <= windowstart; debug_decoder_o( 56) <= windowend; debug_decoder_o( 57) <= linestart; debug_decoder_o( 58) <= lineend; debug_decoder_o( 59) <= blacklinestart; debug_decoder_o( 60) <= blacklineend; debug_decoder_o( 61) <= imagelinestart; debug_decoder_o( 62) <= imagelineend; debug_decoder_o( 63) <= validcrc; debug_decoder_o( 67 downto 64) <= CRC_STATUS(NROF_CONN - 2 downto 0); debug_decoder_o( 77 downto 68) <= SYNC_PAR_SYNCOUT; debug_decoder_o(117 downto 78) <= SYNC_PAR_DATAOUT; debug_decoder_o( 118) <= SYNC_PAR_DATA_IMGVALID; debug_decoder_o( 119) <= SYNC_PAR_DATA_BLACKVALID; debug_decoder_o( 120) <= validcrc; debug_decoder_o( 121) <= SYNC_PAR_DATA_LINE; debug_decoder_o( 122) <= SYNC_PAR_DATA_FRAME; debug_decoder_o( 123) <= SYNC_START_KERNEL; debug_decoder_o( 124) <= SYNC_KERNEL_ODD_EVEN; -- debug_decoder_o(134 downto 125) <= CRC_PAR_SYNC_OUT; -- debug_decoder_o(174 downto 135) <= CRC_PAR_DATA_OUT; -- debug_decoder_o( 175) <= CRC_PAR_DATA_IMGVALID_OUT; -- debug_decoder_o( 176) <= CRC_PAR_DATA_BLACKVALID_OUT; -- debug_decoder_o( 177) <= CRC_PAR_DATA_CRCVALID_OUT; -- debug_decoder_o( 178) <= CRC_PAR_DATA_LINE_OUT; -- debug_decoder_o( 179) <= CRC_PAR_DATA_FRAME_OUT; -- debug_decoder_o( 180) <= CRC_START_KERNEL; -- debug_decoder_o( 181) <= CRC_KERNEL_ODD_EVEN; -- debug_decoder_o(134 downto 125) <= (others => '0'); debug_decoder_o( 125) <= CRC_PAR_DATA_FRAME_OUT; debug_decoder_o( 126) <= CRC_PAR_DATA_LINE_OUT; debug_decoder_o( 127) <= CRC_PAR_DATA_CRCVALID_OUT; debug_decoder_o( 128) <= CRC_PAR_DATA_BLACKVALID_OUT; debug_decoder_o( 129) <= CRC_PAR_DATA_IMGVALID_OUT; debug_decoder_o( 130) <= syncgen_de; debug_decoder_o( 131) <= syncgen_hblank; debug_decoder_o( 132) <= syncgen_vblank; debug_decoder_o( 133) <= syncgen_hsync; debug_decoder_o( 134) <= syncgen_vsync; debug_decoder_o(174 downto 135) <= REMAP_PAR_DATA_OUT; debug_decoder_o( 175) <= REMAP_PAR_DATA_VALID_OUT; debug_decoder_o( 176) <= REMAP_PAR_DATA_LINE_OUT; debug_decoder_o( 177) <= REMAP_PAR_DATA_FRAME_OUT; debug_decoder_o( 178) <= REMAP_PAR_DATA_WINDOW_OUT; debug_decoder_o( 179) <= host_remapper_write_cfg(0); debug_decoder_o( 180) <= host_remapper_write_cfg(1); debug_decoder_o( 181) <= host_remapper_write_cfg(2); debug_decoder_o( 182) <= REMAP_VIDEO_SYNC(3); -- hsync debug_decoder_o( 183) <= REMAP_VIDEO_SYNC(4); -- vsync debug_decoder_o( 184) <= REMAP_VIDEO_SYNC(0); -- de debug_decoder_o( 185) <= REMAP_VIDEO_SYNC(1); -- hblank debug_decoder_o( 186) <= REMAP_VIDEO_SYNC(2); -- vblank end if; end process; debug_crc_l : process (clk) begin if Rising_Edge(clk) then debug_crc_o( 3 downto 0) <= CRC_STATUS; debug_crc_o(87 downto 4) <= (others => '0'); --CRC_DEBUG; end if; end process; debug_video_l : process (clk4x) begin if Rising_Edge(clk4x) then debug_video_o(10 downto 0) <= demux_dout(10 downto 0); debug_video_o( 11) <= syncgen_de; debug_video_o( 12) <= syncgen_hblank; debug_video_o( 13) <= syncgen_vblank; debug_video_o( 14) <= syncgen_hsync; debug_video_o( 15) <= syncgen_vsync; debug_video_o( 16) <= demux_empty; debug_video_o( 17) <= demux_full; debug_video_o( 18) <= syncgen_de; --syncgen_pixelrequest; debug_video_o( 19) <= framestart2_regen; debug_video_o( 20) <= framestart2; debug_video_o( 21) <= framestart; debug_video_o( 22) <= BLC_VIDEO_SYNC(0); debug_video_o( 23) <= CRC_PAR_DATA_IMGVALID_OUT; debug_video_o( 24) <= CRC_PAR_DATA_BLACKVALID_OUT; debug_video_o( 25) <= CRC_PAR_DATA_CRCVALID_OUT; debug_video_o( 26) <= CRC_PAR_DATA_LINE_OUT; debug_video_o( 27) <= CRC_PAR_DATA_FRAME_OUT; debug_video_o( 28) <= CRC_START_KERNEL; debug_video_o( 29) <= CRC_KERNEL_ODD_EVEN; debug_video_o( 30) <= clk; debug_video_o( 31) <= '0'; end if; end process; debug_triggen_l : process (clk) begin if Rising_Edge(clk) then debug_triggen_o( 2 downto 0) <= host_triggen_enable; debug_triggen_o( 3) <= host_triggen_sync2readout(0); debug_triggen_o( 4) <= host_triggen_readouttrigger; debug_triggen_o( 5) <= trigger1; debug_triggen_o( 6) <= '0'; --readouttrigger_d2; debug_triggen_o( 9 downto 7) <= vita_trigger_o; end if; end process; end rtl;
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-16.08:47:50) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY ewf_random_entity IS PORT ( reset, clk: IN std_logic; input1, input2: IN unsigned(0 TO 3); output1, output2, output3, output4, output5: OUT unsigned(0 TO 4)); END ewf_random_entity; ARCHITECTURE ewf_random_description OF ewf_random_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register8: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; register2 := input2 + 2; WHEN "00000010" => register3 := register2 + 4; WHEN "00000011" => register4 := register3 + 6; WHEN "00000100" => register4 := register1 + register4; WHEN "00000101" => register5 := register4 * 8; register6 := register4 * 10; WHEN "00000110" => register5 := register3 + register5; WHEN "00000111" => register4 := register4 + register5; register6 := register1 + register6; register3 := register3 + register5; WHEN "00001000" => register1 := register1 + register6; register3 := register3 * 12; WHEN "00001001" => register1 := register1 * 14; WHEN "00001010" => register1 := register1 + 16; register3 := register2 + register3; WHEN "00001011" => register7 := register6 + register1; register2 := register2 + register3; WHEN "00001100" => register7 := register7 + 18; register5 := register5 + register3; WHEN "00001101" => register8 := register7 * 20; output1 <= register6 + register4; WHEN "00001110" => register4 := register8 + 23; register6 := register1 + 25; register2 := register2 * 27; WHEN "00001111" => register6 := register6 * 29; output2 <= register7 + register4; register4 := register5 + 32; register2 := register2 + 34; WHEN "00010000" => output3 <= register3 + register2; output4 <= register1 + register6; register1 := register4 * 38; WHEN "00010001" => register1 := register1 + 40; WHEN "00010010" => output5 <= register4 + register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END ewf_random_description;
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY tb_alu IS END tb_alu; ARCHITECTURE tb OF tb_alu IS constant data_width : integer := 8; constant sel_width : integer := 4; COMPONENT alu PORT ( A : IN std_logic_vector (data_width - 1 DOWNTO 0); B : IN std_logic_vector (data_width - 1 DOWNTO 0); C_IN : IN std_logic; Sel : IN std_logic_vector (sel_width - 1 DOWNTO 0); SUM : OUT std_logic_vector (data_width - 1 DOWNTO 0); C_FLAG : OUT std_logic; Z_FLAG : OUT std_logic ); END COMPONENT; SIGNAL A_tb : std_logic_vector (data_width - 1 DOWNTO 0); SIGNAL B_tb : std_logic_vector (data_width - 1 DOWNTO 0); SIGNAL C_IN_tb : std_logic; SIGNAL Sel_tb : std_logic_vector (sel_width - 1 DOWNTO 0); SIGNAL SUM_tb : std_logic_vector (data_width - 1 DOWNTO 0); SIGNAL C_FLAG_tb : std_logic; SIGNAL Z_FLAG_tb : std_logic; CONSTANT TbPeriod : TIME := 1000 ns; -- EDIT Put right period here SIGNAL TbClock : std_logic := '0'; SIGNAL TbSimEnded : std_logic := '0'; BEGIN dut : alu PORT MAP( A => A_tb, B => B_tb, C_IN => C_IN_tb, Sel => Sel_tb, SUM => SUM_tb, C_FLAG => C_FLAG_tb, Z_FLAG => Z_FLAG_tb ); -- Clock generation TbClock <= NOT TbClock AFTER TbPeriod/2 WHEN TbSimEnded /= '1' ELSE '0'; -- EDIT: Replace YOURCLOCKSIGNAL below by the name of your clock as I haven't guessed it -- YOURCLOCKSIGNAL <= TbClock; stimuli : PROCESS BEGIN -- EDIT Adapt initialization as needed A_tb <= (OTHERS => '0'); B_tb <= (OTHERS => '0'); C_IN_tb <= '0'; Sel_tb <= (OTHERS => '0'); --Test Case #1: add WAIT FOR 10ns; Sel_tb <= x"0"; A_tb <= x"AA"; B_tb <= x"AA"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #2: addc WAIT FOR 10ns; Sel_tb <= x"1"; A_tb <= x"C8"; B_tb <= x"37"; C_IN_tb <= '1'; WAIT FOR 10ns; --Test Case #3: sub WAIT FOR 10ns; Sel_tb <= x"2"; A_tb <= x"C8"; B_tb <= x"64"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #4: subc WAIT FOR 10ns; Sel_tb <= x"3"; A_tb <= x"C8"; B_tb <= x"C8"; C_IN_tb <= '1'; WAIT FOR 10ns; --Test Case #5: COMP WAIT FOR 10ns; Sel_tb <= x"4"; A_tb <= x"AA"; B_tb <= x"FF"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #6: COMP WAIT FOR 10ns; Sel_tb <= x"4"; A_tb <= x"AA"; B_tb <= x"AA"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #7: AND WAIT FOR 10ns; Sel_tb <= x"5"; A_tb <= x"AA"; B_tb <= x"CC"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #7: OR WAIT FOR 10ns; Sel_tb <= x"6"; A_tb <= x"AA"; B_tb <= x"AA"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #8: XOR WAIT FOR 10ns; Sel_tb <= x"7"; A_tb <= x"AA"; B_tb <= x"AA"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #9: TEST WAIT FOR 10ns; Sel_tb <= x"8"; A_tb <= x"AA"; B_tb <= x"55"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #10: LSL WAIT FOR 10ns; Sel_tb <= x"9"; A_tb <= x"01"; B_tb <= x"12"; C_IN_tb <= '1'; WAIT FOR 10ns; --Test Case #11: LSR WAIT FOR 10ns; Sel_tb <= x"A"; A_tb <= x"81"; B_tb <= x"33"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #12: ROL WAIT FOR 10ns; Sel_tb <= x"B"; A_tb <= x"01"; B_tb <= x"AB"; C_IN_tb <= '1'; WAIT FOR 10ns; --Test Case #13: ROR WAIT FOR 10ns; Sel_tb <= x"C"; A_tb <= x"81"; B_tb <= x"3C"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #14: ASR WAIT FOR 10ns; Sel_tb <= x"D"; A_tb <= x"81"; B_tb <= x"81"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #15: MOV WAIT FOR 10ns; Sel_tb <= x"E"; A_tb <= x"50"; B_tb <= x"30"; C_IN_tb <= '0'; WAIT FOR 10ns; WAIT FOR 100 * TbPeriod; -- Stop the clock and hence terminate the simulation TbSimEnded <= '1'; WAIT; END PROCESS; END tb;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HgA2IYn7DDAg50ZQXIF+3uF9LGQQ7iRnh9rRjI9Qf5gANpcevgVL1MizfVT7NKiRIjR25gpd/frh i5ioFrwX9g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jGWna+ri4Ln5Ol4O2XYl54WWXvApiw4AQvHKyG5WPA/wG5gdYxJB5TsVgAEnuuZW8XaNRVTjEJ1g xQEQ0pfMwvMIi5U6dbR13ZZNcJ6K5RD352bkLqoevz9cM6sx0mdobkv90Db/JxIGmA4NxmsNFJU5 OprkhndD6iP9cSc6xF8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dE09sW8rfEVKAE8tJxbijIBoKg5aImi/bwGIqMNMo00RGPg+oZMfI/MapbgagkM8cCe8OcVtZRES JNvPFDz9zirNP3oDs2Tt5klGXNXOmV0H9wo8twnF8t+v2V0VOksCnwflqXn3kNmZ7gktK4yiZrUo GVG9bpriTIEerq9osaZ9zFU4gNqRGXMTqOCkqnVKc+guoVUqmu68nXogrnzzpdA9iZQhEHM4eRqL 2cZbraX6UijVKuKZ98sS+y0q40tEseAiD9qQj5m/TTizJ8N+QVgEEUTB7YndGZ2+7nWBRj5upize jwxV2AwuUJL/ohewELTaCEAH54sauhn3IsA9mQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vJFMkpaFUDrnI4gxuqkHmRkcal6RLTHDB5pKdGHAIKJW9lwXqRph65+R46SI7MCZBwm9XXsphpzY tUBz6PT7VpCSG2rrI2JAPI4Gi8YMyRIIIhcBRcUACFKwtU5BGWGL1kQl2dGkVReJoHz5rMC08XIr 8lHI7RXdVL0RJLoKln4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jz3Mt6krjLr0CAySESYUYpmpNSb2dzpouEL8gBb7U15BOyU5048hkAwGgdP61H9LcXSnDSLG06Eb YLCo2Mq+Be79txxWDS5LuqgwrpUmspI0vd0x/0SPc2pTWWU4sSPsuw3OSHlXP83bjxUgZLwrFEE+ CZ9S5e26tFirr7RDMOQrjTM9ngvsabDng0ByxKwSSG6141sLFDk3/PcDxlJX63JCw4W+o6cTzXn3 /EfJownOkIBmT3+tYE1QHW4CylG4rnSmq5s9IIoayec7Lhih22HyCiw0LXNg8055ZFcHBfuVlvHm nNiN81PGoBCrXSWTmw5QGIQtLWxsuW4jfy/Ibg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4016) `protect data_block qx3N9tvAjRHZsKRahdsaaAsrBR9ILJH4cDbAr2QPBYz9ar1wVfVApd9CX5T4Xcly9H6zm2jFLK5s zFHpgkhaTuyiuQUzdTc2Xp87TBrVKrRQbDqlaBl0xxP+vhgXMOhfCqg9vwvFxxdj3oh+5H3ncHUM +yAXe7dPJJrQXPoJfoOsy0sClO2vKT07R6tqrRR+ois0uAL6jl3Z3v1GZpA4eD4cfk84fYMUSR+r j995xf6ctXX+BaWn9T2emCGMgyMcJseKYIPcAZnB3SLJmdC555mbeST9HTakwLHA7VU0C2K4LbRh dRZ1maxiq2kIe80DZoB4WL+7rg4Gs1DI9uoQ0J+alSQhuOGrytswPXLvKed4TwJWJIJYq+BP/nfb 7qNNugNySJNhctmGP/IcDt6zG7fT8tvb1myqJMfvWlum9ntarZPYRdfrdjHxfvDf4hdxIxANZucn 6CwA+6eFWaPh8wracLt2yiTcaP5jK30hoVg8SAWSNdmFu8tLvsBnLP8NUbi4AJFOXi2fMX3/SMjP kA9k8u1JZriJc0Y/5l8lAdSBRo17i7JjWdrrHeOtbMn1KvhrV6Q0jK9gewbUWFmmFaFeTdd+BvlB vywJBVwSWCcxTxST4ycKz2K2qruu38WzQ+m+cijgwpj5V6o0NY//607R0VfDnXmRpaPSKIDojzwp 2tGW8fY/z9MhdUoEbpau06E93SxAXSMwvM6E2Fxq5X7H6kktW/tvAtDBIRYAbzxx6hKU0F/+sSi7 pBFztVDBgD79ViTIwQbWirR/isUVRl3cu854XZO263UJGlvOQ3OqWFqzGX/LgTKV9BjYZi8mbH4X UtgjjOZcADhBLA12ADpBhHS6i2uMogMFfljh4kLerMv3RT3MhVxJt+doOPEsi4PCMiXAAxHbXI/t cNavdlsBr1NjmyHVVzQWtI9Mp8w8F10IRZzGZ+d3/Ife0OiIV/PZHu19RMX1z2pN+8Me+wMQ25NF 1l6a+tiBze8av7EmVT8An/65NkYjOz5TLevuCYkSu4NFxK+7FobMEwXa4R1nLX1fxCltWwzh7MAg dAaH95yOU/hs3uEI7dVAc0QTiZDQpBTe6dF1EktO4WpC21E5IOw6jPTE9xkpGrj2DHyQe/e5CGD5 QcOk9lK/cfmS8NS5bkphR3kqgNZuk/GY8Wec344Glr3d9AdjYlH6c/T1sjpu1xcgrN7Gi1j6R22l Gr2wnS8RwidzNs46uQY1otJe7B0GhRKWeOadkuP+SA/OeDC2DKG+N5FXArx0P8m3rnbCy6sm7M6+ QFtxqcwOUnsyzlie8xLWatAwGynqXWrcDeXNHfETPBxg1LN9dDZV12zsIw26u7KRmdAyp9OVI5Cb 4x4XcxVK/Bk7eK0951ZWUPtYzsYrd0ViPNiIvl2PmNUhTF7UbAjGAqP0zpBe/GjTC3wQmA0kmaQY 7jvufdWBeHAlm3LW4doeO3hLcjUzIIfzf5F5FyFUoJiH9LQNqU/WfJd4PS0TQfl86zU925bIl6jR 6LWF9IDDRwF/qdu3/BlhqerZIkPow8TXi9KeiSXvDHX5KrBbOfWKAnvsa/Dvcm+Pf24VU220X+PQ JKM1H3bkzaXnjNkskkWcF7iUEzsMvRLomouZdj74bB7Kvj0XuxvHDK4wZzcv5GdmBxBno9PRwAT0 fW26m3GRUbZuGzipg38DxBuTeDajTAD67CWMYm5hJ8Ok8F/7jiVV70zOgNDNxrO0Xb/lTCABourS 6ZjsitiTXJwpLmuH27GHK2+4t2W5zK9hPRlwrU/UwwV6yINS0B0i1aeUH5g1Dc8Lj9HXcqfjuF3e 8D/TxFyhyntNAhKfQK5vpi6NrhVj1E6BuSLeImbmWV0xbLzxkuwMJm6Vo61WHqIrj36ynxwKojw8 3zg8p3uqbkvdI8YExhYjlwq1Yeggn8kuaB8VuptDLquyABupRIMExDkVJuv79cNX8iDFFBMMD7aA uAJIPAjO5Hk9KBD8sVB1XTgiO4DlM7RbT4n1otzZCrb42m1IpgxVsClswHemCVrFgEAmSNcHFTVF 1rMRLJ4EYYBmJCjFn3EXV/8e7NpgVGB6ZVIthnBTBsY+Dxx84ZD4lTUhXFmBub08nsYT4O78F719 yKStNfkpkVw+nLxgtyGyT6GB19AoAdBJ27azqhqa64+OXZVcK3qTDVAuG4V3Bv3qXvrgo105jgNW sS57R7hsUqZrJvXxCMmwyYhICMnOlboYIi1z6HBVclu6QbsrmMNbCAx0l6Ijgp5PewrIdqiSO7MX B6YgUTL6KOmTyOw83z3A1a9aJRfU6Lbb9Ng9qBS1ZEWgsyTtMchKsu436AwUv33QRZONhYTT+XB/ Jugqpyu32kBkEHe+PnlmFS1W7TZBUkWvemqE7wpGFel9P83DNjM85/S3ovcdBmZbt66OhnWeAkCk LA2Sa5FITIv25iqO8GeBuk/XxrOpr20kp1h1FBfmNxYU/kZDlxXyW/JQ/5FMIoTzBvIa8jwqYNX3 jXwlAEfS1IQsJzuC2StTvRegQ1VZC8S1TtKKdswKuXMavVxpCsrLeewJuA2h/zF95atBy8nthscZ nqxmJNEF8FO2dhHzjZzjySU1I587znlsd9A3JJxB2k8ORU4td3tRQDJUWl9s1CaglGFhoHU9p5yE o4K57dEiDaTO0ZQQRnqogyz4gYeJ+/zjKZ4Q/CKhbhSnfzcB2ATk8f4DOlx1uzaPXdE45x718F7t nhZSOXATvMUjf4l6fGKwvN8GbJtrKt03lz5VpY7ZnSiM7S8c/DlX4UOEn/vCxzsJ1DWDOLf40G5Q hawM/e941GwUn/Uxt4bM9qBwJFcuBHcgi20dfzde+uQzMJlw6zQvDG8blwfaBov+1cCh9VZo7VC5 ujfEnaaJ1zB0HGGIMV1iF+eqmsrQksZslz1gv+7BuX+WKCu8nW5aYTHMb3V9F95K39bjA0AnnXIV tR0uC8U7ex3c82tOQIVink69EtV8b1EXTE1sumyGSk6j/NfKK3OXOzSHa9WR5OVSPyinfj48AUa6 fj6QUjnvBt3qWnLlWQjgMPF+M7yjTrcdpMrGY6OWwYQPHl1Lh4aqX3b3K9VG4z9/aI0K606p+asQ A2o52LZOpPsmJwhgA4kO7rYLCTIhzTCY4drExrkX8kJHWhBGv+elymJiwtvyyugyiER3NcT+gTcQ go73Hy6jC0k5c5xRROxYt+Kd3t43/O37+yYBQGf3LBSh7tor5Iw9ungx1Fmw6JWpEeC6UKy10rC2 uE7Ni6g5yubOhs5ND+N8mpzJrQlmz0gqxR/nnxHMgJZgVXTvdbHerw1qQc+zz0fYJED73mgiizHj au4Z/ac4/mgHHsv5RO/9oIdbDWA9oj9jqAZHuYacGrmVoPIiK7VkHlXI89IKHpVO+Ymbdk/EEJMm ShpICNyrkbnFrV+oR5hWNOblbSQyyIm0eCZpaVK3iZtAyAHqDtsHKjSiUAge/tDTv7kAhMn18I7V Hh9eoE5UFzlKyZ79OkSeiIJUuizbV5LlwB0CArKBZnGjnH2EW0wkToINdMAy/gbBEwb3wXHhech2 z1lTkjsfjCSAOWYwjU7vZgHlERLx05GajOu+7EXxBdwwnov1qmoyyMxbzhQfFDF9WeOiaABukyJk 0gSSzMSS1RHarf3qBDB2cdu/1CBS6HF8gYzqbB3iuxd51wmRPRaiEQK7YOlzsxeZUAojyv0hhVA3 qIh32KYJqwHSNkYWAnmSYK7ZDnJ1on2yrdqjGmH3bHbNGHelIt5ipkG2gJkhG+32gPbE972WFfe9 fxYjnR8DmhBEhBmqU9pPTJc7sewe1OuQbZGDw/kQhjaJetG/MKiA1uuKGAheY2bQNFLUTX1quRTy UcYeoZDXOaUDhX+Py0EIVcWk1GhwJSUmHT5XC9H6CKAMr6rerpi0Son9/vmlqEaoxlCibtfbwMBX DIu4/YxIBG5bFUsoQNR+KCJxCsIlukY+b0he/I5dDbf9HiTwgMikTZkzwxyFcR9WaBphG9o4N70m RmuMNExx3/ImyftwJu9QPE0cZdSQ5FLvpe+nSAsaSHmfEZhovm3LIsupgETHKr2fIrEvbvD5JUVX bi9TRssIVgTGe4L3dK4J5Is668V2KWy3rsWfs0Sk7dICUYyE17xTcnLlgXWMQkuHWk48yLSmU8JH 1S8UF2Hx0LBwEV7jiT2W+8m57U4k7exetyR3XIYp5uAecH/V2mLc8LT5quiQvCkBu0nYxNlh1C/r YHln+IGXqL5i04VGJH4NUYk8B2AwmhBEGYSEAViQXsFwTXrijddOct8fT7i+ygLGZGjauGZnoPgh ISKw4UQALyetbeDMQxb9k3nIrCr+Vi9rO/PMpL8Ul8Tma4QynCHzQwTbqv20U904wuSHjoNsnDXK +zcS69vi2gROb0qPkadk2T11+SqAx2rvVkDj/SH37+GfDcagmLViKEjtiig9kqBrVDhrbCVx3FR/ 80TT6KUAgpkgnhJGylJwkF/dmjXsiD/0P+MM24j8+XpI0Gd4i1eLWSt6SZU4xOHjABzQnpqOM9bS rp8OnPdvPIsbs5+fUCDaAjUD8RwAXTb1L3TOb+PA4bkQkH7jYk/LD7PZ32/PSinankQraKFiJVpx MB77nX6EVbbYLGqlAi+VmDrdMiLWysmYIwPZvTgVhdm1d8ZT4si08BSuO8A2+i5cQMoFBj/d47j0 bO2kntewUIHHKupVH/aNxtw7fAU/MhSeessMVP62SY1zeqhZU3kWEVpqzZZ43rF8PFMAFb43nvBv 0FSuElOk+7u6K5MHO0u09Q6/2g/wO/kft4S0XchSIFmSpa0zr1PU2y14NoD0oFDoaFydsx4vmovk nx0O4dQUmf9BeI9lUncv1lQ1F+jTfGQk1g7K2DhT0gQtlhTgP9sSXcXvwaWhJa/v+gYoTa7RgpVH TLIn08F8ubz0aMNL4X51ol060eeLB2HxdAn0+STX3XBm5uME4Jj4Xp19wxCSlbGbochRi4DrJ72E gb5z2kpFnKItUgjXdlL9nFddhJfp6ZqfiAvcCcGX40CkA+WnXsAJIad8QnOnI3ARvdSMIzZqd0nb GIwblfVkBwD0tQs/2+8r8Ovw++squNWADndy11Ks/9qosFC72N5BToO5s88PukW7lmCvkUz2jDv5 v7HBJ5Gulv1YIvqu9/T3IvwZRVII90uilUbsjvOOrOvoBJ3ajE2rRUZ6SM5FfCy5k0M838cCCsiA UK1mr7pO4CjKtpuHD+ScAdowzb21Li/cranMT5RPolPAbesD9/z2NgSwMGSLJnrcxlr2XQUSFcWh Sj1yhSGqJvoo2Jx6S2bgFKO6tBSNoWTpxB0= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HgA2IYn7DDAg50ZQXIF+3uF9LGQQ7iRnh9rRjI9Qf5gANpcevgVL1MizfVT7NKiRIjR25gpd/frh i5ioFrwX9g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jGWna+ri4Ln5Ol4O2XYl54WWXvApiw4AQvHKyG5WPA/wG5gdYxJB5TsVgAEnuuZW8XaNRVTjEJ1g xQEQ0pfMwvMIi5U6dbR13ZZNcJ6K5RD352bkLqoevz9cM6sx0mdobkv90Db/JxIGmA4NxmsNFJU5 OprkhndD6iP9cSc6xF8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dE09sW8rfEVKAE8tJxbijIBoKg5aImi/bwGIqMNMo00RGPg+oZMfI/MapbgagkM8cCe8OcVtZRES JNvPFDz9zirNP3oDs2Tt5klGXNXOmV0H9wo8twnF8t+v2V0VOksCnwflqXn3kNmZ7gktK4yiZrUo GVG9bpriTIEerq9osaZ9zFU4gNqRGXMTqOCkqnVKc+guoVUqmu68nXogrnzzpdA9iZQhEHM4eRqL 2cZbraX6UijVKuKZ98sS+y0q40tEseAiD9qQj5m/TTizJ8N+QVgEEUTB7YndGZ2+7nWBRj5upize jwxV2AwuUJL/ohewELTaCEAH54sauhn3IsA9mQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vJFMkpaFUDrnI4gxuqkHmRkcal6RLTHDB5pKdGHAIKJW9lwXqRph65+R46SI7MCZBwm9XXsphpzY tUBz6PT7VpCSG2rrI2JAPI4Gi8YMyRIIIhcBRcUACFKwtU5BGWGL1kQl2dGkVReJoHz5rMC08XIr 8lHI7RXdVL0RJLoKln4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jz3Mt6krjLr0CAySESYUYpmpNSb2dzpouEL8gBb7U15BOyU5048hkAwGgdP61H9LcXSnDSLG06Eb YLCo2Mq+Be79txxWDS5LuqgwrpUmspI0vd0x/0SPc2pTWWU4sSPsuw3OSHlXP83bjxUgZLwrFEE+ CZ9S5e26tFirr7RDMOQrjTM9ngvsabDng0ByxKwSSG6141sLFDk3/PcDxlJX63JCw4W+o6cTzXn3 /EfJownOkIBmT3+tYE1QHW4CylG4rnSmq5s9IIoayec7Lhih22HyCiw0LXNg8055ZFcHBfuVlvHm nNiN81PGoBCrXSWTmw5QGIQtLWxsuW4jfy/Ibg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4016) `protect data_block qx3N9tvAjRHZsKRahdsaaAsrBR9ILJH4cDbAr2QPBYz9ar1wVfVApd9CX5T4Xcly9H6zm2jFLK5s zFHpgkhaTuyiuQUzdTc2Xp87TBrVKrRQbDqlaBl0xxP+vhgXMOhfCqg9vwvFxxdj3oh+5H3ncHUM +yAXe7dPJJrQXPoJfoOsy0sClO2vKT07R6tqrRR+ois0uAL6jl3Z3v1GZpA4eD4cfk84fYMUSR+r j995xf6ctXX+BaWn9T2emCGMgyMcJseKYIPcAZnB3SLJmdC555mbeST9HTakwLHA7VU0C2K4LbRh dRZ1maxiq2kIe80DZoB4WL+7rg4Gs1DI9uoQ0J+alSQhuOGrytswPXLvKed4TwJWJIJYq+BP/nfb 7qNNugNySJNhctmGP/IcDt6zG7fT8tvb1myqJMfvWlum9ntarZPYRdfrdjHxfvDf4hdxIxANZucn 6CwA+6eFWaPh8wracLt2yiTcaP5jK30hoVg8SAWSNdmFu8tLvsBnLP8NUbi4AJFOXi2fMX3/SMjP kA9k8u1JZriJc0Y/5l8lAdSBRo17i7JjWdrrHeOtbMn1KvhrV6Q0jK9gewbUWFmmFaFeTdd+BvlB vywJBVwSWCcxTxST4ycKz2K2qruu38WzQ+m+cijgwpj5V6o0NY//607R0VfDnXmRpaPSKIDojzwp 2tGW8fY/z9MhdUoEbpau06E93SxAXSMwvM6E2Fxq5X7H6kktW/tvAtDBIRYAbzxx6hKU0F/+sSi7 pBFztVDBgD79ViTIwQbWirR/isUVRl3cu854XZO263UJGlvOQ3OqWFqzGX/LgTKV9BjYZi8mbH4X UtgjjOZcADhBLA12ADpBhHS6i2uMogMFfljh4kLerMv3RT3MhVxJt+doOPEsi4PCMiXAAxHbXI/t cNavdlsBr1NjmyHVVzQWtI9Mp8w8F10IRZzGZ+d3/Ife0OiIV/PZHu19RMX1z2pN+8Me+wMQ25NF 1l6a+tiBze8av7EmVT8An/65NkYjOz5TLevuCYkSu4NFxK+7FobMEwXa4R1nLX1fxCltWwzh7MAg dAaH95yOU/hs3uEI7dVAc0QTiZDQpBTe6dF1EktO4WpC21E5IOw6jPTE9xkpGrj2DHyQe/e5CGD5 QcOk9lK/cfmS8NS5bkphR3kqgNZuk/GY8Wec344Glr3d9AdjYlH6c/T1sjpu1xcgrN7Gi1j6R22l Gr2wnS8RwidzNs46uQY1otJe7B0GhRKWeOadkuP+SA/OeDC2DKG+N5FXArx0P8m3rnbCy6sm7M6+ QFtxqcwOUnsyzlie8xLWatAwGynqXWrcDeXNHfETPBxg1LN9dDZV12zsIw26u7KRmdAyp9OVI5Cb 4x4XcxVK/Bk7eK0951ZWUPtYzsYrd0ViPNiIvl2PmNUhTF7UbAjGAqP0zpBe/GjTC3wQmA0kmaQY 7jvufdWBeHAlm3LW4doeO3hLcjUzIIfzf5F5FyFUoJiH9LQNqU/WfJd4PS0TQfl86zU925bIl6jR 6LWF9IDDRwF/qdu3/BlhqerZIkPow8TXi9KeiSXvDHX5KrBbOfWKAnvsa/Dvcm+Pf24VU220X+PQ JKM1H3bkzaXnjNkskkWcF7iUEzsMvRLomouZdj74bB7Kvj0XuxvHDK4wZzcv5GdmBxBno9PRwAT0 fW26m3GRUbZuGzipg38DxBuTeDajTAD67CWMYm5hJ8Ok8F/7jiVV70zOgNDNxrO0Xb/lTCABourS 6ZjsitiTXJwpLmuH27GHK2+4t2W5zK9hPRlwrU/UwwV6yINS0B0i1aeUH5g1Dc8Lj9HXcqfjuF3e 8D/TxFyhyntNAhKfQK5vpi6NrhVj1E6BuSLeImbmWV0xbLzxkuwMJm6Vo61WHqIrj36ynxwKojw8 3zg8p3uqbkvdI8YExhYjlwq1Yeggn8kuaB8VuptDLquyABupRIMExDkVJuv79cNX8iDFFBMMD7aA uAJIPAjO5Hk9KBD8sVB1XTgiO4DlM7RbT4n1otzZCrb42m1IpgxVsClswHemCVrFgEAmSNcHFTVF 1rMRLJ4EYYBmJCjFn3EXV/8e7NpgVGB6ZVIthnBTBsY+Dxx84ZD4lTUhXFmBub08nsYT4O78F719 yKStNfkpkVw+nLxgtyGyT6GB19AoAdBJ27azqhqa64+OXZVcK3qTDVAuG4V3Bv3qXvrgo105jgNW sS57R7hsUqZrJvXxCMmwyYhICMnOlboYIi1z6HBVclu6QbsrmMNbCAx0l6Ijgp5PewrIdqiSO7MX B6YgUTL6KOmTyOw83z3A1a9aJRfU6Lbb9Ng9qBS1ZEWgsyTtMchKsu436AwUv33QRZONhYTT+XB/ Jugqpyu32kBkEHe+PnlmFS1W7TZBUkWvemqE7wpGFel9P83DNjM85/S3ovcdBmZbt66OhnWeAkCk LA2Sa5FITIv25iqO8GeBuk/XxrOpr20kp1h1FBfmNxYU/kZDlxXyW/JQ/5FMIoTzBvIa8jwqYNX3 jXwlAEfS1IQsJzuC2StTvRegQ1VZC8S1TtKKdswKuXMavVxpCsrLeewJuA2h/zF95atBy8nthscZ nqxmJNEF8FO2dhHzjZzjySU1I587znlsd9A3JJxB2k8ORU4td3tRQDJUWl9s1CaglGFhoHU9p5yE o4K57dEiDaTO0ZQQRnqogyz4gYeJ+/zjKZ4Q/CKhbhSnfzcB2ATk8f4DOlx1uzaPXdE45x718F7t nhZSOXATvMUjf4l6fGKwvN8GbJtrKt03lz5VpY7ZnSiM7S8c/DlX4UOEn/vCxzsJ1DWDOLf40G5Q hawM/e941GwUn/Uxt4bM9qBwJFcuBHcgi20dfzde+uQzMJlw6zQvDG8blwfaBov+1cCh9VZo7VC5 ujfEnaaJ1zB0HGGIMV1iF+eqmsrQksZslz1gv+7BuX+WKCu8nW5aYTHMb3V9F95K39bjA0AnnXIV tR0uC8U7ex3c82tOQIVink69EtV8b1EXTE1sumyGSk6j/NfKK3OXOzSHa9WR5OVSPyinfj48AUa6 fj6QUjnvBt3qWnLlWQjgMPF+M7yjTrcdpMrGY6OWwYQPHl1Lh4aqX3b3K9VG4z9/aI0K606p+asQ A2o52LZOpPsmJwhgA4kO7rYLCTIhzTCY4drExrkX8kJHWhBGv+elymJiwtvyyugyiER3NcT+gTcQ go73Hy6jC0k5c5xRROxYt+Kd3t43/O37+yYBQGf3LBSh7tor5Iw9ungx1Fmw6JWpEeC6UKy10rC2 uE7Ni6g5yubOhs5ND+N8mpzJrQlmz0gqxR/nnxHMgJZgVXTvdbHerw1qQc+zz0fYJED73mgiizHj au4Z/ac4/mgHHsv5RO/9oIdbDWA9oj9jqAZHuYacGrmVoPIiK7VkHlXI89IKHpVO+Ymbdk/EEJMm ShpICNyrkbnFrV+oR5hWNOblbSQyyIm0eCZpaVK3iZtAyAHqDtsHKjSiUAge/tDTv7kAhMn18I7V Hh9eoE5UFzlKyZ79OkSeiIJUuizbV5LlwB0CArKBZnGjnH2EW0wkToINdMAy/gbBEwb3wXHhech2 z1lTkjsfjCSAOWYwjU7vZgHlERLx05GajOu+7EXxBdwwnov1qmoyyMxbzhQfFDF9WeOiaABukyJk 0gSSzMSS1RHarf3qBDB2cdu/1CBS6HF8gYzqbB3iuxd51wmRPRaiEQK7YOlzsxeZUAojyv0hhVA3 qIh32KYJqwHSNkYWAnmSYK7ZDnJ1on2yrdqjGmH3bHbNGHelIt5ipkG2gJkhG+32gPbE972WFfe9 fxYjnR8DmhBEhBmqU9pPTJc7sewe1OuQbZGDw/kQhjaJetG/MKiA1uuKGAheY2bQNFLUTX1quRTy UcYeoZDXOaUDhX+Py0EIVcWk1GhwJSUmHT5XC9H6CKAMr6rerpi0Son9/vmlqEaoxlCibtfbwMBX DIu4/YxIBG5bFUsoQNR+KCJxCsIlukY+b0he/I5dDbf9HiTwgMikTZkzwxyFcR9WaBphG9o4N70m RmuMNExx3/ImyftwJu9QPE0cZdSQ5FLvpe+nSAsaSHmfEZhovm3LIsupgETHKr2fIrEvbvD5JUVX bi9TRssIVgTGe4L3dK4J5Is668V2KWy3rsWfs0Sk7dICUYyE17xTcnLlgXWMQkuHWk48yLSmU8JH 1S8UF2Hx0LBwEV7jiT2W+8m57U4k7exetyR3XIYp5uAecH/V2mLc8LT5quiQvCkBu0nYxNlh1C/r YHln+IGXqL5i04VGJH4NUYk8B2AwmhBEGYSEAViQXsFwTXrijddOct8fT7i+ygLGZGjauGZnoPgh ISKw4UQALyetbeDMQxb9k3nIrCr+Vi9rO/PMpL8Ul8Tma4QynCHzQwTbqv20U904wuSHjoNsnDXK +zcS69vi2gROb0qPkadk2T11+SqAx2rvVkDj/SH37+GfDcagmLViKEjtiig9kqBrVDhrbCVx3FR/ 80TT6KUAgpkgnhJGylJwkF/dmjXsiD/0P+MM24j8+XpI0Gd4i1eLWSt6SZU4xOHjABzQnpqOM9bS rp8OnPdvPIsbs5+fUCDaAjUD8RwAXTb1L3TOb+PA4bkQkH7jYk/LD7PZ32/PSinankQraKFiJVpx MB77nX6EVbbYLGqlAi+VmDrdMiLWysmYIwPZvTgVhdm1d8ZT4si08BSuO8A2+i5cQMoFBj/d47j0 bO2kntewUIHHKupVH/aNxtw7fAU/MhSeessMVP62SY1zeqhZU3kWEVpqzZZ43rF8PFMAFb43nvBv 0FSuElOk+7u6K5MHO0u09Q6/2g/wO/kft4S0XchSIFmSpa0zr1PU2y14NoD0oFDoaFydsx4vmovk nx0O4dQUmf9BeI9lUncv1lQ1F+jTfGQk1g7K2DhT0gQtlhTgP9sSXcXvwaWhJa/v+gYoTa7RgpVH TLIn08F8ubz0aMNL4X51ol060eeLB2HxdAn0+STX3XBm5uME4Jj4Xp19wxCSlbGbochRi4DrJ72E gb5z2kpFnKItUgjXdlL9nFddhJfp6ZqfiAvcCcGX40CkA+WnXsAJIad8QnOnI3ARvdSMIzZqd0nb GIwblfVkBwD0tQs/2+8r8Ovw++squNWADndy11Ks/9qosFC72N5BToO5s88PukW7lmCvkUz2jDv5 v7HBJ5Gulv1YIvqu9/T3IvwZRVII90uilUbsjvOOrOvoBJ3ajE2rRUZ6SM5FfCy5k0M838cCCsiA UK1mr7pO4CjKtpuHD+ScAdowzb21Li/cranMT5RPolPAbesD9/z2NgSwMGSLJnrcxlr2XQUSFcWh Sj1yhSGqJvoo2Jx6S2bgFKO6tBSNoWTpxB0= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HgA2IYn7DDAg50ZQXIF+3uF9LGQQ7iRnh9rRjI9Qf5gANpcevgVL1MizfVT7NKiRIjR25gpd/frh i5ioFrwX9g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jGWna+ri4Ln5Ol4O2XYl54WWXvApiw4AQvHKyG5WPA/wG5gdYxJB5TsVgAEnuuZW8XaNRVTjEJ1g xQEQ0pfMwvMIi5U6dbR13ZZNcJ6K5RD352bkLqoevz9cM6sx0mdobkv90Db/JxIGmA4NxmsNFJU5 OprkhndD6iP9cSc6xF8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dE09sW8rfEVKAE8tJxbijIBoKg5aImi/bwGIqMNMo00RGPg+oZMfI/MapbgagkM8cCe8OcVtZRES JNvPFDz9zirNP3oDs2Tt5klGXNXOmV0H9wo8twnF8t+v2V0VOksCnwflqXn3kNmZ7gktK4yiZrUo GVG9bpriTIEerq9osaZ9zFU4gNqRGXMTqOCkqnVKc+guoVUqmu68nXogrnzzpdA9iZQhEHM4eRqL 2cZbraX6UijVKuKZ98sS+y0q40tEseAiD9qQj5m/TTizJ8N+QVgEEUTB7YndGZ2+7nWBRj5upize jwxV2AwuUJL/ohewELTaCEAH54sauhn3IsA9mQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vJFMkpaFUDrnI4gxuqkHmRkcal6RLTHDB5pKdGHAIKJW9lwXqRph65+R46SI7MCZBwm9XXsphpzY tUBz6PT7VpCSG2rrI2JAPI4Gi8YMyRIIIhcBRcUACFKwtU5BGWGL1kQl2dGkVReJoHz5rMC08XIr 8lHI7RXdVL0RJLoKln4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jz3Mt6krjLr0CAySESYUYpmpNSb2dzpouEL8gBb7U15BOyU5048hkAwGgdP61H9LcXSnDSLG06Eb YLCo2Mq+Be79txxWDS5LuqgwrpUmspI0vd0x/0SPc2pTWWU4sSPsuw3OSHlXP83bjxUgZLwrFEE+ CZ9S5e26tFirr7RDMOQrjTM9ngvsabDng0ByxKwSSG6141sLFDk3/PcDxlJX63JCw4W+o6cTzXn3 /EfJownOkIBmT3+tYE1QHW4CylG4rnSmq5s9IIoayec7Lhih22HyCiw0LXNg8055ZFcHBfuVlvHm nNiN81PGoBCrXSWTmw5QGIQtLWxsuW4jfy/Ibg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4016) `protect data_block qx3N9tvAjRHZsKRahdsaaAsrBR9ILJH4cDbAr2QPBYz9ar1wVfVApd9CX5T4Xcly9H6zm2jFLK5s zFHpgkhaTuyiuQUzdTc2Xp87TBrVKrRQbDqlaBl0xxP+vhgXMOhfCqg9vwvFxxdj3oh+5H3ncHUM +yAXe7dPJJrQXPoJfoOsy0sClO2vKT07R6tqrRR+ois0uAL6jl3Z3v1GZpA4eD4cfk84fYMUSR+r j995xf6ctXX+BaWn9T2emCGMgyMcJseKYIPcAZnB3SLJmdC555mbeST9HTakwLHA7VU0C2K4LbRh dRZ1maxiq2kIe80DZoB4WL+7rg4Gs1DI9uoQ0J+alSQhuOGrytswPXLvKed4TwJWJIJYq+BP/nfb 7qNNugNySJNhctmGP/IcDt6zG7fT8tvb1myqJMfvWlum9ntarZPYRdfrdjHxfvDf4hdxIxANZucn 6CwA+6eFWaPh8wracLt2yiTcaP5jK30hoVg8SAWSNdmFu8tLvsBnLP8NUbi4AJFOXi2fMX3/SMjP kA9k8u1JZriJc0Y/5l8lAdSBRo17i7JjWdrrHeOtbMn1KvhrV6Q0jK9gewbUWFmmFaFeTdd+BvlB vywJBVwSWCcxTxST4ycKz2K2qruu38WzQ+m+cijgwpj5V6o0NY//607R0VfDnXmRpaPSKIDojzwp 2tGW8fY/z9MhdUoEbpau06E93SxAXSMwvM6E2Fxq5X7H6kktW/tvAtDBIRYAbzxx6hKU0F/+sSi7 pBFztVDBgD79ViTIwQbWirR/isUVRl3cu854XZO263UJGlvOQ3OqWFqzGX/LgTKV9BjYZi8mbH4X UtgjjOZcADhBLA12ADpBhHS6i2uMogMFfljh4kLerMv3RT3MhVxJt+doOPEsi4PCMiXAAxHbXI/t cNavdlsBr1NjmyHVVzQWtI9Mp8w8F10IRZzGZ+d3/Ife0OiIV/PZHu19RMX1z2pN+8Me+wMQ25NF 1l6a+tiBze8av7EmVT8An/65NkYjOz5TLevuCYkSu4NFxK+7FobMEwXa4R1nLX1fxCltWwzh7MAg dAaH95yOU/hs3uEI7dVAc0QTiZDQpBTe6dF1EktO4WpC21E5IOw6jPTE9xkpGrj2DHyQe/e5CGD5 QcOk9lK/cfmS8NS5bkphR3kqgNZuk/GY8Wec344Glr3d9AdjYlH6c/T1sjpu1xcgrN7Gi1j6R22l Gr2wnS8RwidzNs46uQY1otJe7B0GhRKWeOadkuP+SA/OeDC2DKG+N5FXArx0P8m3rnbCy6sm7M6+ QFtxqcwOUnsyzlie8xLWatAwGynqXWrcDeXNHfETPBxg1LN9dDZV12zsIw26u7KRmdAyp9OVI5Cb 4x4XcxVK/Bk7eK0951ZWUPtYzsYrd0ViPNiIvl2PmNUhTF7UbAjGAqP0zpBe/GjTC3wQmA0kmaQY 7jvufdWBeHAlm3LW4doeO3hLcjUzIIfzf5F5FyFUoJiH9LQNqU/WfJd4PS0TQfl86zU925bIl6jR 6LWF9IDDRwF/qdu3/BlhqerZIkPow8TXi9KeiSXvDHX5KrBbOfWKAnvsa/Dvcm+Pf24VU220X+PQ JKM1H3bkzaXnjNkskkWcF7iUEzsMvRLomouZdj74bB7Kvj0XuxvHDK4wZzcv5GdmBxBno9PRwAT0 fW26m3GRUbZuGzipg38DxBuTeDajTAD67CWMYm5hJ8Ok8F/7jiVV70zOgNDNxrO0Xb/lTCABourS 6ZjsitiTXJwpLmuH27GHK2+4t2W5zK9hPRlwrU/UwwV6yINS0B0i1aeUH5g1Dc8Lj9HXcqfjuF3e 8D/TxFyhyntNAhKfQK5vpi6NrhVj1E6BuSLeImbmWV0xbLzxkuwMJm6Vo61WHqIrj36ynxwKojw8 3zg8p3uqbkvdI8YExhYjlwq1Yeggn8kuaB8VuptDLquyABupRIMExDkVJuv79cNX8iDFFBMMD7aA uAJIPAjO5Hk9KBD8sVB1XTgiO4DlM7RbT4n1otzZCrb42m1IpgxVsClswHemCVrFgEAmSNcHFTVF 1rMRLJ4EYYBmJCjFn3EXV/8e7NpgVGB6ZVIthnBTBsY+Dxx84ZD4lTUhXFmBub08nsYT4O78F719 yKStNfkpkVw+nLxgtyGyT6GB19AoAdBJ27azqhqa64+OXZVcK3qTDVAuG4V3Bv3qXvrgo105jgNW sS57R7hsUqZrJvXxCMmwyYhICMnOlboYIi1z6HBVclu6QbsrmMNbCAx0l6Ijgp5PewrIdqiSO7MX B6YgUTL6KOmTyOw83z3A1a9aJRfU6Lbb9Ng9qBS1ZEWgsyTtMchKsu436AwUv33QRZONhYTT+XB/ Jugqpyu32kBkEHe+PnlmFS1W7TZBUkWvemqE7wpGFel9P83DNjM85/S3ovcdBmZbt66OhnWeAkCk LA2Sa5FITIv25iqO8GeBuk/XxrOpr20kp1h1FBfmNxYU/kZDlxXyW/JQ/5FMIoTzBvIa8jwqYNX3 jXwlAEfS1IQsJzuC2StTvRegQ1VZC8S1TtKKdswKuXMavVxpCsrLeewJuA2h/zF95atBy8nthscZ nqxmJNEF8FO2dhHzjZzjySU1I587znlsd9A3JJxB2k8ORU4td3tRQDJUWl9s1CaglGFhoHU9p5yE o4K57dEiDaTO0ZQQRnqogyz4gYeJ+/zjKZ4Q/CKhbhSnfzcB2ATk8f4DOlx1uzaPXdE45x718F7t nhZSOXATvMUjf4l6fGKwvN8GbJtrKt03lz5VpY7ZnSiM7S8c/DlX4UOEn/vCxzsJ1DWDOLf40G5Q hawM/e941GwUn/Uxt4bM9qBwJFcuBHcgi20dfzde+uQzMJlw6zQvDG8blwfaBov+1cCh9VZo7VC5 ujfEnaaJ1zB0HGGIMV1iF+eqmsrQksZslz1gv+7BuX+WKCu8nW5aYTHMb3V9F95K39bjA0AnnXIV tR0uC8U7ex3c82tOQIVink69EtV8b1EXTE1sumyGSk6j/NfKK3OXOzSHa9WR5OVSPyinfj48AUa6 fj6QUjnvBt3qWnLlWQjgMPF+M7yjTrcdpMrGY6OWwYQPHl1Lh4aqX3b3K9VG4z9/aI0K606p+asQ A2o52LZOpPsmJwhgA4kO7rYLCTIhzTCY4drExrkX8kJHWhBGv+elymJiwtvyyugyiER3NcT+gTcQ go73Hy6jC0k5c5xRROxYt+Kd3t43/O37+yYBQGf3LBSh7tor5Iw9ungx1Fmw6JWpEeC6UKy10rC2 uE7Ni6g5yubOhs5ND+N8mpzJrQlmz0gqxR/nnxHMgJZgVXTvdbHerw1qQc+zz0fYJED73mgiizHj au4Z/ac4/mgHHsv5RO/9oIdbDWA9oj9jqAZHuYacGrmVoPIiK7VkHlXI89IKHpVO+Ymbdk/EEJMm ShpICNyrkbnFrV+oR5hWNOblbSQyyIm0eCZpaVK3iZtAyAHqDtsHKjSiUAge/tDTv7kAhMn18I7V Hh9eoE5UFzlKyZ79OkSeiIJUuizbV5LlwB0CArKBZnGjnH2EW0wkToINdMAy/gbBEwb3wXHhech2 z1lTkjsfjCSAOWYwjU7vZgHlERLx05GajOu+7EXxBdwwnov1qmoyyMxbzhQfFDF9WeOiaABukyJk 0gSSzMSS1RHarf3qBDB2cdu/1CBS6HF8gYzqbB3iuxd51wmRPRaiEQK7YOlzsxeZUAojyv0hhVA3 qIh32KYJqwHSNkYWAnmSYK7ZDnJ1on2yrdqjGmH3bHbNGHelIt5ipkG2gJkhG+32gPbE972WFfe9 fxYjnR8DmhBEhBmqU9pPTJc7sewe1OuQbZGDw/kQhjaJetG/MKiA1uuKGAheY2bQNFLUTX1quRTy UcYeoZDXOaUDhX+Py0EIVcWk1GhwJSUmHT5XC9H6CKAMr6rerpi0Son9/vmlqEaoxlCibtfbwMBX DIu4/YxIBG5bFUsoQNR+KCJxCsIlukY+b0he/I5dDbf9HiTwgMikTZkzwxyFcR9WaBphG9o4N70m RmuMNExx3/ImyftwJu9QPE0cZdSQ5FLvpe+nSAsaSHmfEZhovm3LIsupgETHKr2fIrEvbvD5JUVX bi9TRssIVgTGe4L3dK4J5Is668V2KWy3rsWfs0Sk7dICUYyE17xTcnLlgXWMQkuHWk48yLSmU8JH 1S8UF2Hx0LBwEV7jiT2W+8m57U4k7exetyR3XIYp5uAecH/V2mLc8LT5quiQvCkBu0nYxNlh1C/r YHln+IGXqL5i04VGJH4NUYk8B2AwmhBEGYSEAViQXsFwTXrijddOct8fT7i+ygLGZGjauGZnoPgh ISKw4UQALyetbeDMQxb9k3nIrCr+Vi9rO/PMpL8Ul8Tma4QynCHzQwTbqv20U904wuSHjoNsnDXK +zcS69vi2gROb0qPkadk2T11+SqAx2rvVkDj/SH37+GfDcagmLViKEjtiig9kqBrVDhrbCVx3FR/ 80TT6KUAgpkgnhJGylJwkF/dmjXsiD/0P+MM24j8+XpI0Gd4i1eLWSt6SZU4xOHjABzQnpqOM9bS rp8OnPdvPIsbs5+fUCDaAjUD8RwAXTb1L3TOb+PA4bkQkH7jYk/LD7PZ32/PSinankQraKFiJVpx MB77nX6EVbbYLGqlAi+VmDrdMiLWysmYIwPZvTgVhdm1d8ZT4si08BSuO8A2+i5cQMoFBj/d47j0 bO2kntewUIHHKupVH/aNxtw7fAU/MhSeessMVP62SY1zeqhZU3kWEVpqzZZ43rF8PFMAFb43nvBv 0FSuElOk+7u6K5MHO0u09Q6/2g/wO/kft4S0XchSIFmSpa0zr1PU2y14NoD0oFDoaFydsx4vmovk nx0O4dQUmf9BeI9lUncv1lQ1F+jTfGQk1g7K2DhT0gQtlhTgP9sSXcXvwaWhJa/v+gYoTa7RgpVH TLIn08F8ubz0aMNL4X51ol060eeLB2HxdAn0+STX3XBm5uME4Jj4Xp19wxCSlbGbochRi4DrJ72E gb5z2kpFnKItUgjXdlL9nFddhJfp6ZqfiAvcCcGX40CkA+WnXsAJIad8QnOnI3ARvdSMIzZqd0nb GIwblfVkBwD0tQs/2+8r8Ovw++squNWADndy11Ks/9qosFC72N5BToO5s88PukW7lmCvkUz2jDv5 v7HBJ5Gulv1YIvqu9/T3IvwZRVII90uilUbsjvOOrOvoBJ3ajE2rRUZ6SM5FfCy5k0M838cCCsiA UK1mr7pO4CjKtpuHD+ScAdowzb21Li/cranMT5RPolPAbesD9/z2NgSwMGSLJnrcxlr2XQUSFcWh Sj1yhSGqJvoo2Jx6S2bgFKO6tBSNoWTpxB0= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HgA2IYn7DDAg50ZQXIF+3uF9LGQQ7iRnh9rRjI9Qf5gANpcevgVL1MizfVT7NKiRIjR25gpd/frh i5ioFrwX9g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jGWna+ri4Ln5Ol4O2XYl54WWXvApiw4AQvHKyG5WPA/wG5gdYxJB5TsVgAEnuuZW8XaNRVTjEJ1g xQEQ0pfMwvMIi5U6dbR13ZZNcJ6K5RD352bkLqoevz9cM6sx0mdobkv90Db/JxIGmA4NxmsNFJU5 OprkhndD6iP9cSc6xF8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dE09sW8rfEVKAE8tJxbijIBoKg5aImi/bwGIqMNMo00RGPg+oZMfI/MapbgagkM8cCe8OcVtZRES JNvPFDz9zirNP3oDs2Tt5klGXNXOmV0H9wo8twnF8t+v2V0VOksCnwflqXn3kNmZ7gktK4yiZrUo GVG9bpriTIEerq9osaZ9zFU4gNqRGXMTqOCkqnVKc+guoVUqmu68nXogrnzzpdA9iZQhEHM4eRqL 2cZbraX6UijVKuKZ98sS+y0q40tEseAiD9qQj5m/TTizJ8N+QVgEEUTB7YndGZ2+7nWBRj5upize jwxV2AwuUJL/ohewELTaCEAH54sauhn3IsA9mQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vJFMkpaFUDrnI4gxuqkHmRkcal6RLTHDB5pKdGHAIKJW9lwXqRph65+R46SI7MCZBwm9XXsphpzY tUBz6PT7VpCSG2rrI2JAPI4Gi8YMyRIIIhcBRcUACFKwtU5BGWGL1kQl2dGkVReJoHz5rMC08XIr 8lHI7RXdVL0RJLoKln4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jz3Mt6krjLr0CAySESYUYpmpNSb2dzpouEL8gBb7U15BOyU5048hkAwGgdP61H9LcXSnDSLG06Eb YLCo2Mq+Be79txxWDS5LuqgwrpUmspI0vd0x/0SPc2pTWWU4sSPsuw3OSHlXP83bjxUgZLwrFEE+ CZ9S5e26tFirr7RDMOQrjTM9ngvsabDng0ByxKwSSG6141sLFDk3/PcDxlJX63JCw4W+o6cTzXn3 /EfJownOkIBmT3+tYE1QHW4CylG4rnSmq5s9IIoayec7Lhih22HyCiw0LXNg8055ZFcHBfuVlvHm nNiN81PGoBCrXSWTmw5QGIQtLWxsuW4jfy/Ibg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4016) `protect data_block qx3N9tvAjRHZsKRahdsaaAsrBR9ILJH4cDbAr2QPBYz9ar1wVfVApd9CX5T4Xcly9H6zm2jFLK5s zFHpgkhaTuyiuQUzdTc2Xp87TBrVKrRQbDqlaBl0xxP+vhgXMOhfCqg9vwvFxxdj3oh+5H3ncHUM +yAXe7dPJJrQXPoJfoOsy0sClO2vKT07R6tqrRR+ois0uAL6jl3Z3v1GZpA4eD4cfk84fYMUSR+r j995xf6ctXX+BaWn9T2emCGMgyMcJseKYIPcAZnB3SLJmdC555mbeST9HTakwLHA7VU0C2K4LbRh dRZ1maxiq2kIe80DZoB4WL+7rg4Gs1DI9uoQ0J+alSQhuOGrytswPXLvKed4TwJWJIJYq+BP/nfb 7qNNugNySJNhctmGP/IcDt6zG7fT8tvb1myqJMfvWlum9ntarZPYRdfrdjHxfvDf4hdxIxANZucn 6CwA+6eFWaPh8wracLt2yiTcaP5jK30hoVg8SAWSNdmFu8tLvsBnLP8NUbi4AJFOXi2fMX3/SMjP kA9k8u1JZriJc0Y/5l8lAdSBRo17i7JjWdrrHeOtbMn1KvhrV6Q0jK9gewbUWFmmFaFeTdd+BvlB vywJBVwSWCcxTxST4ycKz2K2qruu38WzQ+m+cijgwpj5V6o0NY//607R0VfDnXmRpaPSKIDojzwp 2tGW8fY/z9MhdUoEbpau06E93SxAXSMwvM6E2Fxq5X7H6kktW/tvAtDBIRYAbzxx6hKU0F/+sSi7 pBFztVDBgD79ViTIwQbWirR/isUVRl3cu854XZO263UJGlvOQ3OqWFqzGX/LgTKV9BjYZi8mbH4X UtgjjOZcADhBLA12ADpBhHS6i2uMogMFfljh4kLerMv3RT3MhVxJt+doOPEsi4PCMiXAAxHbXI/t cNavdlsBr1NjmyHVVzQWtI9Mp8w8F10IRZzGZ+d3/Ife0OiIV/PZHu19RMX1z2pN+8Me+wMQ25NF 1l6a+tiBze8av7EmVT8An/65NkYjOz5TLevuCYkSu4NFxK+7FobMEwXa4R1nLX1fxCltWwzh7MAg dAaH95yOU/hs3uEI7dVAc0QTiZDQpBTe6dF1EktO4WpC21E5IOw6jPTE9xkpGrj2DHyQe/e5CGD5 QcOk9lK/cfmS8NS5bkphR3kqgNZuk/GY8Wec344Glr3d9AdjYlH6c/T1sjpu1xcgrN7Gi1j6R22l Gr2wnS8RwidzNs46uQY1otJe7B0GhRKWeOadkuP+SA/OeDC2DKG+N5FXArx0P8m3rnbCy6sm7M6+ QFtxqcwOUnsyzlie8xLWatAwGynqXWrcDeXNHfETPBxg1LN9dDZV12zsIw26u7KRmdAyp9OVI5Cb 4x4XcxVK/Bk7eK0951ZWUPtYzsYrd0ViPNiIvl2PmNUhTF7UbAjGAqP0zpBe/GjTC3wQmA0kmaQY 7jvufdWBeHAlm3LW4doeO3hLcjUzIIfzf5F5FyFUoJiH9LQNqU/WfJd4PS0TQfl86zU925bIl6jR 6LWF9IDDRwF/qdu3/BlhqerZIkPow8TXi9KeiSXvDHX5KrBbOfWKAnvsa/Dvcm+Pf24VU220X+PQ JKM1H3bkzaXnjNkskkWcF7iUEzsMvRLomouZdj74bB7Kvj0XuxvHDK4wZzcv5GdmBxBno9PRwAT0 fW26m3GRUbZuGzipg38DxBuTeDajTAD67CWMYm5hJ8Ok8F/7jiVV70zOgNDNxrO0Xb/lTCABourS 6ZjsitiTXJwpLmuH27GHK2+4t2W5zK9hPRlwrU/UwwV6yINS0B0i1aeUH5g1Dc8Lj9HXcqfjuF3e 8D/TxFyhyntNAhKfQK5vpi6NrhVj1E6BuSLeImbmWV0xbLzxkuwMJm6Vo61WHqIrj36ynxwKojw8 3zg8p3uqbkvdI8YExhYjlwq1Yeggn8kuaB8VuptDLquyABupRIMExDkVJuv79cNX8iDFFBMMD7aA uAJIPAjO5Hk9KBD8sVB1XTgiO4DlM7RbT4n1otzZCrb42m1IpgxVsClswHemCVrFgEAmSNcHFTVF 1rMRLJ4EYYBmJCjFn3EXV/8e7NpgVGB6ZVIthnBTBsY+Dxx84ZD4lTUhXFmBub08nsYT4O78F719 yKStNfkpkVw+nLxgtyGyT6GB19AoAdBJ27azqhqa64+OXZVcK3qTDVAuG4V3Bv3qXvrgo105jgNW sS57R7hsUqZrJvXxCMmwyYhICMnOlboYIi1z6HBVclu6QbsrmMNbCAx0l6Ijgp5PewrIdqiSO7MX B6YgUTL6KOmTyOw83z3A1a9aJRfU6Lbb9Ng9qBS1ZEWgsyTtMchKsu436AwUv33QRZONhYTT+XB/ Jugqpyu32kBkEHe+PnlmFS1W7TZBUkWvemqE7wpGFel9P83DNjM85/S3ovcdBmZbt66OhnWeAkCk LA2Sa5FITIv25iqO8GeBuk/XxrOpr20kp1h1FBfmNxYU/kZDlxXyW/JQ/5FMIoTzBvIa8jwqYNX3 jXwlAEfS1IQsJzuC2StTvRegQ1VZC8S1TtKKdswKuXMavVxpCsrLeewJuA2h/zF95atBy8nthscZ nqxmJNEF8FO2dhHzjZzjySU1I587znlsd9A3JJxB2k8ORU4td3tRQDJUWl9s1CaglGFhoHU9p5yE o4K57dEiDaTO0ZQQRnqogyz4gYeJ+/zjKZ4Q/CKhbhSnfzcB2ATk8f4DOlx1uzaPXdE45x718F7t nhZSOXATvMUjf4l6fGKwvN8GbJtrKt03lz5VpY7ZnSiM7S8c/DlX4UOEn/vCxzsJ1DWDOLf40G5Q hawM/e941GwUn/Uxt4bM9qBwJFcuBHcgi20dfzde+uQzMJlw6zQvDG8blwfaBov+1cCh9VZo7VC5 ujfEnaaJ1zB0HGGIMV1iF+eqmsrQksZslz1gv+7BuX+WKCu8nW5aYTHMb3V9F95K39bjA0AnnXIV tR0uC8U7ex3c82tOQIVink69EtV8b1EXTE1sumyGSk6j/NfKK3OXOzSHa9WR5OVSPyinfj48AUa6 fj6QUjnvBt3qWnLlWQjgMPF+M7yjTrcdpMrGY6OWwYQPHl1Lh4aqX3b3K9VG4z9/aI0K606p+asQ A2o52LZOpPsmJwhgA4kO7rYLCTIhzTCY4drExrkX8kJHWhBGv+elymJiwtvyyugyiER3NcT+gTcQ go73Hy6jC0k5c5xRROxYt+Kd3t43/O37+yYBQGf3LBSh7tor5Iw9ungx1Fmw6JWpEeC6UKy10rC2 uE7Ni6g5yubOhs5ND+N8mpzJrQlmz0gqxR/nnxHMgJZgVXTvdbHerw1qQc+zz0fYJED73mgiizHj au4Z/ac4/mgHHsv5RO/9oIdbDWA9oj9jqAZHuYacGrmVoPIiK7VkHlXI89IKHpVO+Ymbdk/EEJMm ShpICNyrkbnFrV+oR5hWNOblbSQyyIm0eCZpaVK3iZtAyAHqDtsHKjSiUAge/tDTv7kAhMn18I7V Hh9eoE5UFzlKyZ79OkSeiIJUuizbV5LlwB0CArKBZnGjnH2EW0wkToINdMAy/gbBEwb3wXHhech2 z1lTkjsfjCSAOWYwjU7vZgHlERLx05GajOu+7EXxBdwwnov1qmoyyMxbzhQfFDF9WeOiaABukyJk 0gSSzMSS1RHarf3qBDB2cdu/1CBS6HF8gYzqbB3iuxd51wmRPRaiEQK7YOlzsxeZUAojyv0hhVA3 qIh32KYJqwHSNkYWAnmSYK7ZDnJ1on2yrdqjGmH3bHbNGHelIt5ipkG2gJkhG+32gPbE972WFfe9 fxYjnR8DmhBEhBmqU9pPTJc7sewe1OuQbZGDw/kQhjaJetG/MKiA1uuKGAheY2bQNFLUTX1quRTy UcYeoZDXOaUDhX+Py0EIVcWk1GhwJSUmHT5XC9H6CKAMr6rerpi0Son9/vmlqEaoxlCibtfbwMBX DIu4/YxIBG5bFUsoQNR+KCJxCsIlukY+b0he/I5dDbf9HiTwgMikTZkzwxyFcR9WaBphG9o4N70m RmuMNExx3/ImyftwJu9QPE0cZdSQ5FLvpe+nSAsaSHmfEZhovm3LIsupgETHKr2fIrEvbvD5JUVX bi9TRssIVgTGe4L3dK4J5Is668V2KWy3rsWfs0Sk7dICUYyE17xTcnLlgXWMQkuHWk48yLSmU8JH 1S8UF2Hx0LBwEV7jiT2W+8m57U4k7exetyR3XIYp5uAecH/V2mLc8LT5quiQvCkBu0nYxNlh1C/r YHln+IGXqL5i04VGJH4NUYk8B2AwmhBEGYSEAViQXsFwTXrijddOct8fT7i+ygLGZGjauGZnoPgh ISKw4UQALyetbeDMQxb9k3nIrCr+Vi9rO/PMpL8Ul8Tma4QynCHzQwTbqv20U904wuSHjoNsnDXK +zcS69vi2gROb0qPkadk2T11+SqAx2rvVkDj/SH37+GfDcagmLViKEjtiig9kqBrVDhrbCVx3FR/ 80TT6KUAgpkgnhJGylJwkF/dmjXsiD/0P+MM24j8+XpI0Gd4i1eLWSt6SZU4xOHjABzQnpqOM9bS rp8OnPdvPIsbs5+fUCDaAjUD8RwAXTb1L3TOb+PA4bkQkH7jYk/LD7PZ32/PSinankQraKFiJVpx MB77nX6EVbbYLGqlAi+VmDrdMiLWysmYIwPZvTgVhdm1d8ZT4si08BSuO8A2+i5cQMoFBj/d47j0 bO2kntewUIHHKupVH/aNxtw7fAU/MhSeessMVP62SY1zeqhZU3kWEVpqzZZ43rF8PFMAFb43nvBv 0FSuElOk+7u6K5MHO0u09Q6/2g/wO/kft4S0XchSIFmSpa0zr1PU2y14NoD0oFDoaFydsx4vmovk nx0O4dQUmf9BeI9lUncv1lQ1F+jTfGQk1g7K2DhT0gQtlhTgP9sSXcXvwaWhJa/v+gYoTa7RgpVH TLIn08F8ubz0aMNL4X51ol060eeLB2HxdAn0+STX3XBm5uME4Jj4Xp19wxCSlbGbochRi4DrJ72E gb5z2kpFnKItUgjXdlL9nFddhJfp6ZqfiAvcCcGX40CkA+WnXsAJIad8QnOnI3ARvdSMIzZqd0nb GIwblfVkBwD0tQs/2+8r8Ovw++squNWADndy11Ks/9qosFC72N5BToO5s88PukW7lmCvkUz2jDv5 v7HBJ5Gulv1YIvqu9/T3IvwZRVII90uilUbsjvOOrOvoBJ3ajE2rRUZ6SM5FfCy5k0M838cCCsiA UK1mr7pO4CjKtpuHD+ScAdowzb21Li/cranMT5RPolPAbesD9/z2NgSwMGSLJnrcxlr2XQUSFcWh Sj1yhSGqJvoo2Jx6S2bgFKO6tBSNoWTpxB0= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HgA2IYn7DDAg50ZQXIF+3uF9LGQQ7iRnh9rRjI9Qf5gANpcevgVL1MizfVT7NKiRIjR25gpd/frh i5ioFrwX9g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jGWna+ri4Ln5Ol4O2XYl54WWXvApiw4AQvHKyG5WPA/wG5gdYxJB5TsVgAEnuuZW8XaNRVTjEJ1g xQEQ0pfMwvMIi5U6dbR13ZZNcJ6K5RD352bkLqoevz9cM6sx0mdobkv90Db/JxIGmA4NxmsNFJU5 OprkhndD6iP9cSc6xF8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dE09sW8rfEVKAE8tJxbijIBoKg5aImi/bwGIqMNMo00RGPg+oZMfI/MapbgagkM8cCe8OcVtZRES JNvPFDz9zirNP3oDs2Tt5klGXNXOmV0H9wo8twnF8t+v2V0VOksCnwflqXn3kNmZ7gktK4yiZrUo GVG9bpriTIEerq9osaZ9zFU4gNqRGXMTqOCkqnVKc+guoVUqmu68nXogrnzzpdA9iZQhEHM4eRqL 2cZbraX6UijVKuKZ98sS+y0q40tEseAiD9qQj5m/TTizJ8N+QVgEEUTB7YndGZ2+7nWBRj5upize jwxV2AwuUJL/ohewELTaCEAH54sauhn3IsA9mQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vJFMkpaFUDrnI4gxuqkHmRkcal6RLTHDB5pKdGHAIKJW9lwXqRph65+R46SI7MCZBwm9XXsphpzY tUBz6PT7VpCSG2rrI2JAPI4Gi8YMyRIIIhcBRcUACFKwtU5BGWGL1kQl2dGkVReJoHz5rMC08XIr 8lHI7RXdVL0RJLoKln4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jz3Mt6krjLr0CAySESYUYpmpNSb2dzpouEL8gBb7U15BOyU5048hkAwGgdP61H9LcXSnDSLG06Eb YLCo2Mq+Be79txxWDS5LuqgwrpUmspI0vd0x/0SPc2pTWWU4sSPsuw3OSHlXP83bjxUgZLwrFEE+ CZ9S5e26tFirr7RDMOQrjTM9ngvsabDng0ByxKwSSG6141sLFDk3/PcDxlJX63JCw4W+o6cTzXn3 /EfJownOkIBmT3+tYE1QHW4CylG4rnSmq5s9IIoayec7Lhih22HyCiw0LXNg8055ZFcHBfuVlvHm nNiN81PGoBCrXSWTmw5QGIQtLWxsuW4jfy/Ibg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4016) `protect data_block qx3N9tvAjRHZsKRahdsaaAsrBR9ILJH4cDbAr2QPBYz9ar1wVfVApd9CX5T4Xcly9H6zm2jFLK5s zFHpgkhaTuyiuQUzdTc2Xp87TBrVKrRQbDqlaBl0xxP+vhgXMOhfCqg9vwvFxxdj3oh+5H3ncHUM +yAXe7dPJJrQXPoJfoOsy0sClO2vKT07R6tqrRR+ois0uAL6jl3Z3v1GZpA4eD4cfk84fYMUSR+r j995xf6ctXX+BaWn9T2emCGMgyMcJseKYIPcAZnB3SLJmdC555mbeST9HTakwLHA7VU0C2K4LbRh dRZ1maxiq2kIe80DZoB4WL+7rg4Gs1DI9uoQ0J+alSQhuOGrytswPXLvKed4TwJWJIJYq+BP/nfb 7qNNugNySJNhctmGP/IcDt6zG7fT8tvb1myqJMfvWlum9ntarZPYRdfrdjHxfvDf4hdxIxANZucn 6CwA+6eFWaPh8wracLt2yiTcaP5jK30hoVg8SAWSNdmFu8tLvsBnLP8NUbi4AJFOXi2fMX3/SMjP kA9k8u1JZriJc0Y/5l8lAdSBRo17i7JjWdrrHeOtbMn1KvhrV6Q0jK9gewbUWFmmFaFeTdd+BvlB vywJBVwSWCcxTxST4ycKz2K2qruu38WzQ+m+cijgwpj5V6o0NY//607R0VfDnXmRpaPSKIDojzwp 2tGW8fY/z9MhdUoEbpau06E93SxAXSMwvM6E2Fxq5X7H6kktW/tvAtDBIRYAbzxx6hKU0F/+sSi7 pBFztVDBgD79ViTIwQbWirR/isUVRl3cu854XZO263UJGlvOQ3OqWFqzGX/LgTKV9BjYZi8mbH4X UtgjjOZcADhBLA12ADpBhHS6i2uMogMFfljh4kLerMv3RT3MhVxJt+doOPEsi4PCMiXAAxHbXI/t cNavdlsBr1NjmyHVVzQWtI9Mp8w8F10IRZzGZ+d3/Ife0OiIV/PZHu19RMX1z2pN+8Me+wMQ25NF 1l6a+tiBze8av7EmVT8An/65NkYjOz5TLevuCYkSu4NFxK+7FobMEwXa4R1nLX1fxCltWwzh7MAg dAaH95yOU/hs3uEI7dVAc0QTiZDQpBTe6dF1EktO4WpC21E5IOw6jPTE9xkpGrj2DHyQe/e5CGD5 QcOk9lK/cfmS8NS5bkphR3kqgNZuk/GY8Wec344Glr3d9AdjYlH6c/T1sjpu1xcgrN7Gi1j6R22l Gr2wnS8RwidzNs46uQY1otJe7B0GhRKWeOadkuP+SA/OeDC2DKG+N5FXArx0P8m3rnbCy6sm7M6+ QFtxqcwOUnsyzlie8xLWatAwGynqXWrcDeXNHfETPBxg1LN9dDZV12zsIw26u7KRmdAyp9OVI5Cb 4x4XcxVK/Bk7eK0951ZWUPtYzsYrd0ViPNiIvl2PmNUhTF7UbAjGAqP0zpBe/GjTC3wQmA0kmaQY 7jvufdWBeHAlm3LW4doeO3hLcjUzIIfzf5F5FyFUoJiH9LQNqU/WfJd4PS0TQfl86zU925bIl6jR 6LWF9IDDRwF/qdu3/BlhqerZIkPow8TXi9KeiSXvDHX5KrBbOfWKAnvsa/Dvcm+Pf24VU220X+PQ JKM1H3bkzaXnjNkskkWcF7iUEzsMvRLomouZdj74bB7Kvj0XuxvHDK4wZzcv5GdmBxBno9PRwAT0 fW26m3GRUbZuGzipg38DxBuTeDajTAD67CWMYm5hJ8Ok8F/7jiVV70zOgNDNxrO0Xb/lTCABourS 6ZjsitiTXJwpLmuH27GHK2+4t2W5zK9hPRlwrU/UwwV6yINS0B0i1aeUH5g1Dc8Lj9HXcqfjuF3e 8D/TxFyhyntNAhKfQK5vpi6NrhVj1E6BuSLeImbmWV0xbLzxkuwMJm6Vo61WHqIrj36ynxwKojw8 3zg8p3uqbkvdI8YExhYjlwq1Yeggn8kuaB8VuptDLquyABupRIMExDkVJuv79cNX8iDFFBMMD7aA uAJIPAjO5Hk9KBD8sVB1XTgiO4DlM7RbT4n1otzZCrb42m1IpgxVsClswHemCVrFgEAmSNcHFTVF 1rMRLJ4EYYBmJCjFn3EXV/8e7NpgVGB6ZVIthnBTBsY+Dxx84ZD4lTUhXFmBub08nsYT4O78F719 yKStNfkpkVw+nLxgtyGyT6GB19AoAdBJ27azqhqa64+OXZVcK3qTDVAuG4V3Bv3qXvrgo105jgNW sS57R7hsUqZrJvXxCMmwyYhICMnOlboYIi1z6HBVclu6QbsrmMNbCAx0l6Ijgp5PewrIdqiSO7MX B6YgUTL6KOmTyOw83z3A1a9aJRfU6Lbb9Ng9qBS1ZEWgsyTtMchKsu436AwUv33QRZONhYTT+XB/ Jugqpyu32kBkEHe+PnlmFS1W7TZBUkWvemqE7wpGFel9P83DNjM85/S3ovcdBmZbt66OhnWeAkCk LA2Sa5FITIv25iqO8GeBuk/XxrOpr20kp1h1FBfmNxYU/kZDlxXyW/JQ/5FMIoTzBvIa8jwqYNX3 jXwlAEfS1IQsJzuC2StTvRegQ1VZC8S1TtKKdswKuXMavVxpCsrLeewJuA2h/zF95atBy8nthscZ nqxmJNEF8FO2dhHzjZzjySU1I587znlsd9A3JJxB2k8ORU4td3tRQDJUWl9s1CaglGFhoHU9p5yE o4K57dEiDaTO0ZQQRnqogyz4gYeJ+/zjKZ4Q/CKhbhSnfzcB2ATk8f4DOlx1uzaPXdE45x718F7t nhZSOXATvMUjf4l6fGKwvN8GbJtrKt03lz5VpY7ZnSiM7S8c/DlX4UOEn/vCxzsJ1DWDOLf40G5Q hawM/e941GwUn/Uxt4bM9qBwJFcuBHcgi20dfzde+uQzMJlw6zQvDG8blwfaBov+1cCh9VZo7VC5 ujfEnaaJ1zB0HGGIMV1iF+eqmsrQksZslz1gv+7BuX+WKCu8nW5aYTHMb3V9F95K39bjA0AnnXIV tR0uC8U7ex3c82tOQIVink69EtV8b1EXTE1sumyGSk6j/NfKK3OXOzSHa9WR5OVSPyinfj48AUa6 fj6QUjnvBt3qWnLlWQjgMPF+M7yjTrcdpMrGY6OWwYQPHl1Lh4aqX3b3K9VG4z9/aI0K606p+asQ A2o52LZOpPsmJwhgA4kO7rYLCTIhzTCY4drExrkX8kJHWhBGv+elymJiwtvyyugyiER3NcT+gTcQ go73Hy6jC0k5c5xRROxYt+Kd3t43/O37+yYBQGf3LBSh7tor5Iw9ungx1Fmw6JWpEeC6UKy10rC2 uE7Ni6g5yubOhs5ND+N8mpzJrQlmz0gqxR/nnxHMgJZgVXTvdbHerw1qQc+zz0fYJED73mgiizHj au4Z/ac4/mgHHsv5RO/9oIdbDWA9oj9jqAZHuYacGrmVoPIiK7VkHlXI89IKHpVO+Ymbdk/EEJMm ShpICNyrkbnFrV+oR5hWNOblbSQyyIm0eCZpaVK3iZtAyAHqDtsHKjSiUAge/tDTv7kAhMn18I7V Hh9eoE5UFzlKyZ79OkSeiIJUuizbV5LlwB0CArKBZnGjnH2EW0wkToINdMAy/gbBEwb3wXHhech2 z1lTkjsfjCSAOWYwjU7vZgHlERLx05GajOu+7EXxBdwwnov1qmoyyMxbzhQfFDF9WeOiaABukyJk 0gSSzMSS1RHarf3qBDB2cdu/1CBS6HF8gYzqbB3iuxd51wmRPRaiEQK7YOlzsxeZUAojyv0hhVA3 qIh32KYJqwHSNkYWAnmSYK7ZDnJ1on2yrdqjGmH3bHbNGHelIt5ipkG2gJkhG+32gPbE972WFfe9 fxYjnR8DmhBEhBmqU9pPTJc7sewe1OuQbZGDw/kQhjaJetG/MKiA1uuKGAheY2bQNFLUTX1quRTy UcYeoZDXOaUDhX+Py0EIVcWk1GhwJSUmHT5XC9H6CKAMr6rerpi0Son9/vmlqEaoxlCibtfbwMBX DIu4/YxIBG5bFUsoQNR+KCJxCsIlukY+b0he/I5dDbf9HiTwgMikTZkzwxyFcR9WaBphG9o4N70m RmuMNExx3/ImyftwJu9QPE0cZdSQ5FLvpe+nSAsaSHmfEZhovm3LIsupgETHKr2fIrEvbvD5JUVX bi9TRssIVgTGe4L3dK4J5Is668V2KWy3rsWfs0Sk7dICUYyE17xTcnLlgXWMQkuHWk48yLSmU8JH 1S8UF2Hx0LBwEV7jiT2W+8m57U4k7exetyR3XIYp5uAecH/V2mLc8LT5quiQvCkBu0nYxNlh1C/r YHln+IGXqL5i04VGJH4NUYk8B2AwmhBEGYSEAViQXsFwTXrijddOct8fT7i+ygLGZGjauGZnoPgh ISKw4UQALyetbeDMQxb9k3nIrCr+Vi9rO/PMpL8Ul8Tma4QynCHzQwTbqv20U904wuSHjoNsnDXK +zcS69vi2gROb0qPkadk2T11+SqAx2rvVkDj/SH37+GfDcagmLViKEjtiig9kqBrVDhrbCVx3FR/ 80TT6KUAgpkgnhJGylJwkF/dmjXsiD/0P+MM24j8+XpI0Gd4i1eLWSt6SZU4xOHjABzQnpqOM9bS rp8OnPdvPIsbs5+fUCDaAjUD8RwAXTb1L3TOb+PA4bkQkH7jYk/LD7PZ32/PSinankQraKFiJVpx MB77nX6EVbbYLGqlAi+VmDrdMiLWysmYIwPZvTgVhdm1d8ZT4si08BSuO8A2+i5cQMoFBj/d47j0 bO2kntewUIHHKupVH/aNxtw7fAU/MhSeessMVP62SY1zeqhZU3kWEVpqzZZ43rF8PFMAFb43nvBv 0FSuElOk+7u6K5MHO0u09Q6/2g/wO/kft4S0XchSIFmSpa0zr1PU2y14NoD0oFDoaFydsx4vmovk nx0O4dQUmf9BeI9lUncv1lQ1F+jTfGQk1g7K2DhT0gQtlhTgP9sSXcXvwaWhJa/v+gYoTa7RgpVH TLIn08F8ubz0aMNL4X51ol060eeLB2HxdAn0+STX3XBm5uME4Jj4Xp19wxCSlbGbochRi4DrJ72E gb5z2kpFnKItUgjXdlL9nFddhJfp6ZqfiAvcCcGX40CkA+WnXsAJIad8QnOnI3ARvdSMIzZqd0nb GIwblfVkBwD0tQs/2+8r8Ovw++squNWADndy11Ks/9qosFC72N5BToO5s88PukW7lmCvkUz2jDv5 v7HBJ5Gulv1YIvqu9/T3IvwZRVII90uilUbsjvOOrOvoBJ3ajE2rRUZ6SM5FfCy5k0M838cCCsiA UK1mr7pO4CjKtpuHD+ScAdowzb21Li/cranMT5RPolPAbesD9/z2NgSwMGSLJnrcxlr2XQUSFcWh Sj1yhSGqJvoo2Jx6S2bgFKO6tBSNoWTpxB0= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HgA2IYn7DDAg50ZQXIF+3uF9LGQQ7iRnh9rRjI9Qf5gANpcevgVL1MizfVT7NKiRIjR25gpd/frh i5ioFrwX9g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jGWna+ri4Ln5Ol4O2XYl54WWXvApiw4AQvHKyG5WPA/wG5gdYxJB5TsVgAEnuuZW8XaNRVTjEJ1g xQEQ0pfMwvMIi5U6dbR13ZZNcJ6K5RD352bkLqoevz9cM6sx0mdobkv90Db/JxIGmA4NxmsNFJU5 OprkhndD6iP9cSc6xF8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dE09sW8rfEVKAE8tJxbijIBoKg5aImi/bwGIqMNMo00RGPg+oZMfI/MapbgagkM8cCe8OcVtZRES JNvPFDz9zirNP3oDs2Tt5klGXNXOmV0H9wo8twnF8t+v2V0VOksCnwflqXn3kNmZ7gktK4yiZrUo GVG9bpriTIEerq9osaZ9zFU4gNqRGXMTqOCkqnVKc+guoVUqmu68nXogrnzzpdA9iZQhEHM4eRqL 2cZbraX6UijVKuKZ98sS+y0q40tEseAiD9qQj5m/TTizJ8N+QVgEEUTB7YndGZ2+7nWBRj5upize jwxV2AwuUJL/ohewELTaCEAH54sauhn3IsA9mQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vJFMkpaFUDrnI4gxuqkHmRkcal6RLTHDB5pKdGHAIKJW9lwXqRph65+R46SI7MCZBwm9XXsphpzY tUBz6PT7VpCSG2rrI2JAPI4Gi8YMyRIIIhcBRcUACFKwtU5BGWGL1kQl2dGkVReJoHz5rMC08XIr 8lHI7RXdVL0RJLoKln4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jz3Mt6krjLr0CAySESYUYpmpNSb2dzpouEL8gBb7U15BOyU5048hkAwGgdP61H9LcXSnDSLG06Eb YLCo2Mq+Be79txxWDS5LuqgwrpUmspI0vd0x/0SPc2pTWWU4sSPsuw3OSHlXP83bjxUgZLwrFEE+ CZ9S5e26tFirr7RDMOQrjTM9ngvsabDng0ByxKwSSG6141sLFDk3/PcDxlJX63JCw4W+o6cTzXn3 /EfJownOkIBmT3+tYE1QHW4CylG4rnSmq5s9IIoayec7Lhih22HyCiw0LXNg8055ZFcHBfuVlvHm nNiN81PGoBCrXSWTmw5QGIQtLWxsuW4jfy/Ibg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4016) `protect data_block qx3N9tvAjRHZsKRahdsaaAsrBR9ILJH4cDbAr2QPBYz9ar1wVfVApd9CX5T4Xcly9H6zm2jFLK5s zFHpgkhaTuyiuQUzdTc2Xp87TBrVKrRQbDqlaBl0xxP+vhgXMOhfCqg9vwvFxxdj3oh+5H3ncHUM +yAXe7dPJJrQXPoJfoOsy0sClO2vKT07R6tqrRR+ois0uAL6jl3Z3v1GZpA4eD4cfk84fYMUSR+r j995xf6ctXX+BaWn9T2emCGMgyMcJseKYIPcAZnB3SLJmdC555mbeST9HTakwLHA7VU0C2K4LbRh dRZ1maxiq2kIe80DZoB4WL+7rg4Gs1DI9uoQ0J+alSQhuOGrytswPXLvKed4TwJWJIJYq+BP/nfb 7qNNugNySJNhctmGP/IcDt6zG7fT8tvb1myqJMfvWlum9ntarZPYRdfrdjHxfvDf4hdxIxANZucn 6CwA+6eFWaPh8wracLt2yiTcaP5jK30hoVg8SAWSNdmFu8tLvsBnLP8NUbi4AJFOXi2fMX3/SMjP kA9k8u1JZriJc0Y/5l8lAdSBRo17i7JjWdrrHeOtbMn1KvhrV6Q0jK9gewbUWFmmFaFeTdd+BvlB vywJBVwSWCcxTxST4ycKz2K2qruu38WzQ+m+cijgwpj5V6o0NY//607R0VfDnXmRpaPSKIDojzwp 2tGW8fY/z9MhdUoEbpau06E93SxAXSMwvM6E2Fxq5X7H6kktW/tvAtDBIRYAbzxx6hKU0F/+sSi7 pBFztVDBgD79ViTIwQbWirR/isUVRl3cu854XZO263UJGlvOQ3OqWFqzGX/LgTKV9BjYZi8mbH4X UtgjjOZcADhBLA12ADpBhHS6i2uMogMFfljh4kLerMv3RT3MhVxJt+doOPEsi4PCMiXAAxHbXI/t cNavdlsBr1NjmyHVVzQWtI9Mp8w8F10IRZzGZ+d3/Ife0OiIV/PZHu19RMX1z2pN+8Me+wMQ25NF 1l6a+tiBze8av7EmVT8An/65NkYjOz5TLevuCYkSu4NFxK+7FobMEwXa4R1nLX1fxCltWwzh7MAg dAaH95yOU/hs3uEI7dVAc0QTiZDQpBTe6dF1EktO4WpC21E5IOw6jPTE9xkpGrj2DHyQe/e5CGD5 QcOk9lK/cfmS8NS5bkphR3kqgNZuk/GY8Wec344Glr3d9AdjYlH6c/T1sjpu1xcgrN7Gi1j6R22l Gr2wnS8RwidzNs46uQY1otJe7B0GhRKWeOadkuP+SA/OeDC2DKG+N5FXArx0P8m3rnbCy6sm7M6+ QFtxqcwOUnsyzlie8xLWatAwGynqXWrcDeXNHfETPBxg1LN9dDZV12zsIw26u7KRmdAyp9OVI5Cb 4x4XcxVK/Bk7eK0951ZWUPtYzsYrd0ViPNiIvl2PmNUhTF7UbAjGAqP0zpBe/GjTC3wQmA0kmaQY 7jvufdWBeHAlm3LW4doeO3hLcjUzIIfzf5F5FyFUoJiH9LQNqU/WfJd4PS0TQfl86zU925bIl6jR 6LWF9IDDRwF/qdu3/BlhqerZIkPow8TXi9KeiSXvDHX5KrBbOfWKAnvsa/Dvcm+Pf24VU220X+PQ JKM1H3bkzaXnjNkskkWcF7iUEzsMvRLomouZdj74bB7Kvj0XuxvHDK4wZzcv5GdmBxBno9PRwAT0 fW26m3GRUbZuGzipg38DxBuTeDajTAD67CWMYm5hJ8Ok8F/7jiVV70zOgNDNxrO0Xb/lTCABourS 6ZjsitiTXJwpLmuH27GHK2+4t2W5zK9hPRlwrU/UwwV6yINS0B0i1aeUH5g1Dc8Lj9HXcqfjuF3e 8D/TxFyhyntNAhKfQK5vpi6NrhVj1E6BuSLeImbmWV0xbLzxkuwMJm6Vo61WHqIrj36ynxwKojw8 3zg8p3uqbkvdI8YExhYjlwq1Yeggn8kuaB8VuptDLquyABupRIMExDkVJuv79cNX8iDFFBMMD7aA uAJIPAjO5Hk9KBD8sVB1XTgiO4DlM7RbT4n1otzZCrb42m1IpgxVsClswHemCVrFgEAmSNcHFTVF 1rMRLJ4EYYBmJCjFn3EXV/8e7NpgVGB6ZVIthnBTBsY+Dxx84ZD4lTUhXFmBub08nsYT4O78F719 yKStNfkpkVw+nLxgtyGyT6GB19AoAdBJ27azqhqa64+OXZVcK3qTDVAuG4V3Bv3qXvrgo105jgNW sS57R7hsUqZrJvXxCMmwyYhICMnOlboYIi1z6HBVclu6QbsrmMNbCAx0l6Ijgp5PewrIdqiSO7MX B6YgUTL6KOmTyOw83z3A1a9aJRfU6Lbb9Ng9qBS1ZEWgsyTtMchKsu436AwUv33QRZONhYTT+XB/ Jugqpyu32kBkEHe+PnlmFS1W7TZBUkWvemqE7wpGFel9P83DNjM85/S3ovcdBmZbt66OhnWeAkCk LA2Sa5FITIv25iqO8GeBuk/XxrOpr20kp1h1FBfmNxYU/kZDlxXyW/JQ/5FMIoTzBvIa8jwqYNX3 jXwlAEfS1IQsJzuC2StTvRegQ1VZC8S1TtKKdswKuXMavVxpCsrLeewJuA2h/zF95atBy8nthscZ nqxmJNEF8FO2dhHzjZzjySU1I587znlsd9A3JJxB2k8ORU4td3tRQDJUWl9s1CaglGFhoHU9p5yE o4K57dEiDaTO0ZQQRnqogyz4gYeJ+/zjKZ4Q/CKhbhSnfzcB2ATk8f4DOlx1uzaPXdE45x718F7t nhZSOXATvMUjf4l6fGKwvN8GbJtrKt03lz5VpY7ZnSiM7S8c/DlX4UOEn/vCxzsJ1DWDOLf40G5Q hawM/e941GwUn/Uxt4bM9qBwJFcuBHcgi20dfzde+uQzMJlw6zQvDG8blwfaBov+1cCh9VZo7VC5 ujfEnaaJ1zB0HGGIMV1iF+eqmsrQksZslz1gv+7BuX+WKCu8nW5aYTHMb3V9F95K39bjA0AnnXIV tR0uC8U7ex3c82tOQIVink69EtV8b1EXTE1sumyGSk6j/NfKK3OXOzSHa9WR5OVSPyinfj48AUa6 fj6QUjnvBt3qWnLlWQjgMPF+M7yjTrcdpMrGY6OWwYQPHl1Lh4aqX3b3K9VG4z9/aI0K606p+asQ A2o52LZOpPsmJwhgA4kO7rYLCTIhzTCY4drExrkX8kJHWhBGv+elymJiwtvyyugyiER3NcT+gTcQ go73Hy6jC0k5c5xRROxYt+Kd3t43/O37+yYBQGf3LBSh7tor5Iw9ungx1Fmw6JWpEeC6UKy10rC2 uE7Ni6g5yubOhs5ND+N8mpzJrQlmz0gqxR/nnxHMgJZgVXTvdbHerw1qQc+zz0fYJED73mgiizHj au4Z/ac4/mgHHsv5RO/9oIdbDWA9oj9jqAZHuYacGrmVoPIiK7VkHlXI89IKHpVO+Ymbdk/EEJMm ShpICNyrkbnFrV+oR5hWNOblbSQyyIm0eCZpaVK3iZtAyAHqDtsHKjSiUAge/tDTv7kAhMn18I7V Hh9eoE5UFzlKyZ79OkSeiIJUuizbV5LlwB0CArKBZnGjnH2EW0wkToINdMAy/gbBEwb3wXHhech2 z1lTkjsfjCSAOWYwjU7vZgHlERLx05GajOu+7EXxBdwwnov1qmoyyMxbzhQfFDF9WeOiaABukyJk 0gSSzMSS1RHarf3qBDB2cdu/1CBS6HF8gYzqbB3iuxd51wmRPRaiEQK7YOlzsxeZUAojyv0hhVA3 qIh32KYJqwHSNkYWAnmSYK7ZDnJ1on2yrdqjGmH3bHbNGHelIt5ipkG2gJkhG+32gPbE972WFfe9 fxYjnR8DmhBEhBmqU9pPTJc7sewe1OuQbZGDw/kQhjaJetG/MKiA1uuKGAheY2bQNFLUTX1quRTy UcYeoZDXOaUDhX+Py0EIVcWk1GhwJSUmHT5XC9H6CKAMr6rerpi0Son9/vmlqEaoxlCibtfbwMBX DIu4/YxIBG5bFUsoQNR+KCJxCsIlukY+b0he/I5dDbf9HiTwgMikTZkzwxyFcR9WaBphG9o4N70m RmuMNExx3/ImyftwJu9QPE0cZdSQ5FLvpe+nSAsaSHmfEZhovm3LIsupgETHKr2fIrEvbvD5JUVX bi9TRssIVgTGe4L3dK4J5Is668V2KWy3rsWfs0Sk7dICUYyE17xTcnLlgXWMQkuHWk48yLSmU8JH 1S8UF2Hx0LBwEV7jiT2W+8m57U4k7exetyR3XIYp5uAecH/V2mLc8LT5quiQvCkBu0nYxNlh1C/r YHln+IGXqL5i04VGJH4NUYk8B2AwmhBEGYSEAViQXsFwTXrijddOct8fT7i+ygLGZGjauGZnoPgh ISKw4UQALyetbeDMQxb9k3nIrCr+Vi9rO/PMpL8Ul8Tma4QynCHzQwTbqv20U904wuSHjoNsnDXK +zcS69vi2gROb0qPkadk2T11+SqAx2rvVkDj/SH37+GfDcagmLViKEjtiig9kqBrVDhrbCVx3FR/ 80TT6KUAgpkgnhJGylJwkF/dmjXsiD/0P+MM24j8+XpI0Gd4i1eLWSt6SZU4xOHjABzQnpqOM9bS rp8OnPdvPIsbs5+fUCDaAjUD8RwAXTb1L3TOb+PA4bkQkH7jYk/LD7PZ32/PSinankQraKFiJVpx MB77nX6EVbbYLGqlAi+VmDrdMiLWysmYIwPZvTgVhdm1d8ZT4si08BSuO8A2+i5cQMoFBj/d47j0 bO2kntewUIHHKupVH/aNxtw7fAU/MhSeessMVP62SY1zeqhZU3kWEVpqzZZ43rF8PFMAFb43nvBv 0FSuElOk+7u6K5MHO0u09Q6/2g/wO/kft4S0XchSIFmSpa0zr1PU2y14NoD0oFDoaFydsx4vmovk nx0O4dQUmf9BeI9lUncv1lQ1F+jTfGQk1g7K2DhT0gQtlhTgP9sSXcXvwaWhJa/v+gYoTa7RgpVH TLIn08F8ubz0aMNL4X51ol060eeLB2HxdAn0+STX3XBm5uME4Jj4Xp19wxCSlbGbochRi4DrJ72E gb5z2kpFnKItUgjXdlL9nFddhJfp6ZqfiAvcCcGX40CkA+WnXsAJIad8QnOnI3ARvdSMIzZqd0nb GIwblfVkBwD0tQs/2+8r8Ovw++squNWADndy11Ks/9qosFC72N5BToO5s88PukW7lmCvkUz2jDv5 v7HBJ5Gulv1YIvqu9/T3IvwZRVII90uilUbsjvOOrOvoBJ3ajE2rRUZ6SM5FfCy5k0M838cCCsiA UK1mr7pO4CjKtpuHD+ScAdowzb21Li/cranMT5RPolPAbesD9/z2NgSwMGSLJnrcxlr2XQUSFcWh Sj1yhSGqJvoo2Jx6S2bgFKO6tBSNoWTpxB0= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HgA2IYn7DDAg50ZQXIF+3uF9LGQQ7iRnh9rRjI9Qf5gANpcevgVL1MizfVT7NKiRIjR25gpd/frh i5ioFrwX9g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jGWna+ri4Ln5Ol4O2XYl54WWXvApiw4AQvHKyG5WPA/wG5gdYxJB5TsVgAEnuuZW8XaNRVTjEJ1g xQEQ0pfMwvMIi5U6dbR13ZZNcJ6K5RD352bkLqoevz9cM6sx0mdobkv90Db/JxIGmA4NxmsNFJU5 OprkhndD6iP9cSc6xF8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dE09sW8rfEVKAE8tJxbijIBoKg5aImi/bwGIqMNMo00RGPg+oZMfI/MapbgagkM8cCe8OcVtZRES JNvPFDz9zirNP3oDs2Tt5klGXNXOmV0H9wo8twnF8t+v2V0VOksCnwflqXn3kNmZ7gktK4yiZrUo GVG9bpriTIEerq9osaZ9zFU4gNqRGXMTqOCkqnVKc+guoVUqmu68nXogrnzzpdA9iZQhEHM4eRqL 2cZbraX6UijVKuKZ98sS+y0q40tEseAiD9qQj5m/TTizJ8N+QVgEEUTB7YndGZ2+7nWBRj5upize jwxV2AwuUJL/ohewELTaCEAH54sauhn3IsA9mQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vJFMkpaFUDrnI4gxuqkHmRkcal6RLTHDB5pKdGHAIKJW9lwXqRph65+R46SI7MCZBwm9XXsphpzY tUBz6PT7VpCSG2rrI2JAPI4Gi8YMyRIIIhcBRcUACFKwtU5BGWGL1kQl2dGkVReJoHz5rMC08XIr 8lHI7RXdVL0RJLoKln4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jz3Mt6krjLr0CAySESYUYpmpNSb2dzpouEL8gBb7U15BOyU5048hkAwGgdP61H9LcXSnDSLG06Eb YLCo2Mq+Be79txxWDS5LuqgwrpUmspI0vd0x/0SPc2pTWWU4sSPsuw3OSHlXP83bjxUgZLwrFEE+ CZ9S5e26tFirr7RDMOQrjTM9ngvsabDng0ByxKwSSG6141sLFDk3/PcDxlJX63JCw4W+o6cTzXn3 /EfJownOkIBmT3+tYE1QHW4CylG4rnSmq5s9IIoayec7Lhih22HyCiw0LXNg8055ZFcHBfuVlvHm nNiN81PGoBCrXSWTmw5QGIQtLWxsuW4jfy/Ibg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4016) `protect data_block qx3N9tvAjRHZsKRahdsaaAsrBR9ILJH4cDbAr2QPBYz9ar1wVfVApd9CX5T4Xcly9H6zm2jFLK5s zFHpgkhaTuyiuQUzdTc2Xp87TBrVKrRQbDqlaBl0xxP+vhgXMOhfCqg9vwvFxxdj3oh+5H3ncHUM +yAXe7dPJJrQXPoJfoOsy0sClO2vKT07R6tqrRR+ois0uAL6jl3Z3v1GZpA4eD4cfk84fYMUSR+r j995xf6ctXX+BaWn9T2emCGMgyMcJseKYIPcAZnB3SLJmdC555mbeST9HTakwLHA7VU0C2K4LbRh dRZ1maxiq2kIe80DZoB4WL+7rg4Gs1DI9uoQ0J+alSQhuOGrytswPXLvKed4TwJWJIJYq+BP/nfb 7qNNugNySJNhctmGP/IcDt6zG7fT8tvb1myqJMfvWlum9ntarZPYRdfrdjHxfvDf4hdxIxANZucn 6CwA+6eFWaPh8wracLt2yiTcaP5jK30hoVg8SAWSNdmFu8tLvsBnLP8NUbi4AJFOXi2fMX3/SMjP kA9k8u1JZriJc0Y/5l8lAdSBRo17i7JjWdrrHeOtbMn1KvhrV6Q0jK9gewbUWFmmFaFeTdd+BvlB vywJBVwSWCcxTxST4ycKz2K2qruu38WzQ+m+cijgwpj5V6o0NY//607R0VfDnXmRpaPSKIDojzwp 2tGW8fY/z9MhdUoEbpau06E93SxAXSMwvM6E2Fxq5X7H6kktW/tvAtDBIRYAbzxx6hKU0F/+sSi7 pBFztVDBgD79ViTIwQbWirR/isUVRl3cu854XZO263UJGlvOQ3OqWFqzGX/LgTKV9BjYZi8mbH4X UtgjjOZcADhBLA12ADpBhHS6i2uMogMFfljh4kLerMv3RT3MhVxJt+doOPEsi4PCMiXAAxHbXI/t cNavdlsBr1NjmyHVVzQWtI9Mp8w8F10IRZzGZ+d3/Ife0OiIV/PZHu19RMX1z2pN+8Me+wMQ25NF 1l6a+tiBze8av7EmVT8An/65NkYjOz5TLevuCYkSu4NFxK+7FobMEwXa4R1nLX1fxCltWwzh7MAg dAaH95yOU/hs3uEI7dVAc0QTiZDQpBTe6dF1EktO4WpC21E5IOw6jPTE9xkpGrj2DHyQe/e5CGD5 QcOk9lK/cfmS8NS5bkphR3kqgNZuk/GY8Wec344Glr3d9AdjYlH6c/T1sjpu1xcgrN7Gi1j6R22l Gr2wnS8RwidzNs46uQY1otJe7B0GhRKWeOadkuP+SA/OeDC2DKG+N5FXArx0P8m3rnbCy6sm7M6+ QFtxqcwOUnsyzlie8xLWatAwGynqXWrcDeXNHfETPBxg1LN9dDZV12zsIw26u7KRmdAyp9OVI5Cb 4x4XcxVK/Bk7eK0951ZWUPtYzsYrd0ViPNiIvl2PmNUhTF7UbAjGAqP0zpBe/GjTC3wQmA0kmaQY 7jvufdWBeHAlm3LW4doeO3hLcjUzIIfzf5F5FyFUoJiH9LQNqU/WfJd4PS0TQfl86zU925bIl6jR 6LWF9IDDRwF/qdu3/BlhqerZIkPow8TXi9KeiSXvDHX5KrBbOfWKAnvsa/Dvcm+Pf24VU220X+PQ JKM1H3bkzaXnjNkskkWcF7iUEzsMvRLomouZdj74bB7Kvj0XuxvHDK4wZzcv5GdmBxBno9PRwAT0 fW26m3GRUbZuGzipg38DxBuTeDajTAD67CWMYm5hJ8Ok8F/7jiVV70zOgNDNxrO0Xb/lTCABourS 6ZjsitiTXJwpLmuH27GHK2+4t2W5zK9hPRlwrU/UwwV6yINS0B0i1aeUH5g1Dc8Lj9HXcqfjuF3e 8D/TxFyhyntNAhKfQK5vpi6NrhVj1E6BuSLeImbmWV0xbLzxkuwMJm6Vo61WHqIrj36ynxwKojw8 3zg8p3uqbkvdI8YExhYjlwq1Yeggn8kuaB8VuptDLquyABupRIMExDkVJuv79cNX8iDFFBMMD7aA uAJIPAjO5Hk9KBD8sVB1XTgiO4DlM7RbT4n1otzZCrb42m1IpgxVsClswHemCVrFgEAmSNcHFTVF 1rMRLJ4EYYBmJCjFn3EXV/8e7NpgVGB6ZVIthnBTBsY+Dxx84ZD4lTUhXFmBub08nsYT4O78F719 yKStNfkpkVw+nLxgtyGyT6GB19AoAdBJ27azqhqa64+OXZVcK3qTDVAuG4V3Bv3qXvrgo105jgNW sS57R7hsUqZrJvXxCMmwyYhICMnOlboYIi1z6HBVclu6QbsrmMNbCAx0l6Ijgp5PewrIdqiSO7MX B6YgUTL6KOmTyOw83z3A1a9aJRfU6Lbb9Ng9qBS1ZEWgsyTtMchKsu436AwUv33QRZONhYTT+XB/ Jugqpyu32kBkEHe+PnlmFS1W7TZBUkWvemqE7wpGFel9P83DNjM85/S3ovcdBmZbt66OhnWeAkCk LA2Sa5FITIv25iqO8GeBuk/XxrOpr20kp1h1FBfmNxYU/kZDlxXyW/JQ/5FMIoTzBvIa8jwqYNX3 jXwlAEfS1IQsJzuC2StTvRegQ1VZC8S1TtKKdswKuXMavVxpCsrLeewJuA2h/zF95atBy8nthscZ nqxmJNEF8FO2dhHzjZzjySU1I587znlsd9A3JJxB2k8ORU4td3tRQDJUWl9s1CaglGFhoHU9p5yE o4K57dEiDaTO0ZQQRnqogyz4gYeJ+/zjKZ4Q/CKhbhSnfzcB2ATk8f4DOlx1uzaPXdE45x718F7t nhZSOXATvMUjf4l6fGKwvN8GbJtrKt03lz5VpY7ZnSiM7S8c/DlX4UOEn/vCxzsJ1DWDOLf40G5Q hawM/e941GwUn/Uxt4bM9qBwJFcuBHcgi20dfzde+uQzMJlw6zQvDG8blwfaBov+1cCh9VZo7VC5 ujfEnaaJ1zB0HGGIMV1iF+eqmsrQksZslz1gv+7BuX+WKCu8nW5aYTHMb3V9F95K39bjA0AnnXIV tR0uC8U7ex3c82tOQIVink69EtV8b1EXTE1sumyGSk6j/NfKK3OXOzSHa9WR5OVSPyinfj48AUa6 fj6QUjnvBt3qWnLlWQjgMPF+M7yjTrcdpMrGY6OWwYQPHl1Lh4aqX3b3K9VG4z9/aI0K606p+asQ A2o52LZOpPsmJwhgA4kO7rYLCTIhzTCY4drExrkX8kJHWhBGv+elymJiwtvyyugyiER3NcT+gTcQ go73Hy6jC0k5c5xRROxYt+Kd3t43/O37+yYBQGf3LBSh7tor5Iw9ungx1Fmw6JWpEeC6UKy10rC2 uE7Ni6g5yubOhs5ND+N8mpzJrQlmz0gqxR/nnxHMgJZgVXTvdbHerw1qQc+zz0fYJED73mgiizHj au4Z/ac4/mgHHsv5RO/9oIdbDWA9oj9jqAZHuYacGrmVoPIiK7VkHlXI89IKHpVO+Ymbdk/EEJMm ShpICNyrkbnFrV+oR5hWNOblbSQyyIm0eCZpaVK3iZtAyAHqDtsHKjSiUAge/tDTv7kAhMn18I7V Hh9eoE5UFzlKyZ79OkSeiIJUuizbV5LlwB0CArKBZnGjnH2EW0wkToINdMAy/gbBEwb3wXHhech2 z1lTkjsfjCSAOWYwjU7vZgHlERLx05GajOu+7EXxBdwwnov1qmoyyMxbzhQfFDF9WeOiaABukyJk 0gSSzMSS1RHarf3qBDB2cdu/1CBS6HF8gYzqbB3iuxd51wmRPRaiEQK7YOlzsxeZUAojyv0hhVA3 qIh32KYJqwHSNkYWAnmSYK7ZDnJ1on2yrdqjGmH3bHbNGHelIt5ipkG2gJkhG+32gPbE972WFfe9 fxYjnR8DmhBEhBmqU9pPTJc7sewe1OuQbZGDw/kQhjaJetG/MKiA1uuKGAheY2bQNFLUTX1quRTy UcYeoZDXOaUDhX+Py0EIVcWk1GhwJSUmHT5XC9H6CKAMr6rerpi0Son9/vmlqEaoxlCibtfbwMBX DIu4/YxIBG5bFUsoQNR+KCJxCsIlukY+b0he/I5dDbf9HiTwgMikTZkzwxyFcR9WaBphG9o4N70m RmuMNExx3/ImyftwJu9QPE0cZdSQ5FLvpe+nSAsaSHmfEZhovm3LIsupgETHKr2fIrEvbvD5JUVX bi9TRssIVgTGe4L3dK4J5Is668V2KWy3rsWfs0Sk7dICUYyE17xTcnLlgXWMQkuHWk48yLSmU8JH 1S8UF2Hx0LBwEV7jiT2W+8m57U4k7exetyR3XIYp5uAecH/V2mLc8LT5quiQvCkBu0nYxNlh1C/r YHln+IGXqL5i04VGJH4NUYk8B2AwmhBEGYSEAViQXsFwTXrijddOct8fT7i+ygLGZGjauGZnoPgh ISKw4UQALyetbeDMQxb9k3nIrCr+Vi9rO/PMpL8Ul8Tma4QynCHzQwTbqv20U904wuSHjoNsnDXK +zcS69vi2gROb0qPkadk2T11+SqAx2rvVkDj/SH37+GfDcagmLViKEjtiig9kqBrVDhrbCVx3FR/ 80TT6KUAgpkgnhJGylJwkF/dmjXsiD/0P+MM24j8+XpI0Gd4i1eLWSt6SZU4xOHjABzQnpqOM9bS rp8OnPdvPIsbs5+fUCDaAjUD8RwAXTb1L3TOb+PA4bkQkH7jYk/LD7PZ32/PSinankQraKFiJVpx MB77nX6EVbbYLGqlAi+VmDrdMiLWysmYIwPZvTgVhdm1d8ZT4si08BSuO8A2+i5cQMoFBj/d47j0 bO2kntewUIHHKupVH/aNxtw7fAU/MhSeessMVP62SY1zeqhZU3kWEVpqzZZ43rF8PFMAFb43nvBv 0FSuElOk+7u6K5MHO0u09Q6/2g/wO/kft4S0XchSIFmSpa0zr1PU2y14NoD0oFDoaFydsx4vmovk nx0O4dQUmf9BeI9lUncv1lQ1F+jTfGQk1g7K2DhT0gQtlhTgP9sSXcXvwaWhJa/v+gYoTa7RgpVH TLIn08F8ubz0aMNL4X51ol060eeLB2HxdAn0+STX3XBm5uME4Jj4Xp19wxCSlbGbochRi4DrJ72E gb5z2kpFnKItUgjXdlL9nFddhJfp6ZqfiAvcCcGX40CkA+WnXsAJIad8QnOnI3ARvdSMIzZqd0nb GIwblfVkBwD0tQs/2+8r8Ovw++squNWADndy11Ks/9qosFC72N5BToO5s88PukW7lmCvkUz2jDv5 v7HBJ5Gulv1YIvqu9/T3IvwZRVII90uilUbsjvOOrOvoBJ3ajE2rRUZ6SM5FfCy5k0M838cCCsiA UK1mr7pO4CjKtpuHD+ScAdowzb21Li/cranMT5RPolPAbesD9/z2NgSwMGSLJnrcxlr2XQUSFcWh Sj1yhSGqJvoo2Jx6S2bgFKO6tBSNoWTpxB0= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HgA2IYn7DDAg50ZQXIF+3uF9LGQQ7iRnh9rRjI9Qf5gANpcevgVL1MizfVT7NKiRIjR25gpd/frh i5ioFrwX9g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jGWna+ri4Ln5Ol4O2XYl54WWXvApiw4AQvHKyG5WPA/wG5gdYxJB5TsVgAEnuuZW8XaNRVTjEJ1g xQEQ0pfMwvMIi5U6dbR13ZZNcJ6K5RD352bkLqoevz9cM6sx0mdobkv90Db/JxIGmA4NxmsNFJU5 OprkhndD6iP9cSc6xF8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dE09sW8rfEVKAE8tJxbijIBoKg5aImi/bwGIqMNMo00RGPg+oZMfI/MapbgagkM8cCe8OcVtZRES JNvPFDz9zirNP3oDs2Tt5klGXNXOmV0H9wo8twnF8t+v2V0VOksCnwflqXn3kNmZ7gktK4yiZrUo GVG9bpriTIEerq9osaZ9zFU4gNqRGXMTqOCkqnVKc+guoVUqmu68nXogrnzzpdA9iZQhEHM4eRqL 2cZbraX6UijVKuKZ98sS+y0q40tEseAiD9qQj5m/TTizJ8N+QVgEEUTB7YndGZ2+7nWBRj5upize jwxV2AwuUJL/ohewELTaCEAH54sauhn3IsA9mQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vJFMkpaFUDrnI4gxuqkHmRkcal6RLTHDB5pKdGHAIKJW9lwXqRph65+R46SI7MCZBwm9XXsphpzY tUBz6PT7VpCSG2rrI2JAPI4Gi8YMyRIIIhcBRcUACFKwtU5BGWGL1kQl2dGkVReJoHz5rMC08XIr 8lHI7RXdVL0RJLoKln4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jz3Mt6krjLr0CAySESYUYpmpNSb2dzpouEL8gBb7U15BOyU5048hkAwGgdP61H9LcXSnDSLG06Eb YLCo2Mq+Be79txxWDS5LuqgwrpUmspI0vd0x/0SPc2pTWWU4sSPsuw3OSHlXP83bjxUgZLwrFEE+ CZ9S5e26tFirr7RDMOQrjTM9ngvsabDng0ByxKwSSG6141sLFDk3/PcDxlJX63JCw4W+o6cTzXn3 /EfJownOkIBmT3+tYE1QHW4CylG4rnSmq5s9IIoayec7Lhih22HyCiw0LXNg8055ZFcHBfuVlvHm nNiN81PGoBCrXSWTmw5QGIQtLWxsuW4jfy/Ibg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4016) `protect data_block qx3N9tvAjRHZsKRahdsaaAsrBR9ILJH4cDbAr2QPBYz9ar1wVfVApd9CX5T4Xcly9H6zm2jFLK5s zFHpgkhaTuyiuQUzdTc2Xp87TBrVKrRQbDqlaBl0xxP+vhgXMOhfCqg9vwvFxxdj3oh+5H3ncHUM +yAXe7dPJJrQXPoJfoOsy0sClO2vKT07R6tqrRR+ois0uAL6jl3Z3v1GZpA4eD4cfk84fYMUSR+r j995xf6ctXX+BaWn9T2emCGMgyMcJseKYIPcAZnB3SLJmdC555mbeST9HTakwLHA7VU0C2K4LbRh dRZ1maxiq2kIe80DZoB4WL+7rg4Gs1DI9uoQ0J+alSQhuOGrytswPXLvKed4TwJWJIJYq+BP/nfb 7qNNugNySJNhctmGP/IcDt6zG7fT8tvb1myqJMfvWlum9ntarZPYRdfrdjHxfvDf4hdxIxANZucn 6CwA+6eFWaPh8wracLt2yiTcaP5jK30hoVg8SAWSNdmFu8tLvsBnLP8NUbi4AJFOXi2fMX3/SMjP kA9k8u1JZriJc0Y/5l8lAdSBRo17i7JjWdrrHeOtbMn1KvhrV6Q0jK9gewbUWFmmFaFeTdd+BvlB vywJBVwSWCcxTxST4ycKz2K2qruu38WzQ+m+cijgwpj5V6o0NY//607R0VfDnXmRpaPSKIDojzwp 2tGW8fY/z9MhdUoEbpau06E93SxAXSMwvM6E2Fxq5X7H6kktW/tvAtDBIRYAbzxx6hKU0F/+sSi7 pBFztVDBgD79ViTIwQbWirR/isUVRl3cu854XZO263UJGlvOQ3OqWFqzGX/LgTKV9BjYZi8mbH4X UtgjjOZcADhBLA12ADpBhHS6i2uMogMFfljh4kLerMv3RT3MhVxJt+doOPEsi4PCMiXAAxHbXI/t cNavdlsBr1NjmyHVVzQWtI9Mp8w8F10IRZzGZ+d3/Ife0OiIV/PZHu19RMX1z2pN+8Me+wMQ25NF 1l6a+tiBze8av7EmVT8An/65NkYjOz5TLevuCYkSu4NFxK+7FobMEwXa4R1nLX1fxCltWwzh7MAg dAaH95yOU/hs3uEI7dVAc0QTiZDQpBTe6dF1EktO4WpC21E5IOw6jPTE9xkpGrj2DHyQe/e5CGD5 QcOk9lK/cfmS8NS5bkphR3kqgNZuk/GY8Wec344Glr3d9AdjYlH6c/T1sjpu1xcgrN7Gi1j6R22l Gr2wnS8RwidzNs46uQY1otJe7B0GhRKWeOadkuP+SA/OeDC2DKG+N5FXArx0P8m3rnbCy6sm7M6+ QFtxqcwOUnsyzlie8xLWatAwGynqXWrcDeXNHfETPBxg1LN9dDZV12zsIw26u7KRmdAyp9OVI5Cb 4x4XcxVK/Bk7eK0951ZWUPtYzsYrd0ViPNiIvl2PmNUhTF7UbAjGAqP0zpBe/GjTC3wQmA0kmaQY 7jvufdWBeHAlm3LW4doeO3hLcjUzIIfzf5F5FyFUoJiH9LQNqU/WfJd4PS0TQfl86zU925bIl6jR 6LWF9IDDRwF/qdu3/BlhqerZIkPow8TXi9KeiSXvDHX5KrBbOfWKAnvsa/Dvcm+Pf24VU220X+PQ JKM1H3bkzaXnjNkskkWcF7iUEzsMvRLomouZdj74bB7Kvj0XuxvHDK4wZzcv5GdmBxBno9PRwAT0 fW26m3GRUbZuGzipg38DxBuTeDajTAD67CWMYm5hJ8Ok8F/7jiVV70zOgNDNxrO0Xb/lTCABourS 6ZjsitiTXJwpLmuH27GHK2+4t2W5zK9hPRlwrU/UwwV6yINS0B0i1aeUH5g1Dc8Lj9HXcqfjuF3e 8D/TxFyhyntNAhKfQK5vpi6NrhVj1E6BuSLeImbmWV0xbLzxkuwMJm6Vo61WHqIrj36ynxwKojw8 3zg8p3uqbkvdI8YExhYjlwq1Yeggn8kuaB8VuptDLquyABupRIMExDkVJuv79cNX8iDFFBMMD7aA uAJIPAjO5Hk9KBD8sVB1XTgiO4DlM7RbT4n1otzZCrb42m1IpgxVsClswHemCVrFgEAmSNcHFTVF 1rMRLJ4EYYBmJCjFn3EXV/8e7NpgVGB6ZVIthnBTBsY+Dxx84ZD4lTUhXFmBub08nsYT4O78F719 yKStNfkpkVw+nLxgtyGyT6GB19AoAdBJ27azqhqa64+OXZVcK3qTDVAuG4V3Bv3qXvrgo105jgNW sS57R7hsUqZrJvXxCMmwyYhICMnOlboYIi1z6HBVclu6QbsrmMNbCAx0l6Ijgp5PewrIdqiSO7MX B6YgUTL6KOmTyOw83z3A1a9aJRfU6Lbb9Ng9qBS1ZEWgsyTtMchKsu436AwUv33QRZONhYTT+XB/ Jugqpyu32kBkEHe+PnlmFS1W7TZBUkWvemqE7wpGFel9P83DNjM85/S3ovcdBmZbt66OhnWeAkCk LA2Sa5FITIv25iqO8GeBuk/XxrOpr20kp1h1FBfmNxYU/kZDlxXyW/JQ/5FMIoTzBvIa8jwqYNX3 jXwlAEfS1IQsJzuC2StTvRegQ1VZC8S1TtKKdswKuXMavVxpCsrLeewJuA2h/zF95atBy8nthscZ nqxmJNEF8FO2dhHzjZzjySU1I587znlsd9A3JJxB2k8ORU4td3tRQDJUWl9s1CaglGFhoHU9p5yE o4K57dEiDaTO0ZQQRnqogyz4gYeJ+/zjKZ4Q/CKhbhSnfzcB2ATk8f4DOlx1uzaPXdE45x718F7t nhZSOXATvMUjf4l6fGKwvN8GbJtrKt03lz5VpY7ZnSiM7S8c/DlX4UOEn/vCxzsJ1DWDOLf40G5Q hawM/e941GwUn/Uxt4bM9qBwJFcuBHcgi20dfzde+uQzMJlw6zQvDG8blwfaBov+1cCh9VZo7VC5 ujfEnaaJ1zB0HGGIMV1iF+eqmsrQksZslz1gv+7BuX+WKCu8nW5aYTHMb3V9F95K39bjA0AnnXIV tR0uC8U7ex3c82tOQIVink69EtV8b1EXTE1sumyGSk6j/NfKK3OXOzSHa9WR5OVSPyinfj48AUa6 fj6QUjnvBt3qWnLlWQjgMPF+M7yjTrcdpMrGY6OWwYQPHl1Lh4aqX3b3K9VG4z9/aI0K606p+asQ A2o52LZOpPsmJwhgA4kO7rYLCTIhzTCY4drExrkX8kJHWhBGv+elymJiwtvyyugyiER3NcT+gTcQ go73Hy6jC0k5c5xRROxYt+Kd3t43/O37+yYBQGf3LBSh7tor5Iw9ungx1Fmw6JWpEeC6UKy10rC2 uE7Ni6g5yubOhs5ND+N8mpzJrQlmz0gqxR/nnxHMgJZgVXTvdbHerw1qQc+zz0fYJED73mgiizHj au4Z/ac4/mgHHsv5RO/9oIdbDWA9oj9jqAZHuYacGrmVoPIiK7VkHlXI89IKHpVO+Ymbdk/EEJMm ShpICNyrkbnFrV+oR5hWNOblbSQyyIm0eCZpaVK3iZtAyAHqDtsHKjSiUAge/tDTv7kAhMn18I7V Hh9eoE5UFzlKyZ79OkSeiIJUuizbV5LlwB0CArKBZnGjnH2EW0wkToINdMAy/gbBEwb3wXHhech2 z1lTkjsfjCSAOWYwjU7vZgHlERLx05GajOu+7EXxBdwwnov1qmoyyMxbzhQfFDF9WeOiaABukyJk 0gSSzMSS1RHarf3qBDB2cdu/1CBS6HF8gYzqbB3iuxd51wmRPRaiEQK7YOlzsxeZUAojyv0hhVA3 qIh32KYJqwHSNkYWAnmSYK7ZDnJ1on2yrdqjGmH3bHbNGHelIt5ipkG2gJkhG+32gPbE972WFfe9 fxYjnR8DmhBEhBmqU9pPTJc7sewe1OuQbZGDw/kQhjaJetG/MKiA1uuKGAheY2bQNFLUTX1quRTy UcYeoZDXOaUDhX+Py0EIVcWk1GhwJSUmHT5XC9H6CKAMr6rerpi0Son9/vmlqEaoxlCibtfbwMBX DIu4/YxIBG5bFUsoQNR+KCJxCsIlukY+b0he/I5dDbf9HiTwgMikTZkzwxyFcR9WaBphG9o4N70m RmuMNExx3/ImyftwJu9QPE0cZdSQ5FLvpe+nSAsaSHmfEZhovm3LIsupgETHKr2fIrEvbvD5JUVX bi9TRssIVgTGe4L3dK4J5Is668V2KWy3rsWfs0Sk7dICUYyE17xTcnLlgXWMQkuHWk48yLSmU8JH 1S8UF2Hx0LBwEV7jiT2W+8m57U4k7exetyR3XIYp5uAecH/V2mLc8LT5quiQvCkBu0nYxNlh1C/r YHln+IGXqL5i04VGJH4NUYk8B2AwmhBEGYSEAViQXsFwTXrijddOct8fT7i+ygLGZGjauGZnoPgh ISKw4UQALyetbeDMQxb9k3nIrCr+Vi9rO/PMpL8Ul8Tma4QynCHzQwTbqv20U904wuSHjoNsnDXK +zcS69vi2gROb0qPkadk2T11+SqAx2rvVkDj/SH37+GfDcagmLViKEjtiig9kqBrVDhrbCVx3FR/ 80TT6KUAgpkgnhJGylJwkF/dmjXsiD/0P+MM24j8+XpI0Gd4i1eLWSt6SZU4xOHjABzQnpqOM9bS rp8OnPdvPIsbs5+fUCDaAjUD8RwAXTb1L3TOb+PA4bkQkH7jYk/LD7PZ32/PSinankQraKFiJVpx MB77nX6EVbbYLGqlAi+VmDrdMiLWysmYIwPZvTgVhdm1d8ZT4si08BSuO8A2+i5cQMoFBj/d47j0 bO2kntewUIHHKupVH/aNxtw7fAU/MhSeessMVP62SY1zeqhZU3kWEVpqzZZ43rF8PFMAFb43nvBv 0FSuElOk+7u6K5MHO0u09Q6/2g/wO/kft4S0XchSIFmSpa0zr1PU2y14NoD0oFDoaFydsx4vmovk nx0O4dQUmf9BeI9lUncv1lQ1F+jTfGQk1g7K2DhT0gQtlhTgP9sSXcXvwaWhJa/v+gYoTa7RgpVH TLIn08F8ubz0aMNL4X51ol060eeLB2HxdAn0+STX3XBm5uME4Jj4Xp19wxCSlbGbochRi4DrJ72E gb5z2kpFnKItUgjXdlL9nFddhJfp6ZqfiAvcCcGX40CkA+WnXsAJIad8QnOnI3ARvdSMIzZqd0nb GIwblfVkBwD0tQs/2+8r8Ovw++squNWADndy11Ks/9qosFC72N5BToO5s88PukW7lmCvkUz2jDv5 v7HBJ5Gulv1YIvqu9/T3IvwZRVII90uilUbsjvOOrOvoBJ3ajE2rRUZ6SM5FfCy5k0M838cCCsiA UK1mr7pO4CjKtpuHD+ScAdowzb21Li/cranMT5RPolPAbesD9/z2NgSwMGSLJnrcxlr2XQUSFcWh Sj1yhSGqJvoo2Jx6S2bgFKO6tBSNoWTpxB0= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HgA2IYn7DDAg50ZQXIF+3uF9LGQQ7iRnh9rRjI9Qf5gANpcevgVL1MizfVT7NKiRIjR25gpd/frh i5ioFrwX9g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jGWna+ri4Ln5Ol4O2XYl54WWXvApiw4AQvHKyG5WPA/wG5gdYxJB5TsVgAEnuuZW8XaNRVTjEJ1g xQEQ0pfMwvMIi5U6dbR13ZZNcJ6K5RD352bkLqoevz9cM6sx0mdobkv90Db/JxIGmA4NxmsNFJU5 OprkhndD6iP9cSc6xF8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dE09sW8rfEVKAE8tJxbijIBoKg5aImi/bwGIqMNMo00RGPg+oZMfI/MapbgagkM8cCe8OcVtZRES JNvPFDz9zirNP3oDs2Tt5klGXNXOmV0H9wo8twnF8t+v2V0VOksCnwflqXn3kNmZ7gktK4yiZrUo GVG9bpriTIEerq9osaZ9zFU4gNqRGXMTqOCkqnVKc+guoVUqmu68nXogrnzzpdA9iZQhEHM4eRqL 2cZbraX6UijVKuKZ98sS+y0q40tEseAiD9qQj5m/TTizJ8N+QVgEEUTB7YndGZ2+7nWBRj5upize jwxV2AwuUJL/ohewELTaCEAH54sauhn3IsA9mQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vJFMkpaFUDrnI4gxuqkHmRkcal6RLTHDB5pKdGHAIKJW9lwXqRph65+R46SI7MCZBwm9XXsphpzY tUBz6PT7VpCSG2rrI2JAPI4Gi8YMyRIIIhcBRcUACFKwtU5BGWGL1kQl2dGkVReJoHz5rMC08XIr 8lHI7RXdVL0RJLoKln4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jz3Mt6krjLr0CAySESYUYpmpNSb2dzpouEL8gBb7U15BOyU5048hkAwGgdP61H9LcXSnDSLG06Eb YLCo2Mq+Be79txxWDS5LuqgwrpUmspI0vd0x/0SPc2pTWWU4sSPsuw3OSHlXP83bjxUgZLwrFEE+ CZ9S5e26tFirr7RDMOQrjTM9ngvsabDng0ByxKwSSG6141sLFDk3/PcDxlJX63JCw4W+o6cTzXn3 /EfJownOkIBmT3+tYE1QHW4CylG4rnSmq5s9IIoayec7Lhih22HyCiw0LXNg8055ZFcHBfuVlvHm nNiN81PGoBCrXSWTmw5QGIQtLWxsuW4jfy/Ibg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4016) `protect data_block qx3N9tvAjRHZsKRahdsaaAsrBR9ILJH4cDbAr2QPBYz9ar1wVfVApd9CX5T4Xcly9H6zm2jFLK5s zFHpgkhaTuyiuQUzdTc2Xp87TBrVKrRQbDqlaBl0xxP+vhgXMOhfCqg9vwvFxxdj3oh+5H3ncHUM +yAXe7dPJJrQXPoJfoOsy0sClO2vKT07R6tqrRR+ois0uAL6jl3Z3v1GZpA4eD4cfk84fYMUSR+r j995xf6ctXX+BaWn9T2emCGMgyMcJseKYIPcAZnB3SLJmdC555mbeST9HTakwLHA7VU0C2K4LbRh dRZ1maxiq2kIe80DZoB4WL+7rg4Gs1DI9uoQ0J+alSQhuOGrytswPXLvKed4TwJWJIJYq+BP/nfb 7qNNugNySJNhctmGP/IcDt6zG7fT8tvb1myqJMfvWlum9ntarZPYRdfrdjHxfvDf4hdxIxANZucn 6CwA+6eFWaPh8wracLt2yiTcaP5jK30hoVg8SAWSNdmFu8tLvsBnLP8NUbi4AJFOXi2fMX3/SMjP kA9k8u1JZriJc0Y/5l8lAdSBRo17i7JjWdrrHeOtbMn1KvhrV6Q0jK9gewbUWFmmFaFeTdd+BvlB vywJBVwSWCcxTxST4ycKz2K2qruu38WzQ+m+cijgwpj5V6o0NY//607R0VfDnXmRpaPSKIDojzwp 2tGW8fY/z9MhdUoEbpau06E93SxAXSMwvM6E2Fxq5X7H6kktW/tvAtDBIRYAbzxx6hKU0F/+sSi7 pBFztVDBgD79ViTIwQbWirR/isUVRl3cu854XZO263UJGlvOQ3OqWFqzGX/LgTKV9BjYZi8mbH4X UtgjjOZcADhBLA12ADpBhHS6i2uMogMFfljh4kLerMv3RT3MhVxJt+doOPEsi4PCMiXAAxHbXI/t cNavdlsBr1NjmyHVVzQWtI9Mp8w8F10IRZzGZ+d3/Ife0OiIV/PZHu19RMX1z2pN+8Me+wMQ25NF 1l6a+tiBze8av7EmVT8An/65NkYjOz5TLevuCYkSu4NFxK+7FobMEwXa4R1nLX1fxCltWwzh7MAg dAaH95yOU/hs3uEI7dVAc0QTiZDQpBTe6dF1EktO4WpC21E5IOw6jPTE9xkpGrj2DHyQe/e5CGD5 QcOk9lK/cfmS8NS5bkphR3kqgNZuk/GY8Wec344Glr3d9AdjYlH6c/T1sjpu1xcgrN7Gi1j6R22l Gr2wnS8RwidzNs46uQY1otJe7B0GhRKWeOadkuP+SA/OeDC2DKG+N5FXArx0P8m3rnbCy6sm7M6+ QFtxqcwOUnsyzlie8xLWatAwGynqXWrcDeXNHfETPBxg1LN9dDZV12zsIw26u7KRmdAyp9OVI5Cb 4x4XcxVK/Bk7eK0951ZWUPtYzsYrd0ViPNiIvl2PmNUhTF7UbAjGAqP0zpBe/GjTC3wQmA0kmaQY 7jvufdWBeHAlm3LW4doeO3hLcjUzIIfzf5F5FyFUoJiH9LQNqU/WfJd4PS0TQfl86zU925bIl6jR 6LWF9IDDRwF/qdu3/BlhqerZIkPow8TXi9KeiSXvDHX5KrBbOfWKAnvsa/Dvcm+Pf24VU220X+PQ JKM1H3bkzaXnjNkskkWcF7iUEzsMvRLomouZdj74bB7Kvj0XuxvHDK4wZzcv5GdmBxBno9PRwAT0 fW26m3GRUbZuGzipg38DxBuTeDajTAD67CWMYm5hJ8Ok8F/7jiVV70zOgNDNxrO0Xb/lTCABourS 6ZjsitiTXJwpLmuH27GHK2+4t2W5zK9hPRlwrU/UwwV6yINS0B0i1aeUH5g1Dc8Lj9HXcqfjuF3e 8D/TxFyhyntNAhKfQK5vpi6NrhVj1E6BuSLeImbmWV0xbLzxkuwMJm6Vo61WHqIrj36ynxwKojw8 3zg8p3uqbkvdI8YExhYjlwq1Yeggn8kuaB8VuptDLquyABupRIMExDkVJuv79cNX8iDFFBMMD7aA uAJIPAjO5Hk9KBD8sVB1XTgiO4DlM7RbT4n1otzZCrb42m1IpgxVsClswHemCVrFgEAmSNcHFTVF 1rMRLJ4EYYBmJCjFn3EXV/8e7NpgVGB6ZVIthnBTBsY+Dxx84ZD4lTUhXFmBub08nsYT4O78F719 yKStNfkpkVw+nLxgtyGyT6GB19AoAdBJ27azqhqa64+OXZVcK3qTDVAuG4V3Bv3qXvrgo105jgNW sS57R7hsUqZrJvXxCMmwyYhICMnOlboYIi1z6HBVclu6QbsrmMNbCAx0l6Ijgp5PewrIdqiSO7MX B6YgUTL6KOmTyOw83z3A1a9aJRfU6Lbb9Ng9qBS1ZEWgsyTtMchKsu436AwUv33QRZONhYTT+XB/ Jugqpyu32kBkEHe+PnlmFS1W7TZBUkWvemqE7wpGFel9P83DNjM85/S3ovcdBmZbt66OhnWeAkCk LA2Sa5FITIv25iqO8GeBuk/XxrOpr20kp1h1FBfmNxYU/kZDlxXyW/JQ/5FMIoTzBvIa8jwqYNX3 jXwlAEfS1IQsJzuC2StTvRegQ1VZC8S1TtKKdswKuXMavVxpCsrLeewJuA2h/zF95atBy8nthscZ nqxmJNEF8FO2dhHzjZzjySU1I587znlsd9A3JJxB2k8ORU4td3tRQDJUWl9s1CaglGFhoHU9p5yE o4K57dEiDaTO0ZQQRnqogyz4gYeJ+/zjKZ4Q/CKhbhSnfzcB2ATk8f4DOlx1uzaPXdE45x718F7t nhZSOXATvMUjf4l6fGKwvN8GbJtrKt03lz5VpY7ZnSiM7S8c/DlX4UOEn/vCxzsJ1DWDOLf40G5Q hawM/e941GwUn/Uxt4bM9qBwJFcuBHcgi20dfzde+uQzMJlw6zQvDG8blwfaBov+1cCh9VZo7VC5 ujfEnaaJ1zB0HGGIMV1iF+eqmsrQksZslz1gv+7BuX+WKCu8nW5aYTHMb3V9F95K39bjA0AnnXIV tR0uC8U7ex3c82tOQIVink69EtV8b1EXTE1sumyGSk6j/NfKK3OXOzSHa9WR5OVSPyinfj48AUa6 fj6QUjnvBt3qWnLlWQjgMPF+M7yjTrcdpMrGY6OWwYQPHl1Lh4aqX3b3K9VG4z9/aI0K606p+asQ A2o52LZOpPsmJwhgA4kO7rYLCTIhzTCY4drExrkX8kJHWhBGv+elymJiwtvyyugyiER3NcT+gTcQ go73Hy6jC0k5c5xRROxYt+Kd3t43/O37+yYBQGf3LBSh7tor5Iw9ungx1Fmw6JWpEeC6UKy10rC2 uE7Ni6g5yubOhs5ND+N8mpzJrQlmz0gqxR/nnxHMgJZgVXTvdbHerw1qQc+zz0fYJED73mgiizHj au4Z/ac4/mgHHsv5RO/9oIdbDWA9oj9jqAZHuYacGrmVoPIiK7VkHlXI89IKHpVO+Ymbdk/EEJMm ShpICNyrkbnFrV+oR5hWNOblbSQyyIm0eCZpaVK3iZtAyAHqDtsHKjSiUAge/tDTv7kAhMn18I7V Hh9eoE5UFzlKyZ79OkSeiIJUuizbV5LlwB0CArKBZnGjnH2EW0wkToINdMAy/gbBEwb3wXHhech2 z1lTkjsfjCSAOWYwjU7vZgHlERLx05GajOu+7EXxBdwwnov1qmoyyMxbzhQfFDF9WeOiaABukyJk 0gSSzMSS1RHarf3qBDB2cdu/1CBS6HF8gYzqbB3iuxd51wmRPRaiEQK7YOlzsxeZUAojyv0hhVA3 qIh32KYJqwHSNkYWAnmSYK7ZDnJ1on2yrdqjGmH3bHbNGHelIt5ipkG2gJkhG+32gPbE972WFfe9 fxYjnR8DmhBEhBmqU9pPTJc7sewe1OuQbZGDw/kQhjaJetG/MKiA1uuKGAheY2bQNFLUTX1quRTy UcYeoZDXOaUDhX+Py0EIVcWk1GhwJSUmHT5XC9H6CKAMr6rerpi0Son9/vmlqEaoxlCibtfbwMBX DIu4/YxIBG5bFUsoQNR+KCJxCsIlukY+b0he/I5dDbf9HiTwgMikTZkzwxyFcR9WaBphG9o4N70m RmuMNExx3/ImyftwJu9QPE0cZdSQ5FLvpe+nSAsaSHmfEZhovm3LIsupgETHKr2fIrEvbvD5JUVX bi9TRssIVgTGe4L3dK4J5Is668V2KWy3rsWfs0Sk7dICUYyE17xTcnLlgXWMQkuHWk48yLSmU8JH 1S8UF2Hx0LBwEV7jiT2W+8m57U4k7exetyR3XIYp5uAecH/V2mLc8LT5quiQvCkBu0nYxNlh1C/r YHln+IGXqL5i04VGJH4NUYk8B2AwmhBEGYSEAViQXsFwTXrijddOct8fT7i+ygLGZGjauGZnoPgh ISKw4UQALyetbeDMQxb9k3nIrCr+Vi9rO/PMpL8Ul8Tma4QynCHzQwTbqv20U904wuSHjoNsnDXK +zcS69vi2gROb0qPkadk2T11+SqAx2rvVkDj/SH37+GfDcagmLViKEjtiig9kqBrVDhrbCVx3FR/ 80TT6KUAgpkgnhJGylJwkF/dmjXsiD/0P+MM24j8+XpI0Gd4i1eLWSt6SZU4xOHjABzQnpqOM9bS rp8OnPdvPIsbs5+fUCDaAjUD8RwAXTb1L3TOb+PA4bkQkH7jYk/LD7PZ32/PSinankQraKFiJVpx MB77nX6EVbbYLGqlAi+VmDrdMiLWysmYIwPZvTgVhdm1d8ZT4si08BSuO8A2+i5cQMoFBj/d47j0 bO2kntewUIHHKupVH/aNxtw7fAU/MhSeessMVP62SY1zeqhZU3kWEVpqzZZ43rF8PFMAFb43nvBv 0FSuElOk+7u6K5MHO0u09Q6/2g/wO/kft4S0XchSIFmSpa0zr1PU2y14NoD0oFDoaFydsx4vmovk nx0O4dQUmf9BeI9lUncv1lQ1F+jTfGQk1g7K2DhT0gQtlhTgP9sSXcXvwaWhJa/v+gYoTa7RgpVH TLIn08F8ubz0aMNL4X51ol060eeLB2HxdAn0+STX3XBm5uME4Jj4Xp19wxCSlbGbochRi4DrJ72E gb5z2kpFnKItUgjXdlL9nFddhJfp6ZqfiAvcCcGX40CkA+WnXsAJIad8QnOnI3ARvdSMIzZqd0nb GIwblfVkBwD0tQs/2+8r8Ovw++squNWADndy11Ks/9qosFC72N5BToO5s88PukW7lmCvkUz2jDv5 v7HBJ5Gulv1YIvqu9/T3IvwZRVII90uilUbsjvOOrOvoBJ3ajE2rRUZ6SM5FfCy5k0M838cCCsiA UK1mr7pO4CjKtpuHD+ScAdowzb21Li/cranMT5RPolPAbesD9/z2NgSwMGSLJnrcxlr2XQUSFcWh Sj1yhSGqJvoo2Jx6S2bgFKO6tBSNoWTpxB0= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HgA2IYn7DDAg50ZQXIF+3uF9LGQQ7iRnh9rRjI9Qf5gANpcevgVL1MizfVT7NKiRIjR25gpd/frh i5ioFrwX9g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jGWna+ri4Ln5Ol4O2XYl54WWXvApiw4AQvHKyG5WPA/wG5gdYxJB5TsVgAEnuuZW8XaNRVTjEJ1g xQEQ0pfMwvMIi5U6dbR13ZZNcJ6K5RD352bkLqoevz9cM6sx0mdobkv90Db/JxIGmA4NxmsNFJU5 OprkhndD6iP9cSc6xF8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dE09sW8rfEVKAE8tJxbijIBoKg5aImi/bwGIqMNMo00RGPg+oZMfI/MapbgagkM8cCe8OcVtZRES JNvPFDz9zirNP3oDs2Tt5klGXNXOmV0H9wo8twnF8t+v2V0VOksCnwflqXn3kNmZ7gktK4yiZrUo GVG9bpriTIEerq9osaZ9zFU4gNqRGXMTqOCkqnVKc+guoVUqmu68nXogrnzzpdA9iZQhEHM4eRqL 2cZbraX6UijVKuKZ98sS+y0q40tEseAiD9qQj5m/TTizJ8N+QVgEEUTB7YndGZ2+7nWBRj5upize jwxV2AwuUJL/ohewELTaCEAH54sauhn3IsA9mQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vJFMkpaFUDrnI4gxuqkHmRkcal6RLTHDB5pKdGHAIKJW9lwXqRph65+R46SI7MCZBwm9XXsphpzY tUBz6PT7VpCSG2rrI2JAPI4Gi8YMyRIIIhcBRcUACFKwtU5BGWGL1kQl2dGkVReJoHz5rMC08XIr 8lHI7RXdVL0RJLoKln4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jz3Mt6krjLr0CAySESYUYpmpNSb2dzpouEL8gBb7U15BOyU5048hkAwGgdP61H9LcXSnDSLG06Eb YLCo2Mq+Be79txxWDS5LuqgwrpUmspI0vd0x/0SPc2pTWWU4sSPsuw3OSHlXP83bjxUgZLwrFEE+ CZ9S5e26tFirr7RDMOQrjTM9ngvsabDng0ByxKwSSG6141sLFDk3/PcDxlJX63JCw4W+o6cTzXn3 /EfJownOkIBmT3+tYE1QHW4CylG4rnSmq5s9IIoayec7Lhih22HyCiw0LXNg8055ZFcHBfuVlvHm nNiN81PGoBCrXSWTmw5QGIQtLWxsuW4jfy/Ibg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4016) `protect data_block qx3N9tvAjRHZsKRahdsaaAsrBR9ILJH4cDbAr2QPBYz9ar1wVfVApd9CX5T4Xcly9H6zm2jFLK5s zFHpgkhaTuyiuQUzdTc2Xp87TBrVKrRQbDqlaBl0xxP+vhgXMOhfCqg9vwvFxxdj3oh+5H3ncHUM +yAXe7dPJJrQXPoJfoOsy0sClO2vKT07R6tqrRR+ois0uAL6jl3Z3v1GZpA4eD4cfk84fYMUSR+r j995xf6ctXX+BaWn9T2emCGMgyMcJseKYIPcAZnB3SLJmdC555mbeST9HTakwLHA7VU0C2K4LbRh dRZ1maxiq2kIe80DZoB4WL+7rg4Gs1DI9uoQ0J+alSQhuOGrytswPXLvKed4TwJWJIJYq+BP/nfb 7qNNugNySJNhctmGP/IcDt6zG7fT8tvb1myqJMfvWlum9ntarZPYRdfrdjHxfvDf4hdxIxANZucn 6CwA+6eFWaPh8wracLt2yiTcaP5jK30hoVg8SAWSNdmFu8tLvsBnLP8NUbi4AJFOXi2fMX3/SMjP kA9k8u1JZriJc0Y/5l8lAdSBRo17i7JjWdrrHeOtbMn1KvhrV6Q0jK9gewbUWFmmFaFeTdd+BvlB vywJBVwSWCcxTxST4ycKz2K2qruu38WzQ+m+cijgwpj5V6o0NY//607R0VfDnXmRpaPSKIDojzwp 2tGW8fY/z9MhdUoEbpau06E93SxAXSMwvM6E2Fxq5X7H6kktW/tvAtDBIRYAbzxx6hKU0F/+sSi7 pBFztVDBgD79ViTIwQbWirR/isUVRl3cu854XZO263UJGlvOQ3OqWFqzGX/LgTKV9BjYZi8mbH4X UtgjjOZcADhBLA12ADpBhHS6i2uMogMFfljh4kLerMv3RT3MhVxJt+doOPEsi4PCMiXAAxHbXI/t cNavdlsBr1NjmyHVVzQWtI9Mp8w8F10IRZzGZ+d3/Ife0OiIV/PZHu19RMX1z2pN+8Me+wMQ25NF 1l6a+tiBze8av7EmVT8An/65NkYjOz5TLevuCYkSu4NFxK+7FobMEwXa4R1nLX1fxCltWwzh7MAg dAaH95yOU/hs3uEI7dVAc0QTiZDQpBTe6dF1EktO4WpC21E5IOw6jPTE9xkpGrj2DHyQe/e5CGD5 QcOk9lK/cfmS8NS5bkphR3kqgNZuk/GY8Wec344Glr3d9AdjYlH6c/T1sjpu1xcgrN7Gi1j6R22l Gr2wnS8RwidzNs46uQY1otJe7B0GhRKWeOadkuP+SA/OeDC2DKG+N5FXArx0P8m3rnbCy6sm7M6+ QFtxqcwOUnsyzlie8xLWatAwGynqXWrcDeXNHfETPBxg1LN9dDZV12zsIw26u7KRmdAyp9OVI5Cb 4x4XcxVK/Bk7eK0951ZWUPtYzsYrd0ViPNiIvl2PmNUhTF7UbAjGAqP0zpBe/GjTC3wQmA0kmaQY 7jvufdWBeHAlm3LW4doeO3hLcjUzIIfzf5F5FyFUoJiH9LQNqU/WfJd4PS0TQfl86zU925bIl6jR 6LWF9IDDRwF/qdu3/BlhqerZIkPow8TXi9KeiSXvDHX5KrBbOfWKAnvsa/Dvcm+Pf24VU220X+PQ JKM1H3bkzaXnjNkskkWcF7iUEzsMvRLomouZdj74bB7Kvj0XuxvHDK4wZzcv5GdmBxBno9PRwAT0 fW26m3GRUbZuGzipg38DxBuTeDajTAD67CWMYm5hJ8Ok8F/7jiVV70zOgNDNxrO0Xb/lTCABourS 6ZjsitiTXJwpLmuH27GHK2+4t2W5zK9hPRlwrU/UwwV6yINS0B0i1aeUH5g1Dc8Lj9HXcqfjuF3e 8D/TxFyhyntNAhKfQK5vpi6NrhVj1E6BuSLeImbmWV0xbLzxkuwMJm6Vo61WHqIrj36ynxwKojw8 3zg8p3uqbkvdI8YExhYjlwq1Yeggn8kuaB8VuptDLquyABupRIMExDkVJuv79cNX8iDFFBMMD7aA uAJIPAjO5Hk9KBD8sVB1XTgiO4DlM7RbT4n1otzZCrb42m1IpgxVsClswHemCVrFgEAmSNcHFTVF 1rMRLJ4EYYBmJCjFn3EXV/8e7NpgVGB6ZVIthnBTBsY+Dxx84ZD4lTUhXFmBub08nsYT4O78F719 yKStNfkpkVw+nLxgtyGyT6GB19AoAdBJ27azqhqa64+OXZVcK3qTDVAuG4V3Bv3qXvrgo105jgNW sS57R7hsUqZrJvXxCMmwyYhICMnOlboYIi1z6HBVclu6QbsrmMNbCAx0l6Ijgp5PewrIdqiSO7MX B6YgUTL6KOmTyOw83z3A1a9aJRfU6Lbb9Ng9qBS1ZEWgsyTtMchKsu436AwUv33QRZONhYTT+XB/ Jugqpyu32kBkEHe+PnlmFS1W7TZBUkWvemqE7wpGFel9P83DNjM85/S3ovcdBmZbt66OhnWeAkCk LA2Sa5FITIv25iqO8GeBuk/XxrOpr20kp1h1FBfmNxYU/kZDlxXyW/JQ/5FMIoTzBvIa8jwqYNX3 jXwlAEfS1IQsJzuC2StTvRegQ1VZC8S1TtKKdswKuXMavVxpCsrLeewJuA2h/zF95atBy8nthscZ nqxmJNEF8FO2dhHzjZzjySU1I587znlsd9A3JJxB2k8ORU4td3tRQDJUWl9s1CaglGFhoHU9p5yE o4K57dEiDaTO0ZQQRnqogyz4gYeJ+/zjKZ4Q/CKhbhSnfzcB2ATk8f4DOlx1uzaPXdE45x718F7t nhZSOXATvMUjf4l6fGKwvN8GbJtrKt03lz5VpY7ZnSiM7S8c/DlX4UOEn/vCxzsJ1DWDOLf40G5Q hawM/e941GwUn/Uxt4bM9qBwJFcuBHcgi20dfzde+uQzMJlw6zQvDG8blwfaBov+1cCh9VZo7VC5 ujfEnaaJ1zB0HGGIMV1iF+eqmsrQksZslz1gv+7BuX+WKCu8nW5aYTHMb3V9F95K39bjA0AnnXIV tR0uC8U7ex3c82tOQIVink69EtV8b1EXTE1sumyGSk6j/NfKK3OXOzSHa9WR5OVSPyinfj48AUa6 fj6QUjnvBt3qWnLlWQjgMPF+M7yjTrcdpMrGY6OWwYQPHl1Lh4aqX3b3K9VG4z9/aI0K606p+asQ A2o52LZOpPsmJwhgA4kO7rYLCTIhzTCY4drExrkX8kJHWhBGv+elymJiwtvyyugyiER3NcT+gTcQ go73Hy6jC0k5c5xRROxYt+Kd3t43/O37+yYBQGf3LBSh7tor5Iw9ungx1Fmw6JWpEeC6UKy10rC2 uE7Ni6g5yubOhs5ND+N8mpzJrQlmz0gqxR/nnxHMgJZgVXTvdbHerw1qQc+zz0fYJED73mgiizHj au4Z/ac4/mgHHsv5RO/9oIdbDWA9oj9jqAZHuYacGrmVoPIiK7VkHlXI89IKHpVO+Ymbdk/EEJMm ShpICNyrkbnFrV+oR5hWNOblbSQyyIm0eCZpaVK3iZtAyAHqDtsHKjSiUAge/tDTv7kAhMn18I7V Hh9eoE5UFzlKyZ79OkSeiIJUuizbV5LlwB0CArKBZnGjnH2EW0wkToINdMAy/gbBEwb3wXHhech2 z1lTkjsfjCSAOWYwjU7vZgHlERLx05GajOu+7EXxBdwwnov1qmoyyMxbzhQfFDF9WeOiaABukyJk 0gSSzMSS1RHarf3qBDB2cdu/1CBS6HF8gYzqbB3iuxd51wmRPRaiEQK7YOlzsxeZUAojyv0hhVA3 qIh32KYJqwHSNkYWAnmSYK7ZDnJ1on2yrdqjGmH3bHbNGHelIt5ipkG2gJkhG+32gPbE972WFfe9 fxYjnR8DmhBEhBmqU9pPTJc7sewe1OuQbZGDw/kQhjaJetG/MKiA1uuKGAheY2bQNFLUTX1quRTy UcYeoZDXOaUDhX+Py0EIVcWk1GhwJSUmHT5XC9H6CKAMr6rerpi0Son9/vmlqEaoxlCibtfbwMBX DIu4/YxIBG5bFUsoQNR+KCJxCsIlukY+b0he/I5dDbf9HiTwgMikTZkzwxyFcR9WaBphG9o4N70m RmuMNExx3/ImyftwJu9QPE0cZdSQ5FLvpe+nSAsaSHmfEZhovm3LIsupgETHKr2fIrEvbvD5JUVX bi9TRssIVgTGe4L3dK4J5Is668V2KWy3rsWfs0Sk7dICUYyE17xTcnLlgXWMQkuHWk48yLSmU8JH 1S8UF2Hx0LBwEV7jiT2W+8m57U4k7exetyR3XIYp5uAecH/V2mLc8LT5quiQvCkBu0nYxNlh1C/r YHln+IGXqL5i04VGJH4NUYk8B2AwmhBEGYSEAViQXsFwTXrijddOct8fT7i+ygLGZGjauGZnoPgh ISKw4UQALyetbeDMQxb9k3nIrCr+Vi9rO/PMpL8Ul8Tma4QynCHzQwTbqv20U904wuSHjoNsnDXK +zcS69vi2gROb0qPkadk2T11+SqAx2rvVkDj/SH37+GfDcagmLViKEjtiig9kqBrVDhrbCVx3FR/ 80TT6KUAgpkgnhJGylJwkF/dmjXsiD/0P+MM24j8+XpI0Gd4i1eLWSt6SZU4xOHjABzQnpqOM9bS rp8OnPdvPIsbs5+fUCDaAjUD8RwAXTb1L3TOb+PA4bkQkH7jYk/LD7PZ32/PSinankQraKFiJVpx MB77nX6EVbbYLGqlAi+VmDrdMiLWysmYIwPZvTgVhdm1d8ZT4si08BSuO8A2+i5cQMoFBj/d47j0 bO2kntewUIHHKupVH/aNxtw7fAU/MhSeessMVP62SY1zeqhZU3kWEVpqzZZ43rF8PFMAFb43nvBv 0FSuElOk+7u6K5MHO0u09Q6/2g/wO/kft4S0XchSIFmSpa0zr1PU2y14NoD0oFDoaFydsx4vmovk nx0O4dQUmf9BeI9lUncv1lQ1F+jTfGQk1g7K2DhT0gQtlhTgP9sSXcXvwaWhJa/v+gYoTa7RgpVH TLIn08F8ubz0aMNL4X51ol060eeLB2HxdAn0+STX3XBm5uME4Jj4Xp19wxCSlbGbochRi4DrJ72E gb5z2kpFnKItUgjXdlL9nFddhJfp6ZqfiAvcCcGX40CkA+WnXsAJIad8QnOnI3ARvdSMIzZqd0nb GIwblfVkBwD0tQs/2+8r8Ovw++squNWADndy11Ks/9qosFC72N5BToO5s88PukW7lmCvkUz2jDv5 v7HBJ5Gulv1YIvqu9/T3IvwZRVII90uilUbsjvOOrOvoBJ3ajE2rRUZ6SM5FfCy5k0M838cCCsiA UK1mr7pO4CjKtpuHD+ScAdowzb21Li/cranMT5RPolPAbesD9/z2NgSwMGSLJnrcxlr2XQUSFcWh Sj1yhSGqJvoo2Jx6S2bgFKO6tBSNoWTpxB0= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HgA2IYn7DDAg50ZQXIF+3uF9LGQQ7iRnh9rRjI9Qf5gANpcevgVL1MizfVT7NKiRIjR25gpd/frh i5ioFrwX9g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jGWna+ri4Ln5Ol4O2XYl54WWXvApiw4AQvHKyG5WPA/wG5gdYxJB5TsVgAEnuuZW8XaNRVTjEJ1g xQEQ0pfMwvMIi5U6dbR13ZZNcJ6K5RD352bkLqoevz9cM6sx0mdobkv90Db/JxIGmA4NxmsNFJU5 OprkhndD6iP9cSc6xF8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dE09sW8rfEVKAE8tJxbijIBoKg5aImi/bwGIqMNMo00RGPg+oZMfI/MapbgagkM8cCe8OcVtZRES JNvPFDz9zirNP3oDs2Tt5klGXNXOmV0H9wo8twnF8t+v2V0VOksCnwflqXn3kNmZ7gktK4yiZrUo GVG9bpriTIEerq9osaZ9zFU4gNqRGXMTqOCkqnVKc+guoVUqmu68nXogrnzzpdA9iZQhEHM4eRqL 2cZbraX6UijVKuKZ98sS+y0q40tEseAiD9qQj5m/TTizJ8N+QVgEEUTB7YndGZ2+7nWBRj5upize jwxV2AwuUJL/ohewELTaCEAH54sauhn3IsA9mQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vJFMkpaFUDrnI4gxuqkHmRkcal6RLTHDB5pKdGHAIKJW9lwXqRph65+R46SI7MCZBwm9XXsphpzY tUBz6PT7VpCSG2rrI2JAPI4Gi8YMyRIIIhcBRcUACFKwtU5BGWGL1kQl2dGkVReJoHz5rMC08XIr 8lHI7RXdVL0RJLoKln4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jz3Mt6krjLr0CAySESYUYpmpNSb2dzpouEL8gBb7U15BOyU5048hkAwGgdP61H9LcXSnDSLG06Eb YLCo2Mq+Be79txxWDS5LuqgwrpUmspI0vd0x/0SPc2pTWWU4sSPsuw3OSHlXP83bjxUgZLwrFEE+ CZ9S5e26tFirr7RDMOQrjTM9ngvsabDng0ByxKwSSG6141sLFDk3/PcDxlJX63JCw4W+o6cTzXn3 /EfJownOkIBmT3+tYE1QHW4CylG4rnSmq5s9IIoayec7Lhih22HyCiw0LXNg8055ZFcHBfuVlvHm nNiN81PGoBCrXSWTmw5QGIQtLWxsuW4jfy/Ibg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4016) `protect data_block qx3N9tvAjRHZsKRahdsaaAsrBR9ILJH4cDbAr2QPBYz9ar1wVfVApd9CX5T4Xcly9H6zm2jFLK5s zFHpgkhaTuyiuQUzdTc2Xp87TBrVKrRQbDqlaBl0xxP+vhgXMOhfCqg9vwvFxxdj3oh+5H3ncHUM +yAXe7dPJJrQXPoJfoOsy0sClO2vKT07R6tqrRR+ois0uAL6jl3Z3v1GZpA4eD4cfk84fYMUSR+r j995xf6ctXX+BaWn9T2emCGMgyMcJseKYIPcAZnB3SLJmdC555mbeST9HTakwLHA7VU0C2K4LbRh dRZ1maxiq2kIe80DZoB4WL+7rg4Gs1DI9uoQ0J+alSQhuOGrytswPXLvKed4TwJWJIJYq+BP/nfb 7qNNugNySJNhctmGP/IcDt6zG7fT8tvb1myqJMfvWlum9ntarZPYRdfrdjHxfvDf4hdxIxANZucn 6CwA+6eFWaPh8wracLt2yiTcaP5jK30hoVg8SAWSNdmFu8tLvsBnLP8NUbi4AJFOXi2fMX3/SMjP kA9k8u1JZriJc0Y/5l8lAdSBRo17i7JjWdrrHeOtbMn1KvhrV6Q0jK9gewbUWFmmFaFeTdd+BvlB vywJBVwSWCcxTxST4ycKz2K2qruu38WzQ+m+cijgwpj5V6o0NY//607R0VfDnXmRpaPSKIDojzwp 2tGW8fY/z9MhdUoEbpau06E93SxAXSMwvM6E2Fxq5X7H6kktW/tvAtDBIRYAbzxx6hKU0F/+sSi7 pBFztVDBgD79ViTIwQbWirR/isUVRl3cu854XZO263UJGlvOQ3OqWFqzGX/LgTKV9BjYZi8mbH4X UtgjjOZcADhBLA12ADpBhHS6i2uMogMFfljh4kLerMv3RT3MhVxJt+doOPEsi4PCMiXAAxHbXI/t cNavdlsBr1NjmyHVVzQWtI9Mp8w8F10IRZzGZ+d3/Ife0OiIV/PZHu19RMX1z2pN+8Me+wMQ25NF 1l6a+tiBze8av7EmVT8An/65NkYjOz5TLevuCYkSu4NFxK+7FobMEwXa4R1nLX1fxCltWwzh7MAg dAaH95yOU/hs3uEI7dVAc0QTiZDQpBTe6dF1EktO4WpC21E5IOw6jPTE9xkpGrj2DHyQe/e5CGD5 QcOk9lK/cfmS8NS5bkphR3kqgNZuk/GY8Wec344Glr3d9AdjYlH6c/T1sjpu1xcgrN7Gi1j6R22l Gr2wnS8RwidzNs46uQY1otJe7B0GhRKWeOadkuP+SA/OeDC2DKG+N5FXArx0P8m3rnbCy6sm7M6+ QFtxqcwOUnsyzlie8xLWatAwGynqXWrcDeXNHfETPBxg1LN9dDZV12zsIw26u7KRmdAyp9OVI5Cb 4x4XcxVK/Bk7eK0951ZWUPtYzsYrd0ViPNiIvl2PmNUhTF7UbAjGAqP0zpBe/GjTC3wQmA0kmaQY 7jvufdWBeHAlm3LW4doeO3hLcjUzIIfzf5F5FyFUoJiH9LQNqU/WfJd4PS0TQfl86zU925bIl6jR 6LWF9IDDRwF/qdu3/BlhqerZIkPow8TXi9KeiSXvDHX5KrBbOfWKAnvsa/Dvcm+Pf24VU220X+PQ JKM1H3bkzaXnjNkskkWcF7iUEzsMvRLomouZdj74bB7Kvj0XuxvHDK4wZzcv5GdmBxBno9PRwAT0 fW26m3GRUbZuGzipg38DxBuTeDajTAD67CWMYm5hJ8Ok8F/7jiVV70zOgNDNxrO0Xb/lTCABourS 6ZjsitiTXJwpLmuH27GHK2+4t2W5zK9hPRlwrU/UwwV6yINS0B0i1aeUH5g1Dc8Lj9HXcqfjuF3e 8D/TxFyhyntNAhKfQK5vpi6NrhVj1E6BuSLeImbmWV0xbLzxkuwMJm6Vo61WHqIrj36ynxwKojw8 3zg8p3uqbkvdI8YExhYjlwq1Yeggn8kuaB8VuptDLquyABupRIMExDkVJuv79cNX8iDFFBMMD7aA uAJIPAjO5Hk9KBD8sVB1XTgiO4DlM7RbT4n1otzZCrb42m1IpgxVsClswHemCVrFgEAmSNcHFTVF 1rMRLJ4EYYBmJCjFn3EXV/8e7NpgVGB6ZVIthnBTBsY+Dxx84ZD4lTUhXFmBub08nsYT4O78F719 yKStNfkpkVw+nLxgtyGyT6GB19AoAdBJ27azqhqa64+OXZVcK3qTDVAuG4V3Bv3qXvrgo105jgNW sS57R7hsUqZrJvXxCMmwyYhICMnOlboYIi1z6HBVclu6QbsrmMNbCAx0l6Ijgp5PewrIdqiSO7MX B6YgUTL6KOmTyOw83z3A1a9aJRfU6Lbb9Ng9qBS1ZEWgsyTtMchKsu436AwUv33QRZONhYTT+XB/ Jugqpyu32kBkEHe+PnlmFS1W7TZBUkWvemqE7wpGFel9P83DNjM85/S3ovcdBmZbt66OhnWeAkCk LA2Sa5FITIv25iqO8GeBuk/XxrOpr20kp1h1FBfmNxYU/kZDlxXyW/JQ/5FMIoTzBvIa8jwqYNX3 jXwlAEfS1IQsJzuC2StTvRegQ1VZC8S1TtKKdswKuXMavVxpCsrLeewJuA2h/zF95atBy8nthscZ nqxmJNEF8FO2dhHzjZzjySU1I587znlsd9A3JJxB2k8ORU4td3tRQDJUWl9s1CaglGFhoHU9p5yE o4K57dEiDaTO0ZQQRnqogyz4gYeJ+/zjKZ4Q/CKhbhSnfzcB2ATk8f4DOlx1uzaPXdE45x718F7t nhZSOXATvMUjf4l6fGKwvN8GbJtrKt03lz5VpY7ZnSiM7S8c/DlX4UOEn/vCxzsJ1DWDOLf40G5Q hawM/e941GwUn/Uxt4bM9qBwJFcuBHcgi20dfzde+uQzMJlw6zQvDG8blwfaBov+1cCh9VZo7VC5 ujfEnaaJ1zB0HGGIMV1iF+eqmsrQksZslz1gv+7BuX+WKCu8nW5aYTHMb3V9F95K39bjA0AnnXIV tR0uC8U7ex3c82tOQIVink69EtV8b1EXTE1sumyGSk6j/NfKK3OXOzSHa9WR5OVSPyinfj48AUa6 fj6QUjnvBt3qWnLlWQjgMPF+M7yjTrcdpMrGY6OWwYQPHl1Lh4aqX3b3K9VG4z9/aI0K606p+asQ A2o52LZOpPsmJwhgA4kO7rYLCTIhzTCY4drExrkX8kJHWhBGv+elymJiwtvyyugyiER3NcT+gTcQ go73Hy6jC0k5c5xRROxYt+Kd3t43/O37+yYBQGf3LBSh7tor5Iw9ungx1Fmw6JWpEeC6UKy10rC2 uE7Ni6g5yubOhs5ND+N8mpzJrQlmz0gqxR/nnxHMgJZgVXTvdbHerw1qQc+zz0fYJED73mgiizHj au4Z/ac4/mgHHsv5RO/9oIdbDWA9oj9jqAZHuYacGrmVoPIiK7VkHlXI89IKHpVO+Ymbdk/EEJMm ShpICNyrkbnFrV+oR5hWNOblbSQyyIm0eCZpaVK3iZtAyAHqDtsHKjSiUAge/tDTv7kAhMn18I7V Hh9eoE5UFzlKyZ79OkSeiIJUuizbV5LlwB0CArKBZnGjnH2EW0wkToINdMAy/gbBEwb3wXHhech2 z1lTkjsfjCSAOWYwjU7vZgHlERLx05GajOu+7EXxBdwwnov1qmoyyMxbzhQfFDF9WeOiaABukyJk 0gSSzMSS1RHarf3qBDB2cdu/1CBS6HF8gYzqbB3iuxd51wmRPRaiEQK7YOlzsxeZUAojyv0hhVA3 qIh32KYJqwHSNkYWAnmSYK7ZDnJ1on2yrdqjGmH3bHbNGHelIt5ipkG2gJkhG+32gPbE972WFfe9 fxYjnR8DmhBEhBmqU9pPTJc7sewe1OuQbZGDw/kQhjaJetG/MKiA1uuKGAheY2bQNFLUTX1quRTy UcYeoZDXOaUDhX+Py0EIVcWk1GhwJSUmHT5XC9H6CKAMr6rerpi0Son9/vmlqEaoxlCibtfbwMBX DIu4/YxIBG5bFUsoQNR+KCJxCsIlukY+b0he/I5dDbf9HiTwgMikTZkzwxyFcR9WaBphG9o4N70m RmuMNExx3/ImyftwJu9QPE0cZdSQ5FLvpe+nSAsaSHmfEZhovm3LIsupgETHKr2fIrEvbvD5JUVX bi9TRssIVgTGe4L3dK4J5Is668V2KWy3rsWfs0Sk7dICUYyE17xTcnLlgXWMQkuHWk48yLSmU8JH 1S8UF2Hx0LBwEV7jiT2W+8m57U4k7exetyR3XIYp5uAecH/V2mLc8LT5quiQvCkBu0nYxNlh1C/r YHln+IGXqL5i04VGJH4NUYk8B2AwmhBEGYSEAViQXsFwTXrijddOct8fT7i+ygLGZGjauGZnoPgh ISKw4UQALyetbeDMQxb9k3nIrCr+Vi9rO/PMpL8Ul8Tma4QynCHzQwTbqv20U904wuSHjoNsnDXK +zcS69vi2gROb0qPkadk2T11+SqAx2rvVkDj/SH37+GfDcagmLViKEjtiig9kqBrVDhrbCVx3FR/ 80TT6KUAgpkgnhJGylJwkF/dmjXsiD/0P+MM24j8+XpI0Gd4i1eLWSt6SZU4xOHjABzQnpqOM9bS rp8OnPdvPIsbs5+fUCDaAjUD8RwAXTb1L3TOb+PA4bkQkH7jYk/LD7PZ32/PSinankQraKFiJVpx MB77nX6EVbbYLGqlAi+VmDrdMiLWysmYIwPZvTgVhdm1d8ZT4si08BSuO8A2+i5cQMoFBj/d47j0 bO2kntewUIHHKupVH/aNxtw7fAU/MhSeessMVP62SY1zeqhZU3kWEVpqzZZ43rF8PFMAFb43nvBv 0FSuElOk+7u6K5MHO0u09Q6/2g/wO/kft4S0XchSIFmSpa0zr1PU2y14NoD0oFDoaFydsx4vmovk nx0O4dQUmf9BeI9lUncv1lQ1F+jTfGQk1g7K2DhT0gQtlhTgP9sSXcXvwaWhJa/v+gYoTa7RgpVH TLIn08F8ubz0aMNL4X51ol060eeLB2HxdAn0+STX3XBm5uME4Jj4Xp19wxCSlbGbochRi4DrJ72E gb5z2kpFnKItUgjXdlL9nFddhJfp6ZqfiAvcCcGX40CkA+WnXsAJIad8QnOnI3ARvdSMIzZqd0nb GIwblfVkBwD0tQs/2+8r8Ovw++squNWADndy11Ks/9qosFC72N5BToO5s88PukW7lmCvkUz2jDv5 v7HBJ5Gulv1YIvqu9/T3IvwZRVII90uilUbsjvOOrOvoBJ3ajE2rRUZ6SM5FfCy5k0M838cCCsiA UK1mr7pO4CjKtpuHD+ScAdowzb21Li/cranMT5RPolPAbesD9/z2NgSwMGSLJnrcxlr2XQUSFcWh Sj1yhSGqJvoo2Jx6S2bgFKO6tBSNoWTpxB0= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HgA2IYn7DDAg50ZQXIF+3uF9LGQQ7iRnh9rRjI9Qf5gANpcevgVL1MizfVT7NKiRIjR25gpd/frh i5ioFrwX9g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jGWna+ri4Ln5Ol4O2XYl54WWXvApiw4AQvHKyG5WPA/wG5gdYxJB5TsVgAEnuuZW8XaNRVTjEJ1g xQEQ0pfMwvMIi5U6dbR13ZZNcJ6K5RD352bkLqoevz9cM6sx0mdobkv90Db/JxIGmA4NxmsNFJU5 OprkhndD6iP9cSc6xF8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dE09sW8rfEVKAE8tJxbijIBoKg5aImi/bwGIqMNMo00RGPg+oZMfI/MapbgagkM8cCe8OcVtZRES JNvPFDz9zirNP3oDs2Tt5klGXNXOmV0H9wo8twnF8t+v2V0VOksCnwflqXn3kNmZ7gktK4yiZrUo GVG9bpriTIEerq9osaZ9zFU4gNqRGXMTqOCkqnVKc+guoVUqmu68nXogrnzzpdA9iZQhEHM4eRqL 2cZbraX6UijVKuKZ98sS+y0q40tEseAiD9qQj5m/TTizJ8N+QVgEEUTB7YndGZ2+7nWBRj5upize jwxV2AwuUJL/ohewELTaCEAH54sauhn3IsA9mQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vJFMkpaFUDrnI4gxuqkHmRkcal6RLTHDB5pKdGHAIKJW9lwXqRph65+R46SI7MCZBwm9XXsphpzY tUBz6PT7VpCSG2rrI2JAPI4Gi8YMyRIIIhcBRcUACFKwtU5BGWGL1kQl2dGkVReJoHz5rMC08XIr 8lHI7RXdVL0RJLoKln4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jz3Mt6krjLr0CAySESYUYpmpNSb2dzpouEL8gBb7U15BOyU5048hkAwGgdP61H9LcXSnDSLG06Eb YLCo2Mq+Be79txxWDS5LuqgwrpUmspI0vd0x/0SPc2pTWWU4sSPsuw3OSHlXP83bjxUgZLwrFEE+ CZ9S5e26tFirr7RDMOQrjTM9ngvsabDng0ByxKwSSG6141sLFDk3/PcDxlJX63JCw4W+o6cTzXn3 /EfJownOkIBmT3+tYE1QHW4CylG4rnSmq5s9IIoayec7Lhih22HyCiw0LXNg8055ZFcHBfuVlvHm nNiN81PGoBCrXSWTmw5QGIQtLWxsuW4jfy/Ibg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4016) `protect data_block qx3N9tvAjRHZsKRahdsaaAsrBR9ILJH4cDbAr2QPBYz9ar1wVfVApd9CX5T4Xcly9H6zm2jFLK5s zFHpgkhaTuyiuQUzdTc2Xp87TBrVKrRQbDqlaBl0xxP+vhgXMOhfCqg9vwvFxxdj3oh+5H3ncHUM +yAXe7dPJJrQXPoJfoOsy0sClO2vKT07R6tqrRR+ois0uAL6jl3Z3v1GZpA4eD4cfk84fYMUSR+r j995xf6ctXX+BaWn9T2emCGMgyMcJseKYIPcAZnB3SLJmdC555mbeST9HTakwLHA7VU0C2K4LbRh dRZ1maxiq2kIe80DZoB4WL+7rg4Gs1DI9uoQ0J+alSQhuOGrytswPXLvKed4TwJWJIJYq+BP/nfb 7qNNugNySJNhctmGP/IcDt6zG7fT8tvb1myqJMfvWlum9ntarZPYRdfrdjHxfvDf4hdxIxANZucn 6CwA+6eFWaPh8wracLt2yiTcaP5jK30hoVg8SAWSNdmFu8tLvsBnLP8NUbi4AJFOXi2fMX3/SMjP kA9k8u1JZriJc0Y/5l8lAdSBRo17i7JjWdrrHeOtbMn1KvhrV6Q0jK9gewbUWFmmFaFeTdd+BvlB vywJBVwSWCcxTxST4ycKz2K2qruu38WzQ+m+cijgwpj5V6o0NY//607R0VfDnXmRpaPSKIDojzwp 2tGW8fY/z9MhdUoEbpau06E93SxAXSMwvM6E2Fxq5X7H6kktW/tvAtDBIRYAbzxx6hKU0F/+sSi7 pBFztVDBgD79ViTIwQbWirR/isUVRl3cu854XZO263UJGlvOQ3OqWFqzGX/LgTKV9BjYZi8mbH4X UtgjjOZcADhBLA12ADpBhHS6i2uMogMFfljh4kLerMv3RT3MhVxJt+doOPEsi4PCMiXAAxHbXI/t cNavdlsBr1NjmyHVVzQWtI9Mp8w8F10IRZzGZ+d3/Ife0OiIV/PZHu19RMX1z2pN+8Me+wMQ25NF 1l6a+tiBze8av7EmVT8An/65NkYjOz5TLevuCYkSu4NFxK+7FobMEwXa4R1nLX1fxCltWwzh7MAg dAaH95yOU/hs3uEI7dVAc0QTiZDQpBTe6dF1EktO4WpC21E5IOw6jPTE9xkpGrj2DHyQe/e5CGD5 QcOk9lK/cfmS8NS5bkphR3kqgNZuk/GY8Wec344Glr3d9AdjYlH6c/T1sjpu1xcgrN7Gi1j6R22l Gr2wnS8RwidzNs46uQY1otJe7B0GhRKWeOadkuP+SA/OeDC2DKG+N5FXArx0P8m3rnbCy6sm7M6+ QFtxqcwOUnsyzlie8xLWatAwGynqXWrcDeXNHfETPBxg1LN9dDZV12zsIw26u7KRmdAyp9OVI5Cb 4x4XcxVK/Bk7eK0951ZWUPtYzsYrd0ViPNiIvl2PmNUhTF7UbAjGAqP0zpBe/GjTC3wQmA0kmaQY 7jvufdWBeHAlm3LW4doeO3hLcjUzIIfzf5F5FyFUoJiH9LQNqU/WfJd4PS0TQfl86zU925bIl6jR 6LWF9IDDRwF/qdu3/BlhqerZIkPow8TXi9KeiSXvDHX5KrBbOfWKAnvsa/Dvcm+Pf24VU220X+PQ JKM1H3bkzaXnjNkskkWcF7iUEzsMvRLomouZdj74bB7Kvj0XuxvHDK4wZzcv5GdmBxBno9PRwAT0 fW26m3GRUbZuGzipg38DxBuTeDajTAD67CWMYm5hJ8Ok8F/7jiVV70zOgNDNxrO0Xb/lTCABourS 6ZjsitiTXJwpLmuH27GHK2+4t2W5zK9hPRlwrU/UwwV6yINS0B0i1aeUH5g1Dc8Lj9HXcqfjuF3e 8D/TxFyhyntNAhKfQK5vpi6NrhVj1E6BuSLeImbmWV0xbLzxkuwMJm6Vo61WHqIrj36ynxwKojw8 3zg8p3uqbkvdI8YExhYjlwq1Yeggn8kuaB8VuptDLquyABupRIMExDkVJuv79cNX8iDFFBMMD7aA uAJIPAjO5Hk9KBD8sVB1XTgiO4DlM7RbT4n1otzZCrb42m1IpgxVsClswHemCVrFgEAmSNcHFTVF 1rMRLJ4EYYBmJCjFn3EXV/8e7NpgVGB6ZVIthnBTBsY+Dxx84ZD4lTUhXFmBub08nsYT4O78F719 yKStNfkpkVw+nLxgtyGyT6GB19AoAdBJ27azqhqa64+OXZVcK3qTDVAuG4V3Bv3qXvrgo105jgNW sS57R7hsUqZrJvXxCMmwyYhICMnOlboYIi1z6HBVclu6QbsrmMNbCAx0l6Ijgp5PewrIdqiSO7MX B6YgUTL6KOmTyOw83z3A1a9aJRfU6Lbb9Ng9qBS1ZEWgsyTtMchKsu436AwUv33QRZONhYTT+XB/ Jugqpyu32kBkEHe+PnlmFS1W7TZBUkWvemqE7wpGFel9P83DNjM85/S3ovcdBmZbt66OhnWeAkCk LA2Sa5FITIv25iqO8GeBuk/XxrOpr20kp1h1FBfmNxYU/kZDlxXyW/JQ/5FMIoTzBvIa8jwqYNX3 jXwlAEfS1IQsJzuC2StTvRegQ1VZC8S1TtKKdswKuXMavVxpCsrLeewJuA2h/zF95atBy8nthscZ nqxmJNEF8FO2dhHzjZzjySU1I587znlsd9A3JJxB2k8ORU4td3tRQDJUWl9s1CaglGFhoHU9p5yE o4K57dEiDaTO0ZQQRnqogyz4gYeJ+/zjKZ4Q/CKhbhSnfzcB2ATk8f4DOlx1uzaPXdE45x718F7t nhZSOXATvMUjf4l6fGKwvN8GbJtrKt03lz5VpY7ZnSiM7S8c/DlX4UOEn/vCxzsJ1DWDOLf40G5Q hawM/e941GwUn/Uxt4bM9qBwJFcuBHcgi20dfzde+uQzMJlw6zQvDG8blwfaBov+1cCh9VZo7VC5 ujfEnaaJ1zB0HGGIMV1iF+eqmsrQksZslz1gv+7BuX+WKCu8nW5aYTHMb3V9F95K39bjA0AnnXIV tR0uC8U7ex3c82tOQIVink69EtV8b1EXTE1sumyGSk6j/NfKK3OXOzSHa9WR5OVSPyinfj48AUa6 fj6QUjnvBt3qWnLlWQjgMPF+M7yjTrcdpMrGY6OWwYQPHl1Lh4aqX3b3K9VG4z9/aI0K606p+asQ A2o52LZOpPsmJwhgA4kO7rYLCTIhzTCY4drExrkX8kJHWhBGv+elymJiwtvyyugyiER3NcT+gTcQ go73Hy6jC0k5c5xRROxYt+Kd3t43/O37+yYBQGf3LBSh7tor5Iw9ungx1Fmw6JWpEeC6UKy10rC2 uE7Ni6g5yubOhs5ND+N8mpzJrQlmz0gqxR/nnxHMgJZgVXTvdbHerw1qQc+zz0fYJED73mgiizHj au4Z/ac4/mgHHsv5RO/9oIdbDWA9oj9jqAZHuYacGrmVoPIiK7VkHlXI89IKHpVO+Ymbdk/EEJMm ShpICNyrkbnFrV+oR5hWNOblbSQyyIm0eCZpaVK3iZtAyAHqDtsHKjSiUAge/tDTv7kAhMn18I7V Hh9eoE5UFzlKyZ79OkSeiIJUuizbV5LlwB0CArKBZnGjnH2EW0wkToINdMAy/gbBEwb3wXHhech2 z1lTkjsfjCSAOWYwjU7vZgHlERLx05GajOu+7EXxBdwwnov1qmoyyMxbzhQfFDF9WeOiaABukyJk 0gSSzMSS1RHarf3qBDB2cdu/1CBS6HF8gYzqbB3iuxd51wmRPRaiEQK7YOlzsxeZUAojyv0hhVA3 qIh32KYJqwHSNkYWAnmSYK7ZDnJ1on2yrdqjGmH3bHbNGHelIt5ipkG2gJkhG+32gPbE972WFfe9 fxYjnR8DmhBEhBmqU9pPTJc7sewe1OuQbZGDw/kQhjaJetG/MKiA1uuKGAheY2bQNFLUTX1quRTy UcYeoZDXOaUDhX+Py0EIVcWk1GhwJSUmHT5XC9H6CKAMr6rerpi0Son9/vmlqEaoxlCibtfbwMBX DIu4/YxIBG5bFUsoQNR+KCJxCsIlukY+b0he/I5dDbf9HiTwgMikTZkzwxyFcR9WaBphG9o4N70m RmuMNExx3/ImyftwJu9QPE0cZdSQ5FLvpe+nSAsaSHmfEZhovm3LIsupgETHKr2fIrEvbvD5JUVX bi9TRssIVgTGe4L3dK4J5Is668V2KWy3rsWfs0Sk7dICUYyE17xTcnLlgXWMQkuHWk48yLSmU8JH 1S8UF2Hx0LBwEV7jiT2W+8m57U4k7exetyR3XIYp5uAecH/V2mLc8LT5quiQvCkBu0nYxNlh1C/r YHln+IGXqL5i04VGJH4NUYk8B2AwmhBEGYSEAViQXsFwTXrijddOct8fT7i+ygLGZGjauGZnoPgh ISKw4UQALyetbeDMQxb9k3nIrCr+Vi9rO/PMpL8Ul8Tma4QynCHzQwTbqv20U904wuSHjoNsnDXK +zcS69vi2gROb0qPkadk2T11+SqAx2rvVkDj/SH37+GfDcagmLViKEjtiig9kqBrVDhrbCVx3FR/ 80TT6KUAgpkgnhJGylJwkF/dmjXsiD/0P+MM24j8+XpI0Gd4i1eLWSt6SZU4xOHjABzQnpqOM9bS rp8OnPdvPIsbs5+fUCDaAjUD8RwAXTb1L3TOb+PA4bkQkH7jYk/LD7PZ32/PSinankQraKFiJVpx MB77nX6EVbbYLGqlAi+VmDrdMiLWysmYIwPZvTgVhdm1d8ZT4si08BSuO8A2+i5cQMoFBj/d47j0 bO2kntewUIHHKupVH/aNxtw7fAU/MhSeessMVP62SY1zeqhZU3kWEVpqzZZ43rF8PFMAFb43nvBv 0FSuElOk+7u6K5MHO0u09Q6/2g/wO/kft4S0XchSIFmSpa0zr1PU2y14NoD0oFDoaFydsx4vmovk nx0O4dQUmf9BeI9lUncv1lQ1F+jTfGQk1g7K2DhT0gQtlhTgP9sSXcXvwaWhJa/v+gYoTa7RgpVH TLIn08F8ubz0aMNL4X51ol060eeLB2HxdAn0+STX3XBm5uME4Jj4Xp19wxCSlbGbochRi4DrJ72E gb5z2kpFnKItUgjXdlL9nFddhJfp6ZqfiAvcCcGX40CkA+WnXsAJIad8QnOnI3ARvdSMIzZqd0nb GIwblfVkBwD0tQs/2+8r8Ovw++squNWADndy11Ks/9qosFC72N5BToO5s88PukW7lmCvkUz2jDv5 v7HBJ5Gulv1YIvqu9/T3IvwZRVII90uilUbsjvOOrOvoBJ3ajE2rRUZ6SM5FfCy5k0M838cCCsiA UK1mr7pO4CjKtpuHD+ScAdowzb21Li/cranMT5RPolPAbesD9/z2NgSwMGSLJnrcxlr2XQUSFcWh Sj1yhSGqJvoo2Jx6S2bgFKO6tBSNoWTpxB0= `protect end_protected
------------------------------------------------------------------------------ -- Context multiplexer (behavioral) -- -- Project : -- File : $URL: svn+ssh://[email protected]/home/plessl/SVN/simzippy/trunk/vhdl/contextmux.vhd $ -- Authors : Rolf Enzler <[email protected]> -- Christian Plessl <[email protected]> -- Company : Swiss Federal Institute of Technology (ETH) Zurich -- Created : 2003/01/15 -- $Id: contextmux.vhd 241 2005-04-07 08:50:55Z plessl $ ------------------------------------------------------------------------------ -- The context multiplexer is used to select one particular -- configuration (context) from the configuration memory. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.ConfigPkg.all; use work.AuxPkg.all; entity ContextMux is generic ( NINP : integer); -- no. of inputs port ( SelxSI : in std_logic_vector(log2(NINP)-1 downto 0); InpxI : in contextArray; OutxDO : out contextType); end ContextMux; architecture behav of ContextMux is begin -- behav OutxDO <= InpxI(to_integer(unsigned(SelxSI))); end behav;
---------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov --! @brief Virtual simple input buffer. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity ibuf_tech is generic ( generic_tech : integer := 0 ); port ( o : out std_logic; i : in std_logic ); end; architecture rtl of ibuf_tech is component ibuf_inferred is port ( o : out std_logic; i : in std_logic ); end component; component ibuf_micron180 is port ( o : out std_logic; i : in std_logic ); end component; begin m180 : if generic_tech = micron180 generate bufm : ibuf_micron180 port map ( o => o, i => i ); end generate; inf0 : if generic_tech /= micron180 generate bufinf : ibuf_inferred port map ( o => o, i => i ); end generate; end;
---------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov --! @brief Virtual simple input buffer. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity ibuf_tech is generic ( generic_tech : integer := 0 ); port ( o : out std_logic; i : in std_logic ); end; architecture rtl of ibuf_tech is component ibuf_inferred is port ( o : out std_logic; i : in std_logic ); end component; component ibuf_micron180 is port ( o : out std_logic; i : in std_logic ); end component; begin m180 : if generic_tech = micron180 generate bufm : ibuf_micron180 port map ( o => o, i => i ); end generate; inf0 : if generic_tech /= micron180 generate bufinf : ibuf_inferred port map ( o => o, i => i ); end generate; end;
architecture ARCH of ENTITY is begin -- Component instantiation without component keyword. U_INST1 : INST1 generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : INST1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : INST1 generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ); U_INST1 : INST1; -- Component instantiation with component keyword. U_INST1 : component INST1 generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : component INST1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : component INST1 generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ); U_INST1 : component INST1; -- entity without architecture identifier U_INST1 : entity INST1 generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity INST1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity INST1 generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ); U_INST1 : entity INST1; -- entity without architecture identifier and with library identifier U_INST1 : entity my_lib.INST1 generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity my_lib.INST1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity my_lib.INST1 generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ); U_INST1 : entity my_lib.INST1; -- entity with architecture identifier U_INST1 : entity INST1 (rtl) generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity INST1 (rtl) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : entity INST1 (rtl) generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ); U_INST1 : entity INST1 (rtl); -- configuration U_INST1 : configuration INST1 generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ) port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : configuration INST1 port map ( PORT_1 => w_port_1, PORT_2 => w_port_2, PORT_3 => w_port_3 ); U_INST1 : configuration INST1 generic map ( GEN_1 => c_gen_1, GEN_2 => c_gen_2, GEN_3 => c_gen_3 ); U_INST1 : configuration INST1; end architecture ARCH;
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_cmd_status.vhd -- -- Description: -- This file implements the DataMover Command and Status interfaces. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1_2; Use axi_sg_v4_1_2.axi_sg_fifo; ------------------------------------------------------------------------------- entity axi_sg_cmd_status is generic ( C_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Indictes the width of the DataMover Address bus C_INCLUDE_STSFIFO : Integer range 0 to 1 := 1; -- Indicates if a Stus FIFO is to be included or omitted -- 0 = Omit -- 1 = Include C_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Sets the depth of the Command and Status FIFOs C_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Indicates if the Command and Status Stream Channels are clocked with -- a different clock than the Main dataMover Clock -- 0 = Same Clock -- 1 = Different clocks C_CMD_WIDTH : Integer := 68; -- Sets the width of the input command C_STS_WIDTH : Integer := 8; -- Sets the width of the output status C_FAMILY : string := "virtex7" -- Sets the target FPGA family ); port ( -- Clock inputs ---------------------------------------------------- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- secondary_awclk : in std_logic; -- -- Clock used for the Command and Status User Interface -- -- when the User Command and Status interface is Async -- -- to the MMap interface. Async mode is set by the assigned -- -- value to C_STSCMD_IS_ASYNC = 1. -- -------------------------------------------------------------------- -- Reset inputs ---------------------------------------------------- user_reset : in std_logic; -- -- Reset used for the User Stream interface logic -- -- internal_reset : in std_logic; -- -- Reset used for the internal master interface logic -- -------------------------------------------------------------------- -- User Command Stream Ports (AXI Stream) ------------------------------- cmd_wvalid : in std_logic; -- cmd_wready : out std_logic; -- cmd_wdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); -- cache_data : in std_logic_vector(7 downto 0); -- ------------------------------------------------------------------------- -- User Status Stream Ports (AXI Stream) ------------------------------------ sts_wvalid : out std_logic; -- sts_wready : in std_logic; -- sts_wdata : out std_logic_vector(C_STS_WIDTH-1 downto 0); -- sts_wstrb : out std_logic_vector((C_STS_WIDTH/8)-1 downto 0); -- sts_wlast : out std_logic; -- ----------------------------------------------------------------------------- -- Internal Command Out Interface ----------------------------------------------- cmd2mstr_command : Out std_logic_vector(C_CMD_WIDTH-1 downto 0); -- -- The next command value available from the Command FIFO/Register -- cache2mstr_command : Out std_logic_vector(7 downto 0); -- -- The cache value available from the FIFO/Register -- -- mst2cmd_cmd_valid : Out std_logic; -- -- Handshake bit indicating the Command FIFO/Register has at least 1 valid -- -- command entry -- -- cmd2mstr_cmd_ready : in std_logic; -- -- Handshake bit indicating the Command Calculator is ready to accept -- -- another command -- --------------------------------------------------------------------------------- -- Internal Status In Interface ----------------------------------------------------- mstr2stat_status : in std_logic_vector(C_STS_WIDTH-1 downto 0); -- -- The input for writing the status value to the Status FIFO/Register -- -- stat2mstr_status_ready : Out std_logic; -- -- Handshake bit indicating that the Status FIFO/Register is ready for transfer -- -- mst2stst_status_valid : In std_logic -- -- Handshake bit for writing the Status value into the Status FIFO/Register -- -------------------------------------------------------------------------------------- ); end entity axi_sg_cmd_status; architecture implementation of axi_sg_cmd_status is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function ------------------------------------------------------------------- -- Function -- -- Function Name: get_fifo_prim_type -- -- Function Description: -- Returns the fifo primitiver type to use for the given input -- conditions. -- -- 0 = Not used or allowed here -- 1 = BRAM Primitives (Block Memory) -- 2 = Distributed memory -- ------------------------------------------------------------------- function get_fifo_prim_type (is_async : integer; depth : integer) return integer is Variable var_temp_prim_type : Integer := 1; begin -- coverage off if (is_async = 1) then -- Async FIFOs always use Blk Mem (BRAM) var_temp_prim_type := 1; elsif (depth <= 64) then -- (use srls or distrubuted) var_temp_prim_type := 2; else -- depth is too big for SRLs so use Blk Memory (BRAM) var_temp_prim_type := 1; end if; -- coverage on Return (var_temp_prim_type); end function get_fifo_prim_type; -- Constants Constant REGISTER_TYPE : integer := 0; Constant BRAM_TYPE : integer := 1; --Constant SRL_TYPE : integer := 2; --Constant FIFO_PRIM_TYPE : integer := SRL_TYPE; Constant FIFO_PRIM_TYPE : integer := get_fifo_prim_type(C_STSCMD_IS_ASYNC, C_STSCMD_FIFO_DEPTH); -- Signals signal sig_cmd_fifo_wr_clk : std_logic := '0'; signal sig_cmd_fifo_wr_rst : std_logic := '0'; signal sig_cmd_fifo_rd_clk : std_logic := '0'; signal sig_cmd_fifo_rd_rst : std_logic := '0'; signal sig_sts_fifo_wr_clk : std_logic := '0'; signal sig_sts_fifo_wr_rst : std_logic := '0'; signal sig_sts_fifo_rd_clk : std_logic := '0'; signal sig_sts_fifo_rd_rst : std_logic := '0'; signal sig_reset_mstr : std_logic := '0'; signal sig_reset_user : std_logic := '0'; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_SYNC_RESET -- -- If Generate Description: -- This IfGen assigns the clock and reset signals for the -- synchronous User interface case -- ------------------------------------------------------------ GEN_SYNC_RESET : if (C_STSCMD_IS_ASYNC = 0) generate begin sig_reset_mstr <= internal_reset ; sig_reset_user <= internal_reset ; sig_cmd_fifo_wr_clk <= primary_aclk ; sig_cmd_fifo_wr_rst <= sig_reset_user; sig_cmd_fifo_rd_clk <= primary_aclk ; sig_cmd_fifo_rd_rst <= sig_reset_mstr; sig_sts_fifo_wr_clk <= primary_aclk ; sig_sts_fifo_wr_rst <= sig_reset_mstr; sig_sts_fifo_rd_clk <= primary_aclk ; sig_sts_fifo_rd_rst <= sig_reset_user; end generate GEN_SYNC_RESET; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ASYNC_RESET -- -- If Generate Description: -- This IfGen assigns the clock and reset signals for the -- Asynchronous User interface case -- ------------------------------------------------------------ GEN_ASYNC_RESET : if (C_STSCMD_IS_ASYNC = 1) generate begin sig_reset_mstr <= internal_reset ; sig_reset_user <= user_reset ; sig_cmd_fifo_wr_clk <= secondary_awclk; sig_cmd_fifo_wr_rst <= sig_reset_user ; sig_cmd_fifo_rd_clk <= primary_aclk ; sig_cmd_fifo_rd_rst <= sig_reset_mstr ; sig_sts_fifo_wr_clk <= primary_aclk ; sig_sts_fifo_wr_rst <= sig_reset_mstr ; sig_sts_fifo_rd_clk <= secondary_awclk; sig_sts_fifo_rd_rst <= sig_reset_user ; end generate GEN_ASYNC_RESET; ------------------------------------------------------------ -- Instance: I_CMD_FIFO -- -- Description: -- Instance for the Command FIFO -- The User Interface is the Write Side -- The Internal Interface is the Read side -- ------------------------------------------------------------ I_CMD_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => C_CMD_WIDTH , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_cmd_fifo_wr_rst , fifo_wr_clk => sig_cmd_fifo_wr_clk , -- Write Side fifo_wr_tvalid => cmd_wvalid , fifo_wr_tready => cmd_wready , fifo_wr_tdata => cmd_wdata , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_cmd_fifo_rd_rst , fifo_async_rd_clk => sig_cmd_fifo_rd_clk , -- Read Side fifo_rd_tvalid => mst2cmd_cmd_valid , fifo_rd_tready => cmd2mstr_cmd_ready , fifo_rd_tdata => cmd2mstr_command , fifo_rd_empty => open ); I_CACHE_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => 8 , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_cmd_fifo_wr_rst , fifo_wr_clk => sig_cmd_fifo_wr_clk , -- Write Side fifo_wr_tvalid => cmd_wvalid , fifo_wr_tready => open ,--cmd_wready , fifo_wr_tdata => cache_data , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_cmd_fifo_rd_rst , fifo_async_rd_clk => sig_cmd_fifo_rd_clk , -- Read Side fifo_rd_tvalid => open ,--mst2cmd_cmd_valid , fifo_rd_tready => cmd2mstr_cmd_ready , fifo_rd_tdata => cache2mstr_command , fifo_rd_empty => open ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_STATUS_FIFO -- -- If Generate Description: -- Instantiates a Status FIFO -- -- ------------------------------------------------------------ GEN_INCLUDE_STATUS_FIFO : if (C_INCLUDE_STSFIFO = 1) generate begin -- Set constant outputs for Status Interface sts_wstrb <= (others => '1'); sts_wlast <= '1'; ------------------------------------------------------------ -- Instance: I_STS_FIFO -- -- Description: -- Instance for the Status FIFO -- The Internal Interface is the Write Side -- The User Interface is the Read side -- ------------------------------------------------------------ I_STS_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => C_STS_WIDTH , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_sts_fifo_wr_rst , fifo_wr_clk => sig_sts_fifo_wr_clk , -- Write Side fifo_wr_tvalid => mst2stst_status_valid , fifo_wr_tready => stat2mstr_status_ready, fifo_wr_tdata => mstr2stat_status , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_sts_fifo_rd_rst , fifo_async_rd_clk => sig_sts_fifo_rd_clk , -- Read Side fifo_rd_tvalid => sts_wvalid , fifo_rd_tready => sts_wready , fifo_rd_tdata => sts_wdata , fifo_rd_empty => open ); end generate GEN_INCLUDE_STATUS_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_STATUS_FIFO -- -- If Generate Description: -- Omits the Status FIFO -- -- ------------------------------------------------------------ GEN_OMIT_STATUS_FIFO : if (C_INCLUDE_STSFIFO = 0) generate begin -- Status FIFO User interface housekeeping sts_wvalid <= '0'; -- sts_wready -- ignored sts_wdata <= (others => '0'); sts_wstrb <= (others => '0'); sts_wlast <= '0'; -- Status FIFO Internal interface housekeeping stat2mstr_status_ready <= '1'; -- mstr2stat_status -- ignored -- mst2stst_status_valid -- ignored end generate GEN_OMIT_STATUS_FIFO; end implementation;
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_cmd_status.vhd -- -- Description: -- This file implements the DataMover Command and Status interfaces. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1_2; Use axi_sg_v4_1_2.axi_sg_fifo; ------------------------------------------------------------------------------- entity axi_sg_cmd_status is generic ( C_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Indictes the width of the DataMover Address bus C_INCLUDE_STSFIFO : Integer range 0 to 1 := 1; -- Indicates if a Stus FIFO is to be included or omitted -- 0 = Omit -- 1 = Include C_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Sets the depth of the Command and Status FIFOs C_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Indicates if the Command and Status Stream Channels are clocked with -- a different clock than the Main dataMover Clock -- 0 = Same Clock -- 1 = Different clocks C_CMD_WIDTH : Integer := 68; -- Sets the width of the input command C_STS_WIDTH : Integer := 8; -- Sets the width of the output status C_FAMILY : string := "virtex7" -- Sets the target FPGA family ); port ( -- Clock inputs ---------------------------------------------------- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- secondary_awclk : in std_logic; -- -- Clock used for the Command and Status User Interface -- -- when the User Command and Status interface is Async -- -- to the MMap interface. Async mode is set by the assigned -- -- value to C_STSCMD_IS_ASYNC = 1. -- -------------------------------------------------------------------- -- Reset inputs ---------------------------------------------------- user_reset : in std_logic; -- -- Reset used for the User Stream interface logic -- -- internal_reset : in std_logic; -- -- Reset used for the internal master interface logic -- -------------------------------------------------------------------- -- User Command Stream Ports (AXI Stream) ------------------------------- cmd_wvalid : in std_logic; -- cmd_wready : out std_logic; -- cmd_wdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); -- cache_data : in std_logic_vector(7 downto 0); -- ------------------------------------------------------------------------- -- User Status Stream Ports (AXI Stream) ------------------------------------ sts_wvalid : out std_logic; -- sts_wready : in std_logic; -- sts_wdata : out std_logic_vector(C_STS_WIDTH-1 downto 0); -- sts_wstrb : out std_logic_vector((C_STS_WIDTH/8)-1 downto 0); -- sts_wlast : out std_logic; -- ----------------------------------------------------------------------------- -- Internal Command Out Interface ----------------------------------------------- cmd2mstr_command : Out std_logic_vector(C_CMD_WIDTH-1 downto 0); -- -- The next command value available from the Command FIFO/Register -- cache2mstr_command : Out std_logic_vector(7 downto 0); -- -- The cache value available from the FIFO/Register -- -- mst2cmd_cmd_valid : Out std_logic; -- -- Handshake bit indicating the Command FIFO/Register has at least 1 valid -- -- command entry -- -- cmd2mstr_cmd_ready : in std_logic; -- -- Handshake bit indicating the Command Calculator is ready to accept -- -- another command -- --------------------------------------------------------------------------------- -- Internal Status In Interface ----------------------------------------------------- mstr2stat_status : in std_logic_vector(C_STS_WIDTH-1 downto 0); -- -- The input for writing the status value to the Status FIFO/Register -- -- stat2mstr_status_ready : Out std_logic; -- -- Handshake bit indicating that the Status FIFO/Register is ready for transfer -- -- mst2stst_status_valid : In std_logic -- -- Handshake bit for writing the Status value into the Status FIFO/Register -- -------------------------------------------------------------------------------------- ); end entity axi_sg_cmd_status; architecture implementation of axi_sg_cmd_status is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function ------------------------------------------------------------------- -- Function -- -- Function Name: get_fifo_prim_type -- -- Function Description: -- Returns the fifo primitiver type to use for the given input -- conditions. -- -- 0 = Not used or allowed here -- 1 = BRAM Primitives (Block Memory) -- 2 = Distributed memory -- ------------------------------------------------------------------- function get_fifo_prim_type (is_async : integer; depth : integer) return integer is Variable var_temp_prim_type : Integer := 1; begin -- coverage off if (is_async = 1) then -- Async FIFOs always use Blk Mem (BRAM) var_temp_prim_type := 1; elsif (depth <= 64) then -- (use srls or distrubuted) var_temp_prim_type := 2; else -- depth is too big for SRLs so use Blk Memory (BRAM) var_temp_prim_type := 1; end if; -- coverage on Return (var_temp_prim_type); end function get_fifo_prim_type; -- Constants Constant REGISTER_TYPE : integer := 0; Constant BRAM_TYPE : integer := 1; --Constant SRL_TYPE : integer := 2; --Constant FIFO_PRIM_TYPE : integer := SRL_TYPE; Constant FIFO_PRIM_TYPE : integer := get_fifo_prim_type(C_STSCMD_IS_ASYNC, C_STSCMD_FIFO_DEPTH); -- Signals signal sig_cmd_fifo_wr_clk : std_logic := '0'; signal sig_cmd_fifo_wr_rst : std_logic := '0'; signal sig_cmd_fifo_rd_clk : std_logic := '0'; signal sig_cmd_fifo_rd_rst : std_logic := '0'; signal sig_sts_fifo_wr_clk : std_logic := '0'; signal sig_sts_fifo_wr_rst : std_logic := '0'; signal sig_sts_fifo_rd_clk : std_logic := '0'; signal sig_sts_fifo_rd_rst : std_logic := '0'; signal sig_reset_mstr : std_logic := '0'; signal sig_reset_user : std_logic := '0'; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_SYNC_RESET -- -- If Generate Description: -- This IfGen assigns the clock and reset signals for the -- synchronous User interface case -- ------------------------------------------------------------ GEN_SYNC_RESET : if (C_STSCMD_IS_ASYNC = 0) generate begin sig_reset_mstr <= internal_reset ; sig_reset_user <= internal_reset ; sig_cmd_fifo_wr_clk <= primary_aclk ; sig_cmd_fifo_wr_rst <= sig_reset_user; sig_cmd_fifo_rd_clk <= primary_aclk ; sig_cmd_fifo_rd_rst <= sig_reset_mstr; sig_sts_fifo_wr_clk <= primary_aclk ; sig_sts_fifo_wr_rst <= sig_reset_mstr; sig_sts_fifo_rd_clk <= primary_aclk ; sig_sts_fifo_rd_rst <= sig_reset_user; end generate GEN_SYNC_RESET; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ASYNC_RESET -- -- If Generate Description: -- This IfGen assigns the clock and reset signals for the -- Asynchronous User interface case -- ------------------------------------------------------------ GEN_ASYNC_RESET : if (C_STSCMD_IS_ASYNC = 1) generate begin sig_reset_mstr <= internal_reset ; sig_reset_user <= user_reset ; sig_cmd_fifo_wr_clk <= secondary_awclk; sig_cmd_fifo_wr_rst <= sig_reset_user ; sig_cmd_fifo_rd_clk <= primary_aclk ; sig_cmd_fifo_rd_rst <= sig_reset_mstr ; sig_sts_fifo_wr_clk <= primary_aclk ; sig_sts_fifo_wr_rst <= sig_reset_mstr ; sig_sts_fifo_rd_clk <= secondary_awclk; sig_sts_fifo_rd_rst <= sig_reset_user ; end generate GEN_ASYNC_RESET; ------------------------------------------------------------ -- Instance: I_CMD_FIFO -- -- Description: -- Instance for the Command FIFO -- The User Interface is the Write Side -- The Internal Interface is the Read side -- ------------------------------------------------------------ I_CMD_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => C_CMD_WIDTH , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_cmd_fifo_wr_rst , fifo_wr_clk => sig_cmd_fifo_wr_clk , -- Write Side fifo_wr_tvalid => cmd_wvalid , fifo_wr_tready => cmd_wready , fifo_wr_tdata => cmd_wdata , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_cmd_fifo_rd_rst , fifo_async_rd_clk => sig_cmd_fifo_rd_clk , -- Read Side fifo_rd_tvalid => mst2cmd_cmd_valid , fifo_rd_tready => cmd2mstr_cmd_ready , fifo_rd_tdata => cmd2mstr_command , fifo_rd_empty => open ); I_CACHE_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => 8 , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_cmd_fifo_wr_rst , fifo_wr_clk => sig_cmd_fifo_wr_clk , -- Write Side fifo_wr_tvalid => cmd_wvalid , fifo_wr_tready => open ,--cmd_wready , fifo_wr_tdata => cache_data , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_cmd_fifo_rd_rst , fifo_async_rd_clk => sig_cmd_fifo_rd_clk , -- Read Side fifo_rd_tvalid => open ,--mst2cmd_cmd_valid , fifo_rd_tready => cmd2mstr_cmd_ready , fifo_rd_tdata => cache2mstr_command , fifo_rd_empty => open ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_STATUS_FIFO -- -- If Generate Description: -- Instantiates a Status FIFO -- -- ------------------------------------------------------------ GEN_INCLUDE_STATUS_FIFO : if (C_INCLUDE_STSFIFO = 1) generate begin -- Set constant outputs for Status Interface sts_wstrb <= (others => '1'); sts_wlast <= '1'; ------------------------------------------------------------ -- Instance: I_STS_FIFO -- -- Description: -- Instance for the Status FIFO -- The Internal Interface is the Write Side -- The User Interface is the Read side -- ------------------------------------------------------------ I_STS_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => C_STS_WIDTH , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_sts_fifo_wr_rst , fifo_wr_clk => sig_sts_fifo_wr_clk , -- Write Side fifo_wr_tvalid => mst2stst_status_valid , fifo_wr_tready => stat2mstr_status_ready, fifo_wr_tdata => mstr2stat_status , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_sts_fifo_rd_rst , fifo_async_rd_clk => sig_sts_fifo_rd_clk , -- Read Side fifo_rd_tvalid => sts_wvalid , fifo_rd_tready => sts_wready , fifo_rd_tdata => sts_wdata , fifo_rd_empty => open ); end generate GEN_INCLUDE_STATUS_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_STATUS_FIFO -- -- If Generate Description: -- Omits the Status FIFO -- -- ------------------------------------------------------------ GEN_OMIT_STATUS_FIFO : if (C_INCLUDE_STSFIFO = 0) generate begin -- Status FIFO User interface housekeeping sts_wvalid <= '0'; -- sts_wready -- ignored sts_wdata <= (others => '0'); sts_wstrb <= (others => '0'); sts_wlast <= '0'; -- Status FIFO Internal interface housekeeping stat2mstr_status_ready <= '1'; -- mstr2stat_status -- ignored -- mst2stst_status_valid -- ignored end generate GEN_OMIT_STATUS_FIFO; end implementation;
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_cmd_status.vhd -- -- Description: -- This file implements the DataMover Command and Status interfaces. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1_2; Use axi_sg_v4_1_2.axi_sg_fifo; ------------------------------------------------------------------------------- entity axi_sg_cmd_status is generic ( C_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Indictes the width of the DataMover Address bus C_INCLUDE_STSFIFO : Integer range 0 to 1 := 1; -- Indicates if a Stus FIFO is to be included or omitted -- 0 = Omit -- 1 = Include C_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Sets the depth of the Command and Status FIFOs C_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Indicates if the Command and Status Stream Channels are clocked with -- a different clock than the Main dataMover Clock -- 0 = Same Clock -- 1 = Different clocks C_CMD_WIDTH : Integer := 68; -- Sets the width of the input command C_STS_WIDTH : Integer := 8; -- Sets the width of the output status C_FAMILY : string := "virtex7" -- Sets the target FPGA family ); port ( -- Clock inputs ---------------------------------------------------- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- secondary_awclk : in std_logic; -- -- Clock used for the Command and Status User Interface -- -- when the User Command and Status interface is Async -- -- to the MMap interface. Async mode is set by the assigned -- -- value to C_STSCMD_IS_ASYNC = 1. -- -------------------------------------------------------------------- -- Reset inputs ---------------------------------------------------- user_reset : in std_logic; -- -- Reset used for the User Stream interface logic -- -- internal_reset : in std_logic; -- -- Reset used for the internal master interface logic -- -------------------------------------------------------------------- -- User Command Stream Ports (AXI Stream) ------------------------------- cmd_wvalid : in std_logic; -- cmd_wready : out std_logic; -- cmd_wdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); -- cache_data : in std_logic_vector(7 downto 0); -- ------------------------------------------------------------------------- -- User Status Stream Ports (AXI Stream) ------------------------------------ sts_wvalid : out std_logic; -- sts_wready : in std_logic; -- sts_wdata : out std_logic_vector(C_STS_WIDTH-1 downto 0); -- sts_wstrb : out std_logic_vector((C_STS_WIDTH/8)-1 downto 0); -- sts_wlast : out std_logic; -- ----------------------------------------------------------------------------- -- Internal Command Out Interface ----------------------------------------------- cmd2mstr_command : Out std_logic_vector(C_CMD_WIDTH-1 downto 0); -- -- The next command value available from the Command FIFO/Register -- cache2mstr_command : Out std_logic_vector(7 downto 0); -- -- The cache value available from the FIFO/Register -- -- mst2cmd_cmd_valid : Out std_logic; -- -- Handshake bit indicating the Command FIFO/Register has at least 1 valid -- -- command entry -- -- cmd2mstr_cmd_ready : in std_logic; -- -- Handshake bit indicating the Command Calculator is ready to accept -- -- another command -- --------------------------------------------------------------------------------- -- Internal Status In Interface ----------------------------------------------------- mstr2stat_status : in std_logic_vector(C_STS_WIDTH-1 downto 0); -- -- The input for writing the status value to the Status FIFO/Register -- -- stat2mstr_status_ready : Out std_logic; -- -- Handshake bit indicating that the Status FIFO/Register is ready for transfer -- -- mst2stst_status_valid : In std_logic -- -- Handshake bit for writing the Status value into the Status FIFO/Register -- -------------------------------------------------------------------------------------- ); end entity axi_sg_cmd_status; architecture implementation of axi_sg_cmd_status is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function ------------------------------------------------------------------- -- Function -- -- Function Name: get_fifo_prim_type -- -- Function Description: -- Returns the fifo primitiver type to use for the given input -- conditions. -- -- 0 = Not used or allowed here -- 1 = BRAM Primitives (Block Memory) -- 2 = Distributed memory -- ------------------------------------------------------------------- function get_fifo_prim_type (is_async : integer; depth : integer) return integer is Variable var_temp_prim_type : Integer := 1; begin -- coverage off if (is_async = 1) then -- Async FIFOs always use Blk Mem (BRAM) var_temp_prim_type := 1; elsif (depth <= 64) then -- (use srls or distrubuted) var_temp_prim_type := 2; else -- depth is too big for SRLs so use Blk Memory (BRAM) var_temp_prim_type := 1; end if; -- coverage on Return (var_temp_prim_type); end function get_fifo_prim_type; -- Constants Constant REGISTER_TYPE : integer := 0; Constant BRAM_TYPE : integer := 1; --Constant SRL_TYPE : integer := 2; --Constant FIFO_PRIM_TYPE : integer := SRL_TYPE; Constant FIFO_PRIM_TYPE : integer := get_fifo_prim_type(C_STSCMD_IS_ASYNC, C_STSCMD_FIFO_DEPTH); -- Signals signal sig_cmd_fifo_wr_clk : std_logic := '0'; signal sig_cmd_fifo_wr_rst : std_logic := '0'; signal sig_cmd_fifo_rd_clk : std_logic := '0'; signal sig_cmd_fifo_rd_rst : std_logic := '0'; signal sig_sts_fifo_wr_clk : std_logic := '0'; signal sig_sts_fifo_wr_rst : std_logic := '0'; signal sig_sts_fifo_rd_clk : std_logic := '0'; signal sig_sts_fifo_rd_rst : std_logic := '0'; signal sig_reset_mstr : std_logic := '0'; signal sig_reset_user : std_logic := '0'; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_SYNC_RESET -- -- If Generate Description: -- This IfGen assigns the clock and reset signals for the -- synchronous User interface case -- ------------------------------------------------------------ GEN_SYNC_RESET : if (C_STSCMD_IS_ASYNC = 0) generate begin sig_reset_mstr <= internal_reset ; sig_reset_user <= internal_reset ; sig_cmd_fifo_wr_clk <= primary_aclk ; sig_cmd_fifo_wr_rst <= sig_reset_user; sig_cmd_fifo_rd_clk <= primary_aclk ; sig_cmd_fifo_rd_rst <= sig_reset_mstr; sig_sts_fifo_wr_clk <= primary_aclk ; sig_sts_fifo_wr_rst <= sig_reset_mstr; sig_sts_fifo_rd_clk <= primary_aclk ; sig_sts_fifo_rd_rst <= sig_reset_user; end generate GEN_SYNC_RESET; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ASYNC_RESET -- -- If Generate Description: -- This IfGen assigns the clock and reset signals for the -- Asynchronous User interface case -- ------------------------------------------------------------ GEN_ASYNC_RESET : if (C_STSCMD_IS_ASYNC = 1) generate begin sig_reset_mstr <= internal_reset ; sig_reset_user <= user_reset ; sig_cmd_fifo_wr_clk <= secondary_awclk; sig_cmd_fifo_wr_rst <= sig_reset_user ; sig_cmd_fifo_rd_clk <= primary_aclk ; sig_cmd_fifo_rd_rst <= sig_reset_mstr ; sig_sts_fifo_wr_clk <= primary_aclk ; sig_sts_fifo_wr_rst <= sig_reset_mstr ; sig_sts_fifo_rd_clk <= secondary_awclk; sig_sts_fifo_rd_rst <= sig_reset_user ; end generate GEN_ASYNC_RESET; ------------------------------------------------------------ -- Instance: I_CMD_FIFO -- -- Description: -- Instance for the Command FIFO -- The User Interface is the Write Side -- The Internal Interface is the Read side -- ------------------------------------------------------------ I_CMD_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => C_CMD_WIDTH , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_cmd_fifo_wr_rst , fifo_wr_clk => sig_cmd_fifo_wr_clk , -- Write Side fifo_wr_tvalid => cmd_wvalid , fifo_wr_tready => cmd_wready , fifo_wr_tdata => cmd_wdata , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_cmd_fifo_rd_rst , fifo_async_rd_clk => sig_cmd_fifo_rd_clk , -- Read Side fifo_rd_tvalid => mst2cmd_cmd_valid , fifo_rd_tready => cmd2mstr_cmd_ready , fifo_rd_tdata => cmd2mstr_command , fifo_rd_empty => open ); I_CACHE_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => 8 , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_cmd_fifo_wr_rst , fifo_wr_clk => sig_cmd_fifo_wr_clk , -- Write Side fifo_wr_tvalid => cmd_wvalid , fifo_wr_tready => open ,--cmd_wready , fifo_wr_tdata => cache_data , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_cmd_fifo_rd_rst , fifo_async_rd_clk => sig_cmd_fifo_rd_clk , -- Read Side fifo_rd_tvalid => open ,--mst2cmd_cmd_valid , fifo_rd_tready => cmd2mstr_cmd_ready , fifo_rd_tdata => cache2mstr_command , fifo_rd_empty => open ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_STATUS_FIFO -- -- If Generate Description: -- Instantiates a Status FIFO -- -- ------------------------------------------------------------ GEN_INCLUDE_STATUS_FIFO : if (C_INCLUDE_STSFIFO = 1) generate begin -- Set constant outputs for Status Interface sts_wstrb <= (others => '1'); sts_wlast <= '1'; ------------------------------------------------------------ -- Instance: I_STS_FIFO -- -- Description: -- Instance for the Status FIFO -- The Internal Interface is the Write Side -- The User Interface is the Read side -- ------------------------------------------------------------ I_STS_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => C_STS_WIDTH , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_sts_fifo_wr_rst , fifo_wr_clk => sig_sts_fifo_wr_clk , -- Write Side fifo_wr_tvalid => mst2stst_status_valid , fifo_wr_tready => stat2mstr_status_ready, fifo_wr_tdata => mstr2stat_status , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_sts_fifo_rd_rst , fifo_async_rd_clk => sig_sts_fifo_rd_clk , -- Read Side fifo_rd_tvalid => sts_wvalid , fifo_rd_tready => sts_wready , fifo_rd_tdata => sts_wdata , fifo_rd_empty => open ); end generate GEN_INCLUDE_STATUS_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_STATUS_FIFO -- -- If Generate Description: -- Omits the Status FIFO -- -- ------------------------------------------------------------ GEN_OMIT_STATUS_FIFO : if (C_INCLUDE_STSFIFO = 0) generate begin -- Status FIFO User interface housekeeping sts_wvalid <= '0'; -- sts_wready -- ignored sts_wdata <= (others => '0'); sts_wstrb <= (others => '0'); sts_wlast <= '0'; -- Status FIFO Internal interface housekeeping stat2mstr_status_ready <= '1'; -- mstr2stat_status -- ignored -- mst2stst_status_valid -- ignored end generate GEN_OMIT_STATUS_FIFO; end implementation;
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_cmd_status.vhd -- -- Description: -- This file implements the DataMover Command and Status interfaces. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1_2; Use axi_sg_v4_1_2.axi_sg_fifo; ------------------------------------------------------------------------------- entity axi_sg_cmd_status is generic ( C_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Indictes the width of the DataMover Address bus C_INCLUDE_STSFIFO : Integer range 0 to 1 := 1; -- Indicates if a Stus FIFO is to be included or omitted -- 0 = Omit -- 1 = Include C_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Sets the depth of the Command and Status FIFOs C_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Indicates if the Command and Status Stream Channels are clocked with -- a different clock than the Main dataMover Clock -- 0 = Same Clock -- 1 = Different clocks C_CMD_WIDTH : Integer := 68; -- Sets the width of the input command C_STS_WIDTH : Integer := 8; -- Sets the width of the output status C_FAMILY : string := "virtex7" -- Sets the target FPGA family ); port ( -- Clock inputs ---------------------------------------------------- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- secondary_awclk : in std_logic; -- -- Clock used for the Command and Status User Interface -- -- when the User Command and Status interface is Async -- -- to the MMap interface. Async mode is set by the assigned -- -- value to C_STSCMD_IS_ASYNC = 1. -- -------------------------------------------------------------------- -- Reset inputs ---------------------------------------------------- user_reset : in std_logic; -- -- Reset used for the User Stream interface logic -- -- internal_reset : in std_logic; -- -- Reset used for the internal master interface logic -- -------------------------------------------------------------------- -- User Command Stream Ports (AXI Stream) ------------------------------- cmd_wvalid : in std_logic; -- cmd_wready : out std_logic; -- cmd_wdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); -- cache_data : in std_logic_vector(7 downto 0); -- ------------------------------------------------------------------------- -- User Status Stream Ports (AXI Stream) ------------------------------------ sts_wvalid : out std_logic; -- sts_wready : in std_logic; -- sts_wdata : out std_logic_vector(C_STS_WIDTH-1 downto 0); -- sts_wstrb : out std_logic_vector((C_STS_WIDTH/8)-1 downto 0); -- sts_wlast : out std_logic; -- ----------------------------------------------------------------------------- -- Internal Command Out Interface ----------------------------------------------- cmd2mstr_command : Out std_logic_vector(C_CMD_WIDTH-1 downto 0); -- -- The next command value available from the Command FIFO/Register -- cache2mstr_command : Out std_logic_vector(7 downto 0); -- -- The cache value available from the FIFO/Register -- -- mst2cmd_cmd_valid : Out std_logic; -- -- Handshake bit indicating the Command FIFO/Register has at least 1 valid -- -- command entry -- -- cmd2mstr_cmd_ready : in std_logic; -- -- Handshake bit indicating the Command Calculator is ready to accept -- -- another command -- --------------------------------------------------------------------------------- -- Internal Status In Interface ----------------------------------------------------- mstr2stat_status : in std_logic_vector(C_STS_WIDTH-1 downto 0); -- -- The input for writing the status value to the Status FIFO/Register -- -- stat2mstr_status_ready : Out std_logic; -- -- Handshake bit indicating that the Status FIFO/Register is ready for transfer -- -- mst2stst_status_valid : In std_logic -- -- Handshake bit for writing the Status value into the Status FIFO/Register -- -------------------------------------------------------------------------------------- ); end entity axi_sg_cmd_status; architecture implementation of axi_sg_cmd_status is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function ------------------------------------------------------------------- -- Function -- -- Function Name: get_fifo_prim_type -- -- Function Description: -- Returns the fifo primitiver type to use for the given input -- conditions. -- -- 0 = Not used or allowed here -- 1 = BRAM Primitives (Block Memory) -- 2 = Distributed memory -- ------------------------------------------------------------------- function get_fifo_prim_type (is_async : integer; depth : integer) return integer is Variable var_temp_prim_type : Integer := 1; begin -- coverage off if (is_async = 1) then -- Async FIFOs always use Blk Mem (BRAM) var_temp_prim_type := 1; elsif (depth <= 64) then -- (use srls or distrubuted) var_temp_prim_type := 2; else -- depth is too big for SRLs so use Blk Memory (BRAM) var_temp_prim_type := 1; end if; -- coverage on Return (var_temp_prim_type); end function get_fifo_prim_type; -- Constants Constant REGISTER_TYPE : integer := 0; Constant BRAM_TYPE : integer := 1; --Constant SRL_TYPE : integer := 2; --Constant FIFO_PRIM_TYPE : integer := SRL_TYPE; Constant FIFO_PRIM_TYPE : integer := get_fifo_prim_type(C_STSCMD_IS_ASYNC, C_STSCMD_FIFO_DEPTH); -- Signals signal sig_cmd_fifo_wr_clk : std_logic := '0'; signal sig_cmd_fifo_wr_rst : std_logic := '0'; signal sig_cmd_fifo_rd_clk : std_logic := '0'; signal sig_cmd_fifo_rd_rst : std_logic := '0'; signal sig_sts_fifo_wr_clk : std_logic := '0'; signal sig_sts_fifo_wr_rst : std_logic := '0'; signal sig_sts_fifo_rd_clk : std_logic := '0'; signal sig_sts_fifo_rd_rst : std_logic := '0'; signal sig_reset_mstr : std_logic := '0'; signal sig_reset_user : std_logic := '0'; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_SYNC_RESET -- -- If Generate Description: -- This IfGen assigns the clock and reset signals for the -- synchronous User interface case -- ------------------------------------------------------------ GEN_SYNC_RESET : if (C_STSCMD_IS_ASYNC = 0) generate begin sig_reset_mstr <= internal_reset ; sig_reset_user <= internal_reset ; sig_cmd_fifo_wr_clk <= primary_aclk ; sig_cmd_fifo_wr_rst <= sig_reset_user; sig_cmd_fifo_rd_clk <= primary_aclk ; sig_cmd_fifo_rd_rst <= sig_reset_mstr; sig_sts_fifo_wr_clk <= primary_aclk ; sig_sts_fifo_wr_rst <= sig_reset_mstr; sig_sts_fifo_rd_clk <= primary_aclk ; sig_sts_fifo_rd_rst <= sig_reset_user; end generate GEN_SYNC_RESET; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ASYNC_RESET -- -- If Generate Description: -- This IfGen assigns the clock and reset signals for the -- Asynchronous User interface case -- ------------------------------------------------------------ GEN_ASYNC_RESET : if (C_STSCMD_IS_ASYNC = 1) generate begin sig_reset_mstr <= internal_reset ; sig_reset_user <= user_reset ; sig_cmd_fifo_wr_clk <= secondary_awclk; sig_cmd_fifo_wr_rst <= sig_reset_user ; sig_cmd_fifo_rd_clk <= primary_aclk ; sig_cmd_fifo_rd_rst <= sig_reset_mstr ; sig_sts_fifo_wr_clk <= primary_aclk ; sig_sts_fifo_wr_rst <= sig_reset_mstr ; sig_sts_fifo_rd_clk <= secondary_awclk; sig_sts_fifo_rd_rst <= sig_reset_user ; end generate GEN_ASYNC_RESET; ------------------------------------------------------------ -- Instance: I_CMD_FIFO -- -- Description: -- Instance for the Command FIFO -- The User Interface is the Write Side -- The Internal Interface is the Read side -- ------------------------------------------------------------ I_CMD_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => C_CMD_WIDTH , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_cmd_fifo_wr_rst , fifo_wr_clk => sig_cmd_fifo_wr_clk , -- Write Side fifo_wr_tvalid => cmd_wvalid , fifo_wr_tready => cmd_wready , fifo_wr_tdata => cmd_wdata , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_cmd_fifo_rd_rst , fifo_async_rd_clk => sig_cmd_fifo_rd_clk , -- Read Side fifo_rd_tvalid => mst2cmd_cmd_valid , fifo_rd_tready => cmd2mstr_cmd_ready , fifo_rd_tdata => cmd2mstr_command , fifo_rd_empty => open ); I_CACHE_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => 8 , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_cmd_fifo_wr_rst , fifo_wr_clk => sig_cmd_fifo_wr_clk , -- Write Side fifo_wr_tvalid => cmd_wvalid , fifo_wr_tready => open ,--cmd_wready , fifo_wr_tdata => cache_data , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_cmd_fifo_rd_rst , fifo_async_rd_clk => sig_cmd_fifo_rd_clk , -- Read Side fifo_rd_tvalid => open ,--mst2cmd_cmd_valid , fifo_rd_tready => cmd2mstr_cmd_ready , fifo_rd_tdata => cache2mstr_command , fifo_rd_empty => open ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_STATUS_FIFO -- -- If Generate Description: -- Instantiates a Status FIFO -- -- ------------------------------------------------------------ GEN_INCLUDE_STATUS_FIFO : if (C_INCLUDE_STSFIFO = 1) generate begin -- Set constant outputs for Status Interface sts_wstrb <= (others => '1'); sts_wlast <= '1'; ------------------------------------------------------------ -- Instance: I_STS_FIFO -- -- Description: -- Instance for the Status FIFO -- The Internal Interface is the Write Side -- The User Interface is the Read side -- ------------------------------------------------------------ I_STS_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => C_STS_WIDTH , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_sts_fifo_wr_rst , fifo_wr_clk => sig_sts_fifo_wr_clk , -- Write Side fifo_wr_tvalid => mst2stst_status_valid , fifo_wr_tready => stat2mstr_status_ready, fifo_wr_tdata => mstr2stat_status , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_sts_fifo_rd_rst , fifo_async_rd_clk => sig_sts_fifo_rd_clk , -- Read Side fifo_rd_tvalid => sts_wvalid , fifo_rd_tready => sts_wready , fifo_rd_tdata => sts_wdata , fifo_rd_empty => open ); end generate GEN_INCLUDE_STATUS_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_STATUS_FIFO -- -- If Generate Description: -- Omits the Status FIFO -- -- ------------------------------------------------------------ GEN_OMIT_STATUS_FIFO : if (C_INCLUDE_STSFIFO = 0) generate begin -- Status FIFO User interface housekeeping sts_wvalid <= '0'; -- sts_wready -- ignored sts_wdata <= (others => '0'); sts_wstrb <= (others => '0'); sts_wlast <= '0'; -- Status FIFO Internal interface housekeeping stat2mstr_status_ready <= '1'; -- mstr2stat_status -- ignored -- mst2stst_status_valid -- ignored end generate GEN_OMIT_STATUS_FIFO; end implementation;
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_cmd_status.vhd -- -- Description: -- This file implements the DataMover Command and Status interfaces. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1_2; Use axi_sg_v4_1_2.axi_sg_fifo; ------------------------------------------------------------------------------- entity axi_sg_cmd_status is generic ( C_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Indictes the width of the DataMover Address bus C_INCLUDE_STSFIFO : Integer range 0 to 1 := 1; -- Indicates if a Stus FIFO is to be included or omitted -- 0 = Omit -- 1 = Include C_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Sets the depth of the Command and Status FIFOs C_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Indicates if the Command and Status Stream Channels are clocked with -- a different clock than the Main dataMover Clock -- 0 = Same Clock -- 1 = Different clocks C_CMD_WIDTH : Integer := 68; -- Sets the width of the input command C_STS_WIDTH : Integer := 8; -- Sets the width of the output status C_FAMILY : string := "virtex7" -- Sets the target FPGA family ); port ( -- Clock inputs ---------------------------------------------------- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- secondary_awclk : in std_logic; -- -- Clock used for the Command and Status User Interface -- -- when the User Command and Status interface is Async -- -- to the MMap interface. Async mode is set by the assigned -- -- value to C_STSCMD_IS_ASYNC = 1. -- -------------------------------------------------------------------- -- Reset inputs ---------------------------------------------------- user_reset : in std_logic; -- -- Reset used for the User Stream interface logic -- -- internal_reset : in std_logic; -- -- Reset used for the internal master interface logic -- -------------------------------------------------------------------- -- User Command Stream Ports (AXI Stream) ------------------------------- cmd_wvalid : in std_logic; -- cmd_wready : out std_logic; -- cmd_wdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); -- cache_data : in std_logic_vector(7 downto 0); -- ------------------------------------------------------------------------- -- User Status Stream Ports (AXI Stream) ------------------------------------ sts_wvalid : out std_logic; -- sts_wready : in std_logic; -- sts_wdata : out std_logic_vector(C_STS_WIDTH-1 downto 0); -- sts_wstrb : out std_logic_vector((C_STS_WIDTH/8)-1 downto 0); -- sts_wlast : out std_logic; -- ----------------------------------------------------------------------------- -- Internal Command Out Interface ----------------------------------------------- cmd2mstr_command : Out std_logic_vector(C_CMD_WIDTH-1 downto 0); -- -- The next command value available from the Command FIFO/Register -- cache2mstr_command : Out std_logic_vector(7 downto 0); -- -- The cache value available from the FIFO/Register -- -- mst2cmd_cmd_valid : Out std_logic; -- -- Handshake bit indicating the Command FIFO/Register has at least 1 valid -- -- command entry -- -- cmd2mstr_cmd_ready : in std_logic; -- -- Handshake bit indicating the Command Calculator is ready to accept -- -- another command -- --------------------------------------------------------------------------------- -- Internal Status In Interface ----------------------------------------------------- mstr2stat_status : in std_logic_vector(C_STS_WIDTH-1 downto 0); -- -- The input for writing the status value to the Status FIFO/Register -- -- stat2mstr_status_ready : Out std_logic; -- -- Handshake bit indicating that the Status FIFO/Register is ready for transfer -- -- mst2stst_status_valid : In std_logic -- -- Handshake bit for writing the Status value into the Status FIFO/Register -- -------------------------------------------------------------------------------------- ); end entity axi_sg_cmd_status; architecture implementation of axi_sg_cmd_status is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function ------------------------------------------------------------------- -- Function -- -- Function Name: get_fifo_prim_type -- -- Function Description: -- Returns the fifo primitiver type to use for the given input -- conditions. -- -- 0 = Not used or allowed here -- 1 = BRAM Primitives (Block Memory) -- 2 = Distributed memory -- ------------------------------------------------------------------- function get_fifo_prim_type (is_async : integer; depth : integer) return integer is Variable var_temp_prim_type : Integer := 1; begin -- coverage off if (is_async = 1) then -- Async FIFOs always use Blk Mem (BRAM) var_temp_prim_type := 1; elsif (depth <= 64) then -- (use srls or distrubuted) var_temp_prim_type := 2; else -- depth is too big for SRLs so use Blk Memory (BRAM) var_temp_prim_type := 1; end if; -- coverage on Return (var_temp_prim_type); end function get_fifo_prim_type; -- Constants Constant REGISTER_TYPE : integer := 0; Constant BRAM_TYPE : integer := 1; --Constant SRL_TYPE : integer := 2; --Constant FIFO_PRIM_TYPE : integer := SRL_TYPE; Constant FIFO_PRIM_TYPE : integer := get_fifo_prim_type(C_STSCMD_IS_ASYNC, C_STSCMD_FIFO_DEPTH); -- Signals signal sig_cmd_fifo_wr_clk : std_logic := '0'; signal sig_cmd_fifo_wr_rst : std_logic := '0'; signal sig_cmd_fifo_rd_clk : std_logic := '0'; signal sig_cmd_fifo_rd_rst : std_logic := '0'; signal sig_sts_fifo_wr_clk : std_logic := '0'; signal sig_sts_fifo_wr_rst : std_logic := '0'; signal sig_sts_fifo_rd_clk : std_logic := '0'; signal sig_sts_fifo_rd_rst : std_logic := '0'; signal sig_reset_mstr : std_logic := '0'; signal sig_reset_user : std_logic := '0'; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_SYNC_RESET -- -- If Generate Description: -- This IfGen assigns the clock and reset signals for the -- synchronous User interface case -- ------------------------------------------------------------ GEN_SYNC_RESET : if (C_STSCMD_IS_ASYNC = 0) generate begin sig_reset_mstr <= internal_reset ; sig_reset_user <= internal_reset ; sig_cmd_fifo_wr_clk <= primary_aclk ; sig_cmd_fifo_wr_rst <= sig_reset_user; sig_cmd_fifo_rd_clk <= primary_aclk ; sig_cmd_fifo_rd_rst <= sig_reset_mstr; sig_sts_fifo_wr_clk <= primary_aclk ; sig_sts_fifo_wr_rst <= sig_reset_mstr; sig_sts_fifo_rd_clk <= primary_aclk ; sig_sts_fifo_rd_rst <= sig_reset_user; end generate GEN_SYNC_RESET; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ASYNC_RESET -- -- If Generate Description: -- This IfGen assigns the clock and reset signals for the -- Asynchronous User interface case -- ------------------------------------------------------------ GEN_ASYNC_RESET : if (C_STSCMD_IS_ASYNC = 1) generate begin sig_reset_mstr <= internal_reset ; sig_reset_user <= user_reset ; sig_cmd_fifo_wr_clk <= secondary_awclk; sig_cmd_fifo_wr_rst <= sig_reset_user ; sig_cmd_fifo_rd_clk <= primary_aclk ; sig_cmd_fifo_rd_rst <= sig_reset_mstr ; sig_sts_fifo_wr_clk <= primary_aclk ; sig_sts_fifo_wr_rst <= sig_reset_mstr ; sig_sts_fifo_rd_clk <= secondary_awclk; sig_sts_fifo_rd_rst <= sig_reset_user ; end generate GEN_ASYNC_RESET; ------------------------------------------------------------ -- Instance: I_CMD_FIFO -- -- Description: -- Instance for the Command FIFO -- The User Interface is the Write Side -- The Internal Interface is the Read side -- ------------------------------------------------------------ I_CMD_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => C_CMD_WIDTH , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_cmd_fifo_wr_rst , fifo_wr_clk => sig_cmd_fifo_wr_clk , -- Write Side fifo_wr_tvalid => cmd_wvalid , fifo_wr_tready => cmd_wready , fifo_wr_tdata => cmd_wdata , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_cmd_fifo_rd_rst , fifo_async_rd_clk => sig_cmd_fifo_rd_clk , -- Read Side fifo_rd_tvalid => mst2cmd_cmd_valid , fifo_rd_tready => cmd2mstr_cmd_ready , fifo_rd_tdata => cmd2mstr_command , fifo_rd_empty => open ); I_CACHE_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => 8 , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_cmd_fifo_wr_rst , fifo_wr_clk => sig_cmd_fifo_wr_clk , -- Write Side fifo_wr_tvalid => cmd_wvalid , fifo_wr_tready => open ,--cmd_wready , fifo_wr_tdata => cache_data , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_cmd_fifo_rd_rst , fifo_async_rd_clk => sig_cmd_fifo_rd_clk , -- Read Side fifo_rd_tvalid => open ,--mst2cmd_cmd_valid , fifo_rd_tready => cmd2mstr_cmd_ready , fifo_rd_tdata => cache2mstr_command , fifo_rd_empty => open ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_STATUS_FIFO -- -- If Generate Description: -- Instantiates a Status FIFO -- -- ------------------------------------------------------------ GEN_INCLUDE_STATUS_FIFO : if (C_INCLUDE_STSFIFO = 1) generate begin -- Set constant outputs for Status Interface sts_wstrb <= (others => '1'); sts_wlast <= '1'; ------------------------------------------------------------ -- Instance: I_STS_FIFO -- -- Description: -- Instance for the Status FIFO -- The Internal Interface is the Write Side -- The User Interface is the Read side -- ------------------------------------------------------------ I_STS_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => C_STS_WIDTH , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_sts_fifo_wr_rst , fifo_wr_clk => sig_sts_fifo_wr_clk , -- Write Side fifo_wr_tvalid => mst2stst_status_valid , fifo_wr_tready => stat2mstr_status_ready, fifo_wr_tdata => mstr2stat_status , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_sts_fifo_rd_rst , fifo_async_rd_clk => sig_sts_fifo_rd_clk , -- Read Side fifo_rd_tvalid => sts_wvalid , fifo_rd_tready => sts_wready , fifo_rd_tdata => sts_wdata , fifo_rd_empty => open ); end generate GEN_INCLUDE_STATUS_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_STATUS_FIFO -- -- If Generate Description: -- Omits the Status FIFO -- -- ------------------------------------------------------------ GEN_OMIT_STATUS_FIFO : if (C_INCLUDE_STSFIFO = 0) generate begin -- Status FIFO User interface housekeeping sts_wvalid <= '0'; -- sts_wready -- ignored sts_wdata <= (others => '0'); sts_wstrb <= (others => '0'); sts_wlast <= '0'; -- Status FIFO Internal interface housekeeping stat2mstr_status_ready <= '1'; -- mstr2stat_status -- ignored -- mst2stst_status_valid -- ignored end generate GEN_OMIT_STATUS_FIFO; end implementation;
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_cmd_status.vhd -- -- Description: -- This file implements the DataMover Command and Status interfaces. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1_2; Use axi_sg_v4_1_2.axi_sg_fifo; ------------------------------------------------------------------------------- entity axi_sg_cmd_status is generic ( C_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Indictes the width of the DataMover Address bus C_INCLUDE_STSFIFO : Integer range 0 to 1 := 1; -- Indicates if a Stus FIFO is to be included or omitted -- 0 = Omit -- 1 = Include C_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Sets the depth of the Command and Status FIFOs C_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Indicates if the Command and Status Stream Channels are clocked with -- a different clock than the Main dataMover Clock -- 0 = Same Clock -- 1 = Different clocks C_CMD_WIDTH : Integer := 68; -- Sets the width of the input command C_STS_WIDTH : Integer := 8; -- Sets the width of the output status C_FAMILY : string := "virtex7" -- Sets the target FPGA family ); port ( -- Clock inputs ---------------------------------------------------- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- secondary_awclk : in std_logic; -- -- Clock used for the Command and Status User Interface -- -- when the User Command and Status interface is Async -- -- to the MMap interface. Async mode is set by the assigned -- -- value to C_STSCMD_IS_ASYNC = 1. -- -------------------------------------------------------------------- -- Reset inputs ---------------------------------------------------- user_reset : in std_logic; -- -- Reset used for the User Stream interface logic -- -- internal_reset : in std_logic; -- -- Reset used for the internal master interface logic -- -------------------------------------------------------------------- -- User Command Stream Ports (AXI Stream) ------------------------------- cmd_wvalid : in std_logic; -- cmd_wready : out std_logic; -- cmd_wdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); -- cache_data : in std_logic_vector(7 downto 0); -- ------------------------------------------------------------------------- -- User Status Stream Ports (AXI Stream) ------------------------------------ sts_wvalid : out std_logic; -- sts_wready : in std_logic; -- sts_wdata : out std_logic_vector(C_STS_WIDTH-1 downto 0); -- sts_wstrb : out std_logic_vector((C_STS_WIDTH/8)-1 downto 0); -- sts_wlast : out std_logic; -- ----------------------------------------------------------------------------- -- Internal Command Out Interface ----------------------------------------------- cmd2mstr_command : Out std_logic_vector(C_CMD_WIDTH-1 downto 0); -- -- The next command value available from the Command FIFO/Register -- cache2mstr_command : Out std_logic_vector(7 downto 0); -- -- The cache value available from the FIFO/Register -- -- mst2cmd_cmd_valid : Out std_logic; -- -- Handshake bit indicating the Command FIFO/Register has at least 1 valid -- -- command entry -- -- cmd2mstr_cmd_ready : in std_logic; -- -- Handshake bit indicating the Command Calculator is ready to accept -- -- another command -- --------------------------------------------------------------------------------- -- Internal Status In Interface ----------------------------------------------------- mstr2stat_status : in std_logic_vector(C_STS_WIDTH-1 downto 0); -- -- The input for writing the status value to the Status FIFO/Register -- -- stat2mstr_status_ready : Out std_logic; -- -- Handshake bit indicating that the Status FIFO/Register is ready for transfer -- -- mst2stst_status_valid : In std_logic -- -- Handshake bit for writing the Status value into the Status FIFO/Register -- -------------------------------------------------------------------------------------- ); end entity axi_sg_cmd_status; architecture implementation of axi_sg_cmd_status is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function ------------------------------------------------------------------- -- Function -- -- Function Name: get_fifo_prim_type -- -- Function Description: -- Returns the fifo primitiver type to use for the given input -- conditions. -- -- 0 = Not used or allowed here -- 1 = BRAM Primitives (Block Memory) -- 2 = Distributed memory -- ------------------------------------------------------------------- function get_fifo_prim_type (is_async : integer; depth : integer) return integer is Variable var_temp_prim_type : Integer := 1; begin -- coverage off if (is_async = 1) then -- Async FIFOs always use Blk Mem (BRAM) var_temp_prim_type := 1; elsif (depth <= 64) then -- (use srls or distrubuted) var_temp_prim_type := 2; else -- depth is too big for SRLs so use Blk Memory (BRAM) var_temp_prim_type := 1; end if; -- coverage on Return (var_temp_prim_type); end function get_fifo_prim_type; -- Constants Constant REGISTER_TYPE : integer := 0; Constant BRAM_TYPE : integer := 1; --Constant SRL_TYPE : integer := 2; --Constant FIFO_PRIM_TYPE : integer := SRL_TYPE; Constant FIFO_PRIM_TYPE : integer := get_fifo_prim_type(C_STSCMD_IS_ASYNC, C_STSCMD_FIFO_DEPTH); -- Signals signal sig_cmd_fifo_wr_clk : std_logic := '0'; signal sig_cmd_fifo_wr_rst : std_logic := '0'; signal sig_cmd_fifo_rd_clk : std_logic := '0'; signal sig_cmd_fifo_rd_rst : std_logic := '0'; signal sig_sts_fifo_wr_clk : std_logic := '0'; signal sig_sts_fifo_wr_rst : std_logic := '0'; signal sig_sts_fifo_rd_clk : std_logic := '0'; signal sig_sts_fifo_rd_rst : std_logic := '0'; signal sig_reset_mstr : std_logic := '0'; signal sig_reset_user : std_logic := '0'; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_SYNC_RESET -- -- If Generate Description: -- This IfGen assigns the clock and reset signals for the -- synchronous User interface case -- ------------------------------------------------------------ GEN_SYNC_RESET : if (C_STSCMD_IS_ASYNC = 0) generate begin sig_reset_mstr <= internal_reset ; sig_reset_user <= internal_reset ; sig_cmd_fifo_wr_clk <= primary_aclk ; sig_cmd_fifo_wr_rst <= sig_reset_user; sig_cmd_fifo_rd_clk <= primary_aclk ; sig_cmd_fifo_rd_rst <= sig_reset_mstr; sig_sts_fifo_wr_clk <= primary_aclk ; sig_sts_fifo_wr_rst <= sig_reset_mstr; sig_sts_fifo_rd_clk <= primary_aclk ; sig_sts_fifo_rd_rst <= sig_reset_user; end generate GEN_SYNC_RESET; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ASYNC_RESET -- -- If Generate Description: -- This IfGen assigns the clock and reset signals for the -- Asynchronous User interface case -- ------------------------------------------------------------ GEN_ASYNC_RESET : if (C_STSCMD_IS_ASYNC = 1) generate begin sig_reset_mstr <= internal_reset ; sig_reset_user <= user_reset ; sig_cmd_fifo_wr_clk <= secondary_awclk; sig_cmd_fifo_wr_rst <= sig_reset_user ; sig_cmd_fifo_rd_clk <= primary_aclk ; sig_cmd_fifo_rd_rst <= sig_reset_mstr ; sig_sts_fifo_wr_clk <= primary_aclk ; sig_sts_fifo_wr_rst <= sig_reset_mstr ; sig_sts_fifo_rd_clk <= secondary_awclk; sig_sts_fifo_rd_rst <= sig_reset_user ; end generate GEN_ASYNC_RESET; ------------------------------------------------------------ -- Instance: I_CMD_FIFO -- -- Description: -- Instance for the Command FIFO -- The User Interface is the Write Side -- The Internal Interface is the Read side -- ------------------------------------------------------------ I_CMD_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => C_CMD_WIDTH , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_cmd_fifo_wr_rst , fifo_wr_clk => sig_cmd_fifo_wr_clk , -- Write Side fifo_wr_tvalid => cmd_wvalid , fifo_wr_tready => cmd_wready , fifo_wr_tdata => cmd_wdata , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_cmd_fifo_rd_rst , fifo_async_rd_clk => sig_cmd_fifo_rd_clk , -- Read Side fifo_rd_tvalid => mst2cmd_cmd_valid , fifo_rd_tready => cmd2mstr_cmd_ready , fifo_rd_tdata => cmd2mstr_command , fifo_rd_empty => open ); I_CACHE_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => 8 , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_cmd_fifo_wr_rst , fifo_wr_clk => sig_cmd_fifo_wr_clk , -- Write Side fifo_wr_tvalid => cmd_wvalid , fifo_wr_tready => open ,--cmd_wready , fifo_wr_tdata => cache_data , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_cmd_fifo_rd_rst , fifo_async_rd_clk => sig_cmd_fifo_rd_clk , -- Read Side fifo_rd_tvalid => open ,--mst2cmd_cmd_valid , fifo_rd_tready => cmd2mstr_cmd_ready , fifo_rd_tdata => cache2mstr_command , fifo_rd_empty => open ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_STATUS_FIFO -- -- If Generate Description: -- Instantiates a Status FIFO -- -- ------------------------------------------------------------ GEN_INCLUDE_STATUS_FIFO : if (C_INCLUDE_STSFIFO = 1) generate begin -- Set constant outputs for Status Interface sts_wstrb <= (others => '1'); sts_wlast <= '1'; ------------------------------------------------------------ -- Instance: I_STS_FIFO -- -- Description: -- Instance for the Status FIFO -- The Internal Interface is the Write Side -- The User Interface is the Read side -- ------------------------------------------------------------ I_STS_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => C_STS_WIDTH , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_sts_fifo_wr_rst , fifo_wr_clk => sig_sts_fifo_wr_clk , -- Write Side fifo_wr_tvalid => mst2stst_status_valid , fifo_wr_tready => stat2mstr_status_ready, fifo_wr_tdata => mstr2stat_status , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_sts_fifo_rd_rst , fifo_async_rd_clk => sig_sts_fifo_rd_clk , -- Read Side fifo_rd_tvalid => sts_wvalid , fifo_rd_tready => sts_wready , fifo_rd_tdata => sts_wdata , fifo_rd_empty => open ); end generate GEN_INCLUDE_STATUS_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_STATUS_FIFO -- -- If Generate Description: -- Omits the Status FIFO -- -- ------------------------------------------------------------ GEN_OMIT_STATUS_FIFO : if (C_INCLUDE_STSFIFO = 0) generate begin -- Status FIFO User interface housekeeping sts_wvalid <= '0'; -- sts_wready -- ignored sts_wdata <= (others => '0'); sts_wstrb <= (others => '0'); sts_wlast <= '0'; -- Status FIFO Internal interface housekeeping stat2mstr_status_ready <= '1'; -- mstr2stat_status -- ignored -- mst2stst_status_valid -- ignored end generate GEN_OMIT_STATUS_FIFO; end implementation;
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_cmd_status.vhd -- -- Description: -- This file implements the DataMover Command and Status interfaces. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1_2; Use axi_sg_v4_1_2.axi_sg_fifo; ------------------------------------------------------------------------------- entity axi_sg_cmd_status is generic ( C_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Indictes the width of the DataMover Address bus C_INCLUDE_STSFIFO : Integer range 0 to 1 := 1; -- Indicates if a Stus FIFO is to be included or omitted -- 0 = Omit -- 1 = Include C_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Sets the depth of the Command and Status FIFOs C_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Indicates if the Command and Status Stream Channels are clocked with -- a different clock than the Main dataMover Clock -- 0 = Same Clock -- 1 = Different clocks C_CMD_WIDTH : Integer := 68; -- Sets the width of the input command C_STS_WIDTH : Integer := 8; -- Sets the width of the output status C_FAMILY : string := "virtex7" -- Sets the target FPGA family ); port ( -- Clock inputs ---------------------------------------------------- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- secondary_awclk : in std_logic; -- -- Clock used for the Command and Status User Interface -- -- when the User Command and Status interface is Async -- -- to the MMap interface. Async mode is set by the assigned -- -- value to C_STSCMD_IS_ASYNC = 1. -- -------------------------------------------------------------------- -- Reset inputs ---------------------------------------------------- user_reset : in std_logic; -- -- Reset used for the User Stream interface logic -- -- internal_reset : in std_logic; -- -- Reset used for the internal master interface logic -- -------------------------------------------------------------------- -- User Command Stream Ports (AXI Stream) ------------------------------- cmd_wvalid : in std_logic; -- cmd_wready : out std_logic; -- cmd_wdata : in std_logic_vector(C_CMD_WIDTH-1 downto 0); -- cache_data : in std_logic_vector(7 downto 0); -- ------------------------------------------------------------------------- -- User Status Stream Ports (AXI Stream) ------------------------------------ sts_wvalid : out std_logic; -- sts_wready : in std_logic; -- sts_wdata : out std_logic_vector(C_STS_WIDTH-1 downto 0); -- sts_wstrb : out std_logic_vector((C_STS_WIDTH/8)-1 downto 0); -- sts_wlast : out std_logic; -- ----------------------------------------------------------------------------- -- Internal Command Out Interface ----------------------------------------------- cmd2mstr_command : Out std_logic_vector(C_CMD_WIDTH-1 downto 0); -- -- The next command value available from the Command FIFO/Register -- cache2mstr_command : Out std_logic_vector(7 downto 0); -- -- The cache value available from the FIFO/Register -- -- mst2cmd_cmd_valid : Out std_logic; -- -- Handshake bit indicating the Command FIFO/Register has at least 1 valid -- -- command entry -- -- cmd2mstr_cmd_ready : in std_logic; -- -- Handshake bit indicating the Command Calculator is ready to accept -- -- another command -- --------------------------------------------------------------------------------- -- Internal Status In Interface ----------------------------------------------------- mstr2stat_status : in std_logic_vector(C_STS_WIDTH-1 downto 0); -- -- The input for writing the status value to the Status FIFO/Register -- -- stat2mstr_status_ready : Out std_logic; -- -- Handshake bit indicating that the Status FIFO/Register is ready for transfer -- -- mst2stst_status_valid : In std_logic -- -- Handshake bit for writing the Status value into the Status FIFO/Register -- -------------------------------------------------------------------------------------- ); end entity axi_sg_cmd_status; architecture implementation of axi_sg_cmd_status is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function ------------------------------------------------------------------- -- Function -- -- Function Name: get_fifo_prim_type -- -- Function Description: -- Returns the fifo primitiver type to use for the given input -- conditions. -- -- 0 = Not used or allowed here -- 1 = BRAM Primitives (Block Memory) -- 2 = Distributed memory -- ------------------------------------------------------------------- function get_fifo_prim_type (is_async : integer; depth : integer) return integer is Variable var_temp_prim_type : Integer := 1; begin -- coverage off if (is_async = 1) then -- Async FIFOs always use Blk Mem (BRAM) var_temp_prim_type := 1; elsif (depth <= 64) then -- (use srls or distrubuted) var_temp_prim_type := 2; else -- depth is too big for SRLs so use Blk Memory (BRAM) var_temp_prim_type := 1; end if; -- coverage on Return (var_temp_prim_type); end function get_fifo_prim_type; -- Constants Constant REGISTER_TYPE : integer := 0; Constant BRAM_TYPE : integer := 1; --Constant SRL_TYPE : integer := 2; --Constant FIFO_PRIM_TYPE : integer := SRL_TYPE; Constant FIFO_PRIM_TYPE : integer := get_fifo_prim_type(C_STSCMD_IS_ASYNC, C_STSCMD_FIFO_DEPTH); -- Signals signal sig_cmd_fifo_wr_clk : std_logic := '0'; signal sig_cmd_fifo_wr_rst : std_logic := '0'; signal sig_cmd_fifo_rd_clk : std_logic := '0'; signal sig_cmd_fifo_rd_rst : std_logic := '0'; signal sig_sts_fifo_wr_clk : std_logic := '0'; signal sig_sts_fifo_wr_rst : std_logic := '0'; signal sig_sts_fifo_rd_clk : std_logic := '0'; signal sig_sts_fifo_rd_rst : std_logic := '0'; signal sig_reset_mstr : std_logic := '0'; signal sig_reset_user : std_logic := '0'; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_SYNC_RESET -- -- If Generate Description: -- This IfGen assigns the clock and reset signals for the -- synchronous User interface case -- ------------------------------------------------------------ GEN_SYNC_RESET : if (C_STSCMD_IS_ASYNC = 0) generate begin sig_reset_mstr <= internal_reset ; sig_reset_user <= internal_reset ; sig_cmd_fifo_wr_clk <= primary_aclk ; sig_cmd_fifo_wr_rst <= sig_reset_user; sig_cmd_fifo_rd_clk <= primary_aclk ; sig_cmd_fifo_rd_rst <= sig_reset_mstr; sig_sts_fifo_wr_clk <= primary_aclk ; sig_sts_fifo_wr_rst <= sig_reset_mstr; sig_sts_fifo_rd_clk <= primary_aclk ; sig_sts_fifo_rd_rst <= sig_reset_user; end generate GEN_SYNC_RESET; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ASYNC_RESET -- -- If Generate Description: -- This IfGen assigns the clock and reset signals for the -- Asynchronous User interface case -- ------------------------------------------------------------ GEN_ASYNC_RESET : if (C_STSCMD_IS_ASYNC = 1) generate begin sig_reset_mstr <= internal_reset ; sig_reset_user <= user_reset ; sig_cmd_fifo_wr_clk <= secondary_awclk; sig_cmd_fifo_wr_rst <= sig_reset_user ; sig_cmd_fifo_rd_clk <= primary_aclk ; sig_cmd_fifo_rd_rst <= sig_reset_mstr ; sig_sts_fifo_wr_clk <= primary_aclk ; sig_sts_fifo_wr_rst <= sig_reset_mstr ; sig_sts_fifo_rd_clk <= secondary_awclk; sig_sts_fifo_rd_rst <= sig_reset_user ; end generate GEN_ASYNC_RESET; ------------------------------------------------------------ -- Instance: I_CMD_FIFO -- -- Description: -- Instance for the Command FIFO -- The User Interface is the Write Side -- The Internal Interface is the Read side -- ------------------------------------------------------------ I_CMD_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => C_CMD_WIDTH , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_cmd_fifo_wr_rst , fifo_wr_clk => sig_cmd_fifo_wr_clk , -- Write Side fifo_wr_tvalid => cmd_wvalid , fifo_wr_tready => cmd_wready , fifo_wr_tdata => cmd_wdata , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_cmd_fifo_rd_rst , fifo_async_rd_clk => sig_cmd_fifo_rd_clk , -- Read Side fifo_rd_tvalid => mst2cmd_cmd_valid , fifo_rd_tready => cmd2mstr_cmd_ready , fifo_rd_tdata => cmd2mstr_command , fifo_rd_empty => open ); I_CACHE_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => 8 , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_cmd_fifo_wr_rst , fifo_wr_clk => sig_cmd_fifo_wr_clk , -- Write Side fifo_wr_tvalid => cmd_wvalid , fifo_wr_tready => open ,--cmd_wready , fifo_wr_tdata => cache_data , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_cmd_fifo_rd_rst , fifo_async_rd_clk => sig_cmd_fifo_rd_clk , -- Read Side fifo_rd_tvalid => open ,--mst2cmd_cmd_valid , fifo_rd_tready => cmd2mstr_cmd_ready , fifo_rd_tdata => cache2mstr_command , fifo_rd_empty => open ); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_STATUS_FIFO -- -- If Generate Description: -- Instantiates a Status FIFO -- -- ------------------------------------------------------------ GEN_INCLUDE_STATUS_FIFO : if (C_INCLUDE_STSFIFO = 1) generate begin -- Set constant outputs for Status Interface sts_wstrb <= (others => '1'); sts_wlast <= '1'; ------------------------------------------------------------ -- Instance: I_STS_FIFO -- -- Description: -- Instance for the Status FIFO -- The Internal Interface is the Write Side -- The User Interface is the Read side -- ------------------------------------------------------------ I_STS_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo generic map ( C_DWIDTH => C_STS_WIDTH , C_DEPTH => C_STSCMD_FIFO_DEPTH , C_IS_ASYNC => C_STSCMD_IS_ASYNC , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => sig_sts_fifo_wr_rst , fifo_wr_clk => sig_sts_fifo_wr_clk , -- Write Side fifo_wr_tvalid => mst2stst_status_valid , fifo_wr_tready => stat2mstr_status_ready, fifo_wr_tdata => mstr2stat_status , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => sig_sts_fifo_rd_rst , fifo_async_rd_clk => sig_sts_fifo_rd_clk , -- Read Side fifo_rd_tvalid => sts_wvalid , fifo_rd_tready => sts_wready , fifo_rd_tdata => sts_wdata , fifo_rd_empty => open ); end generate GEN_INCLUDE_STATUS_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_OMIT_STATUS_FIFO -- -- If Generate Description: -- Omits the Status FIFO -- -- ------------------------------------------------------------ GEN_OMIT_STATUS_FIFO : if (C_INCLUDE_STSFIFO = 0) generate begin -- Status FIFO User interface housekeeping sts_wvalid <= '0'; -- sts_wready -- ignored sts_wdata <= (others => '0'); sts_wstrb <= (others => '0'); sts_wlast <= '0'; -- Status FIFO Internal interface housekeeping stat2mstr_status_ready <= '1'; -- mstr2stat_status -- ignored -- mst2stst_status_valid -- ignored end generate GEN_OMIT_STATUS_FIFO; end implementation;
package body fifo is end package body fifo; package body fifo is end; package body fifo is end package body;
------------------------------------------------------------------------------------------------------------------------ -- OpenMAC DMA FIFO -- -- Copyright (C) 2011 B&R -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------------------------------------------------ -- Version History ------------------------------------------------------------------------------------------------------------------------ -- 2011-06-06 V0.01 added generic and export fifo word vector -- 2011-08-03 V0.10 changed to dual clocked fifo (DCFIFO) ------------------------------------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library altera_mf; use altera_mf.all; entity openMAC_DMAfifo is generic ( fifo_data_width_g : natural := 16; fifo_word_size_g : natural := 32; fifo_word_size_log2_g : natural := 5 ); port ( aclr : in std_logic; rd_clk : in std_logic; wr_clk : in std_logic; --read port rd_req : in std_logic; rd_data : out std_logic_vector(fifo_data_width_g-1 downto 0); rd_empty : out std_logic; rd_full : out std_logic; rd_usedw : out std_logic_vector(fifo_word_size_log2_g-1 downto 0); --write port wr_req : in std_logic; wr_data : in std_logic_vector(fifo_data_width_g-1 downto 0); wr_empty : out std_logic; wr_full : out std_logic; wr_usedw : out std_logic_vector(fifo_word_size_log2_g-1 downto 0) ); end openmac_dmafifo; architecture struct of openMAC_DMAfifo is component dcfifo generic ( lpm_width : natural; --width of data and q ports (input/output) lpm_widthu : natural; --width of wrusedw and rdusedw lpm_numwords : natural; --depth of fifo lpm_showahead : string; --fifo showahead off/on (rdreq works as req/ack) lpm_type : string; --SCFIFO or DCFIFO (single/dual clocked) overflow_checking : string; --protection circuit for wrreq underflow_checking : string; --protection circuit for rdreq rdsync_delaypipe : natural; --number of sync from wr to rd wrsync_delaypipe : natural; --number of sync from rd to wr use_eab : string; --construct fifo as LE/RAM (off/on) write_aclr_synch : string; --sync async. clear to wr clk (avoids race cond.) intended_device_family : string --specifies the intended device for functional simulation ); port ( wrclk : in std_logic; --clock for wr port rdclk : in std_logic; --clock for rd port data : in std_logic_vector(fifo_data_width_g-1 downto 0); --data to be written wrreq : in std_logic; --write request rdreq : in std_logic; --read request aclr : in std_logic; --asynchronous clear fifo q : out std_logic_vector(fifo_data_width_g-1 downto 0); --read data wrfull : out std_logic; --fifo is full on wr port rdfull : out std_logic; --fifo is full on rd port wrempty : out std_logic; --fifo is empty on wr port rdempty : out std_logic; --fifo is empty on rd port wrusedw : out std_logic_vector(fifo_word_size_log2_g-1 downto 0); --number of words stored on wr port rdusedw : out std_logic_vector(fifo_word_size_log2_g-1 downto 0) --number of words stored on rd port ); end component; constant fifo_useRam_c : string := "ON"; constant fifo_words_c : natural := fifo_word_size_g; --e.g. 32 constant fifo_usedw_c : natural := fifo_word_size_log2_g; --e.g. log2(32) = 5 --constant fifo_rd_usedw_c : natural := 5; --constant fifo_wr_usedw_c : natural := 5; constant fifo_data_width_c : natural := fifo_data_width_g; --constant fifo_rd_data_width_c : natural := 16; --constant fifo_wr_data_width_c : natural := 16; begin dcfifo_inst : dcfifo generic map ( lpm_width => fifo_data_width_c, --width of data and q ports (input/output) lpm_widthu => fifo_usedw_c, --width of wrusedw and rdusedw lpm_numwords => fifo_words_c, --depth of fifo lpm_showahead => "OFF", --fifo showahead off/on (rdreq works as req/ack) lpm_type => "DCFIFO", --SCFIFO or DCFIFO (single/dual clocked) overflow_checking => "ON", --protection circuit for wrreq underflow_checking => "ON", --protection circuit for rdreq rdsync_delaypipe => 4, --number of sync from wr to rd wrsync_delaypipe => 4, --number of sync from rd to wr use_eab => fifo_useRam_c, --construct fifo as LE/RAM (off/on) write_aclr_synch => "ON", --sync async. clear to wr clk (avoids race cond.) intended_device_family => "Cyclone IV" --specifies the intended device for functional simulation ) port map ( wrclk => wr_clk, --clock for wr port rdclk => rd_clk, --clock for rd port data => wr_data, --data to be written wrreq => wr_req, --write request rdreq => rd_req, --read request aclr => aclr, --asynchronous clear fifo q => rd_data, --read data wrfull => wr_full, --fifo is full on wr port rdfull => rd_full, --fifo is full on rd port wrempty => wr_empty, --fifo is empty on wr port rdempty => rd_empty, --fifo is empty on rd port wrusedw => wr_usedw, --number of words stored on wr port rdusedw => rd_usedw --number of words stored on rd port ); end struct;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity memtable is port ( clk : in std_logic; rst : in std_logic; instaddr: in std_logic_vector(31 downto 0); instout : out std_logic_vector(31 downto 0); wen : in std_logic; addr : in std_logic_vector(31 downto 0); din : in std_logic_vector(31 downto 0); dout : out std_logic_vector(31 downto 0); extwen : in std_logic; extaddr : in std_logic_vector(31 downto 0); extdin : in std_logic_vector(31 downto 0); extdout : out std_logic_vector(31 downto 0) ); end memtable; architecture arch_memtable of memtable is constant msize: natural := 16383; --word size type memdata is array (0 to msize) of std_logic_vector(31 downto 0); signal MT : memdata; begin process(clk, rst) variable addri, extaddri : integer; begin if rst = '1' then for i in 0 to msize loop MT(i) <= (others => '0'); end loop; elsif clk'event and clk = '1' then if wen = '1' then addri := conv_integer(addr(31 downto 2)); if addri >= 0 and addri <= msize then MT(addri) <= din; end if; end if; if extwen = '1' then extaddri := conv_integer(extaddr(31 downto 2)); if extaddri >= 0 and extaddri <= msize then MT(extaddri) <= extdin; end if; end if; end if; end process; process(addr, MT) variable addri: integer; begin dout <= (others => '0'); addri := conv_integer(addr(31 downto 2)); if addri >= 0 and addri <= msize then dout <= MT(addri); end if; end process; process(instaddr, MT) variable addri: integer; begin instout <= (others => '0'); addri := conv_integer(instaddr(31 downto 2)); if addri >= 0 and addri <= msize then instout <= MT(addri); end if; end process; process(extaddr, MT) variable extaddri: integer; begin extdout <= (others => '0'); extaddri := conv_integer(extaddr(31 downto 2)); if extaddri >= 0 and extaddri <= msize then extdout <= MT(extaddri); end if; end process; end arch_memtable;
-- ------------------------------------------------------------- -- -- Generated Architecture Declaration for rtl of ioblock1_e -- -- Generated -- by: wig -- on: Wed Jul 5 07:04:19 2006 -- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../padio.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: ioblock1_e-rtl-a.vhd,v 1.5 2006/07/05 10:01:23 wig Exp $ -- $Date: 2006/07/05 10:01:23 $ -- $Log: ioblock1_e-rtl-a.vhd,v $ -- Revision 1.5 2006/07/05 10:01:23 wig -- Updated padio testcase. -- -- -- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.91 2006/07/04 12:22:35 wig Exp -- -- Generator: mix_0.pl Revision: 1.46 , [email protected] -- (C) 2003,2005 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/arch -- -- -- Start of Generated Architecture rtl of ioblock1_e -- architecture rtl of ioblock1_e is -- -- Generated Constant Declarations -- -- -- Generated Components -- component ioc_r_io -- No Generated Generics port ( -- Generated Port for Entity ioc_r_io di : out std_ulogic; -- __I_AUTO_REDUCED_BUS2SIGNAL do : in std_ulogic_vector(4 downto 0); en : in std_ulogic_vector(4 downto 0); nand_dir : in std_ulogic; -- Direction nand_in : in std_ulogic; -- out to in nand_out : out std_ulogic; -- Last is open p_di : in std_ulogic; -- data in from pad p_do : out std_ulogic; -- data out to pad p_en : out std_ulogic; -- pad output enable sel : in std_ulogic_vector(4 downto 0) -- End of Generated Port for Entity ioc_r_io ); end component; -- --------- -- -- Generated Signal List -- signal di2 : std_ulogic_vector(8 downto 0); -- __W_PORT_SIGNAL_MAP_REQ signal disp2 : std_ulogic_vector(7 downto 0); -- __W_PORT_SIGNAL_MAP_REQ signal disp2_en : std_ulogic_vector(7 downto 0); -- __W_PORT_SIGNAL_MAP_REQ signal display_ls_en : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal display_ls_hr : std_ulogic_vector(6 downto 0); -- __W_PORT_SIGNAL_MAP_REQ signal display_ls_min : std_ulogic_vector(6 downto 0); -- __W_PORT_SIGNAL_MAP_REQ signal display_ms_en : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal display_ms_hr : std_ulogic_vector(6 downto 0); -- __W_PORT_SIGNAL_MAP_REQ signal display_ms_min : std_ulogic_vector(6 downto 0); -- __W_PORT_SIGNAL_MAP_REQ signal iosel_disp : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal iosel_ls_hr : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal iosel_ls_min : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal iosel_ms_hr : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal iosel_ms_min : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal nand_dir : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal nand_out_12 : std_ulogic; signal nand_out_13 : std_ulogic; signal nand_out_14 : std_ulogic; signal nand_out_15 : std_ulogic; signal nand_out_16 : std_ulogic; signal nand_out_17 : std_ulogic; signal nand_out_2 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_di_12 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_di_13 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_di_14 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_di_15 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_di_16 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_di_17 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_di_18 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_do_12 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_do_13 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_do_14 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_do_15 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_do_16 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_do_17 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_do_18 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_en_12 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_en_13 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_en_14 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_en_15 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_en_16 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_en_17 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ signal pad_en_18 : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ -- -- End of Generated Signal List -- begin -- -- Generated Concurrent Statements -- -- -- Generated Signal Assignments -- p_mix_di2_1_0_go(1 downto 0) <= di2(1 downto 0); -- __I_O_SLICE_PORT p_mix_di2_7_3_go(4 downto 0) <= di2(7 downto 3); -- __I_O_SLICE_PORT disp2(1 downto 0) <= p_mix_disp2_1_0_gi(1 downto 0); -- __I_I_SLICE_PORT disp2(7 downto 3) <= p_mix_disp2_7_3_gi(4 downto 0); -- __I_I_SLICE_PORT disp2_en(7 downto 3) <= p_mix_disp2_en_7_3_gi(4 downto 0); -- __I_I_SLICE_PORT disp2_en(1 downto 0) <= p_mix_disp2_en_1_0_gi(1 downto 0); -- __I_I_SLICE_PORT display_ls_en <= p_mix_display_ls_en_gi; -- __I_I_BIT_PORT display_ls_hr <= p_mix_display_ls_hr_gi; -- __I_I_BUS_PORT display_ls_min <= p_mix_display_ls_min_gi; -- __I_I_BUS_PORT display_ms_en <= p_mix_display_ms_en_gi; -- __I_I_BIT_PORT display_ms_hr <= p_mix_display_ms_hr_gi; -- __I_I_BUS_PORT display_ms_min <= p_mix_display_ms_min_gi; -- __I_I_BUS_PORT iosel_disp <= p_mix_iosel_disp_gi; -- __I_I_BIT_PORT iosel_ls_hr <= p_mix_iosel_ls_hr_gi; -- __I_I_BIT_PORT iosel_ls_min <= p_mix_iosel_ls_min_gi; -- __I_I_BIT_PORT iosel_ms_hr <= p_mix_iosel_ms_hr_gi; -- __I_I_BIT_PORT iosel_ms_min <= p_mix_iosel_ms_min_gi; -- __I_I_BIT_PORT nand_dir <= p_mix_nand_dir_gi; -- __I_I_BIT_PORT nand_out_2 <= p_mix_nand_out_2_gi; -- __I_I_BIT_PORT pad_di_12 <= p_mix_pad_di_12_gi; -- __I_I_BIT_PORT pad_di_13 <= p_mix_pad_di_13_gi; -- __I_I_BIT_PORT pad_di_14 <= p_mix_pad_di_14_gi; -- __I_I_BIT_PORT pad_di_15 <= p_mix_pad_di_15_gi; -- __I_I_BIT_PORT pad_di_16 <= p_mix_pad_di_16_gi; -- __I_I_BIT_PORT pad_di_17 <= p_mix_pad_di_17_gi; -- __I_I_BIT_PORT pad_di_18 <= p_mix_pad_di_18_gi; -- __I_I_BIT_PORT p_mix_pad_do_12_go <= pad_do_12; -- __I_O_BIT_PORT p_mix_pad_do_13_go <= pad_do_13; -- __I_O_BIT_PORT p_mix_pad_do_14_go <= pad_do_14; -- __I_O_BIT_PORT p_mix_pad_do_15_go <= pad_do_15; -- __I_O_BIT_PORT p_mix_pad_do_16_go <= pad_do_16; -- __I_O_BIT_PORT p_mix_pad_do_17_go <= pad_do_17; -- __I_O_BIT_PORT p_mix_pad_do_18_go <= pad_do_18; -- __I_O_BIT_PORT p_mix_pad_en_12_go <= pad_en_12; -- __I_O_BIT_PORT p_mix_pad_en_13_go <= pad_en_13; -- __I_O_BIT_PORT p_mix_pad_en_14_go <= pad_en_14; -- __I_O_BIT_PORT p_mix_pad_en_15_go <= pad_en_15; -- __I_O_BIT_PORT p_mix_pad_en_16_go <= pad_en_16; -- __I_O_BIT_PORT p_mix_pad_en_17_go <= pad_en_17; -- __I_O_BIT_PORT p_mix_pad_en_18_go <= pad_en_18; -- __I_O_BIT_PORT -- -- Generated Instances and Port Mappings -- -- Generated Instance Port Map for ioc_r_io_12 ioc_r_io_12: ioc_r_io port map ( di => di2(0), -- io data do(0) => disp2(0), -- io data do(1) => display_ls_min(0), -- Display storage buffer 0 ls_min do(2) => display_ls_hr(0), -- Display storage buffer 2 ls_hr do(3) => display_ms_hr(0), -- Display storage buffer 3 ms_hr do(4) => display_ms_min(0), -- Display storage buffer 1 ms_min en(0) => disp2_en(0), -- io data en(1) => display_ls_en, -- __I_BIT_TO_BUSPORT -- io_enable en(2) => display_ls_en, -- __I_BIT_TO_BUSPORT -- io_enable en(3) => display_ms_en, -- __I_BIT_TO_BUSPORT -- io_enable en(4) => display_ms_en, -- __I_BIT_TO_BUSPORT -- io_enable nand_dir => nand_dir, -- Direction (X17) nand_in => nand_out_2, -- Links ... nand_out => nand_out_12, -- out to in p_di => pad_di_12, -- data in from pad p_do => pad_do_12, -- data out to pad p_en => pad_en_12, -- pad output enable sel(0) => iosel_disp, -- __I_BIT_TO_BUSPORT -- IO_Select sel(1) => iosel_ls_min, -- __I_BIT_TO_BUSPORT -- IO_Select sel(2) => iosel_ls_hr, -- __I_BIT_TO_BUSPORT -- IO_Select sel(3) => iosel_ms_hr, -- __I_BIT_TO_BUSPORT -- IO_Select sel(4) => iosel_ms_min -- __I_BIT_TO_BUSPORT -- IO_Select ); -- End of Generated Instance Port Map for ioc_r_io_12 -- Generated Instance Port Map for ioc_r_io_13 ioc_r_io_13: ioc_r_io port map ( di => di2(1), -- io data do(0) => disp2(1), -- io data do(1) => display_ls_min(1), -- Display storage buffer 0 ls_min do(2) => display_ls_hr(1), -- Display storage buffer 2 ls_hr do(3) => display_ms_hr(1), -- Display storage buffer 3 ms_hr do(4) => display_ms_min(1), -- Display storage buffer 1 ms_min en(0) => disp2_en(1), -- io data en(1) => display_ls_en, -- __I_BIT_TO_BUSPORT -- io_enable en(2) => display_ls_en, -- __I_BIT_TO_BUSPORT -- io_enable en(3) => display_ms_en, -- __I_BIT_TO_BUSPORT -- io_enable en(4) => display_ms_en, -- __I_BIT_TO_BUSPORT -- io_enable nand_dir => nand_dir, -- Direction (X17) nand_in => nand_out_12, -- out to in nand_out => nand_out_13, -- out to in p_di => pad_di_13, -- data in from pad p_do => pad_do_13, -- data out to pad p_en => pad_en_13, -- pad output enable sel(0) => iosel_disp, -- __I_BIT_TO_BUSPORT -- IO_Select sel(1) => iosel_ls_min, -- __I_BIT_TO_BUSPORT -- IO_Select sel(2) => iosel_ls_hr, -- __I_BIT_TO_BUSPORT -- IO_Select sel(3) => iosel_ms_hr, -- __I_BIT_TO_BUSPORT -- IO_Select sel(4) => iosel_ms_min -- __I_BIT_TO_BUSPORT -- IO_Select ); -- End of Generated Instance Port Map for ioc_r_io_13 -- Generated Instance Port Map for ioc_r_io_14 ioc_r_io_14: ioc_r_io port map ( di => di2(3), -- io data do(0) => disp2(3), -- io data do(1) => display_ls_min(2), -- Display storage buffer 0 ls_min do(2) => display_ls_hr(2), -- Display storage buffer 2 ls_hr do(3) => display_ms_hr(2), -- Display storage buffer 3 ms_hr do(4) => display_ms_min(2), -- Display storage buffer 1 ms_min en(0) => disp2_en(3), -- io data en(1) => display_ls_en, -- __I_BIT_TO_BUSPORT -- io_enable en(2) => display_ls_en, -- __I_BIT_TO_BUSPORT -- io_enable en(3) => display_ms_en, -- __I_BIT_TO_BUSPORT -- io_enable en(4) => display_ms_en, -- __I_BIT_TO_BUSPORT -- io_enable nand_dir => nand_dir, -- Direction (X17) nand_in => nand_out_13, -- out to in nand_out => nand_out_14, -- out to in p_di => pad_di_14, -- data in from pad p_do => pad_do_14, -- data out to pad p_en => pad_en_14, -- pad output enable sel(0) => iosel_disp, -- __I_BIT_TO_BUSPORT -- IO_Select sel(1) => iosel_ls_min, -- __I_BIT_TO_BUSPORT -- IO_Select sel(2) => iosel_ls_hr, -- __I_BIT_TO_BUSPORT -- IO_Select sel(3) => iosel_ms_hr, -- __I_BIT_TO_BUSPORT -- IO_Select sel(4) => iosel_ms_min -- __I_BIT_TO_BUSPORT -- IO_Select ); -- End of Generated Instance Port Map for ioc_r_io_14 -- Generated Instance Port Map for ioc_r_io_15 ioc_r_io_15: ioc_r_io port map ( di => di2(4), -- io data do(0) => disp2(4), -- io data do(1) => display_ls_min(3), -- Display storage buffer 0 ls_min do(2) => display_ls_hr(3), -- Display storage buffer 2 ls_hr do(3) => display_ms_hr(3), -- Display storage buffer 3 ms_hr do(4) => display_ms_min(3), -- Display storage buffer 1 ms_min en(0) => disp2_en(4), -- io data en(1) => display_ls_en, -- __I_BIT_TO_BUSPORT -- io_enable en(2) => display_ls_en, -- __I_BIT_TO_BUSPORT -- io_enable en(3) => display_ms_en, -- __I_BIT_TO_BUSPORT -- io_enable en(4) => display_ms_en, -- __I_BIT_TO_BUSPORT -- io_enable nand_dir => nand_dir, -- Direction (X17) nand_in => nand_out_14, -- out to in nand_out => nand_out_15, -- out to in p_di => pad_di_15, -- data in from pad p_do => pad_do_15, -- data out to pad p_en => pad_en_15, -- pad output enable sel(0) => iosel_disp, -- __I_BIT_TO_BUSPORT -- IO_Select sel(1) => iosel_ls_min, -- __I_BIT_TO_BUSPORT -- IO_Select sel(2) => iosel_ls_hr, -- __I_BIT_TO_BUSPORT -- IO_Select sel(3) => iosel_ms_hr, -- __I_BIT_TO_BUSPORT -- IO_Select sel(4) => iosel_ms_min -- __I_BIT_TO_BUSPORT -- IO_Select ); -- End of Generated Instance Port Map for ioc_r_io_15 -- Generated Instance Port Map for ioc_r_io_16 ioc_r_io_16: ioc_r_io port map ( di => di2(5), -- io data do(0) => disp2(5), -- io data do(1) => display_ls_min(4), -- Display storage buffer 0 ls_min do(2) => display_ls_hr(4), -- Display storage buffer 2 ls_hr do(3) => display_ms_hr(4), -- Display storage buffer 3 ms_hr do(4) => display_ms_min(4), -- Display storage buffer 1 ms_min en(0) => disp2_en(5), -- io data en(1) => display_ls_en, -- __I_BIT_TO_BUSPORT -- io_enable en(2) => display_ls_en, -- __I_BIT_TO_BUSPORT -- io_enable en(3) => display_ms_en, -- __I_BIT_TO_BUSPORT -- io_enable en(4) => display_ms_en, -- __I_BIT_TO_BUSPORT -- io_enable nand_dir => nand_dir, -- Direction (X17) nand_in => nand_out_15, -- out to in nand_out => nand_out_16, -- out to in p_di => pad_di_16, -- data in from pad p_do => pad_do_16, -- data out to pad p_en => pad_en_16, -- pad output enable sel(0) => iosel_disp, -- __I_BIT_TO_BUSPORT -- IO_Select sel(1) => iosel_ls_min, -- __I_BIT_TO_BUSPORT -- IO_Select sel(2) => iosel_ls_hr, -- __I_BIT_TO_BUSPORT -- IO_Select sel(3) => iosel_ms_hr, -- __I_BIT_TO_BUSPORT -- IO_Select sel(4) => iosel_ms_min -- __I_BIT_TO_BUSPORT -- IO_Select ); -- End of Generated Instance Port Map for ioc_r_io_16 -- Generated Instance Port Map for ioc_r_io_17 ioc_r_io_17: ioc_r_io port map ( di => di2(6), -- io data do(0) => disp2(6), -- io data do(1) => display_ls_min(5), -- Display storage buffer 0 ls_min do(2) => display_ls_hr(5), -- Display storage buffer 2 ls_hr do(3) => display_ms_hr(5), -- Display storage buffer 3 ms_hr do(4) => display_ms_min(5), -- Display storage buffer 1 ms_min en(0) => disp2_en(6), -- io data en(1) => display_ls_en, -- __I_BIT_TO_BUSPORT -- io_enable en(2) => display_ls_en, -- __I_BIT_TO_BUSPORT -- io_enable en(3) => display_ms_en, -- __I_BIT_TO_BUSPORT -- io_enable en(4) => display_ms_en, -- __I_BIT_TO_BUSPORT -- io_enable nand_dir => nand_dir, -- Direction (X17) nand_in => nand_out_16, -- out to in nand_out => nand_out_17, -- out to in p_di => pad_di_17, -- data in from pad p_do => pad_do_17, -- data out to pad p_en => pad_en_17, -- pad output enable sel(0) => iosel_disp, -- __I_BIT_TO_BUSPORT -- IO_Select sel(1) => iosel_ls_min, -- __I_BIT_TO_BUSPORT -- IO_Select sel(2) => iosel_ls_hr, -- __I_BIT_TO_BUSPORT -- IO_Select sel(3) => iosel_ms_hr, -- __I_BIT_TO_BUSPORT -- IO_Select sel(4) => iosel_ms_min -- __I_BIT_TO_BUSPORT -- IO_Select ); -- End of Generated Instance Port Map for ioc_r_io_17 -- Generated Instance Port Map for ioc_r_io_18 ioc_r_io_18: ioc_r_io port map ( di => di2(7), -- io data do(0) => disp2(7), -- io data do(1) => display_ls_min(6), -- Display storage buffer 0 ls_min do(2) => display_ls_hr(6), -- Display storage buffer 2 ls_hr do(3) => display_ms_hr(6), -- Display storage buffer 3 ms_hr do(4) => display_ms_min(6), -- Display storage buffer 1 ms_min en(0) => disp2_en(7), -- io data en(1) => display_ls_en, -- __I_BIT_TO_BUSPORT -- io_enable en(2) => display_ls_en, -- __I_BIT_TO_BUSPORT -- io_enable en(3) => display_ms_en, -- __I_BIT_TO_BUSPORT -- io_enable en(4) => display_ms_en, -- __I_BIT_TO_BUSPORT -- io_enable nand_dir => nand_dir, -- Direction (X17) nand_in => nand_out_17, -- out to in nand_out => open, -- Last is open p_di => pad_di_18, -- data in from pad p_do => pad_do_18, -- data out to pad p_en => pad_en_18, -- pad output enable sel(0) => iosel_disp, -- __I_BIT_TO_BUSPORT -- IO_Select sel(1) => iosel_ls_min, -- __I_BIT_TO_BUSPORT -- IO_Select sel(2) => iosel_ls_hr, -- __I_BIT_TO_BUSPORT -- IO_Select sel(3) => iosel_ms_hr, -- __I_BIT_TO_BUSPORT -- IO_Select sel(4) => iosel_ms_min -- __I_BIT_TO_BUSPORT -- IO_Select ); -- End of Generated Instance Port Map for ioc_r_io_18 end rtl; -- --!End of Architecture/s -- --------------------------------------------------------------
architecture Struct of TbdFIR is component Audio is port ( reset_reset_n : in std_logic := 'X'; -- reset_n clk_clk : in std_logic := 'X'; -- clk audio_clk_clk : out std_logic; -- clk i2s_adcdat : in std_logic := 'X'; -- adcdat i2s_adclrck : in std_logic := 'X'; -- adclrck i2s_bclk : in std_logic := 'X'; -- bclk i2s_dacdat : out std_logic; -- dacdat i2s_daclrck : in std_logic := 'X'; -- daclrck i2c_SDAT : inout std_logic := 'X'; -- SDAT i2c_SCLK : out std_logic -- SCLK ); end component Audio; begin -- architecture Struct u0 : component Audio port map ( reset_reset_n => KEY(0), -- reset.reset_n clk_clk => CLOCK_50, -- clk.clk audio_clk_clk => AUD_XCK, -- audio_clk.clk i2s_adcdat => AUD_ADCDAT, -- i2s.adcdat i2s_adclrck => AUD_ADCLRCK, -- .adclrck i2s_bclk => AUD_BCLK, -- .bclk i2s_dacdat => AUD_DACDAT, -- .dacdat i2s_daclrck => AUD_DACLRCK, -- .daclrck i2c_SDAT => FPGA_I2C_SDAT, -- i2c.SDAT i2c_SCLK => FPGA_I2C_SCLK -- .SCLK ); end architecture Struct;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity node_port is generic (WIDTH: integer := 8); port ( I_clk : in STD_LOGIC; I_reset : in STD_LOGIC; I_writeEnable: in STD_LOGIC; I_readEnable: in STD_LOGIC; I_dataIn : in STD_LOGIC_VECTOR (WIDTH-1 downto 0); O_dataOut : out STD_LOGIC_VECTOR (WIDTH-1 downto 0); O_dataOutValid : out STD_LOGIC); -- TODO: Check if this is actually needed. We can reset the O_dataOut(0) to Z or U instead. end node_port; architecture Behavioral of node_port is type state_type is (S_EMPTY, S_WAITING_READ, S_WAITING_WRITE); signal state: state_type; signal data: STD_LOGIC_VECTOR (WIDTH - 1 downto 0); begin state_proc: process (I_clk, I_reset) begin if (I_reset = '1') then state <= S_EMPTY; O_dataOut <= (others => '0'); O_dataOutValid <= '0'; elsif (rising_edge(I_clk)) then -- Always reset the O_dataOutValid output. The reading node had its chance for a whole clock cycle. -- Hope it's enough :) O_dataOutValid <= '0'; case state is when S_EMPTY => report "PORT is EMPTY " & std_logic'image(I_writeEnable) & " " & std_logic'image(I_readEnable); -- Port is EMPTY. The worst case scenario at this point is one node to write -- and the other to read, at the same time. Favor writes over reads (1 cycle less to complete the transaction). if (I_writeEnable = '1') then data <= I_dataIn; state <= S_WAITING_READ; elsif (I_readEnable = '1') then state <= S_WAITING_WRITE; end if; when S_WAITING_READ => -- There are 2 ways to end up here. -- 1) The port was EMPTY and the first request was for a write. -- In this case the data is valid (already stored in the EMPTY state) so if there's a pending read -- we can safely output the data (and set the valid bit to 1). -- 2) The port was EMPTY and the first request was for a read. -- In this case the port already got through S_WAITING_WRITE, the data is valid, so if there's still -- a pending read request, we can output the data and set the valid bit to 1). if (I_readEnable = '1') then O_dataOut <= data; O_dataOutValid <= '1'; state <= S_EMPTY; end if; when S_WAITING_WRITE => -- The only way to end up here is if a port was empty, and a node tried to read from it. -- It might be possible to do everything in one cycle, but in order to keep things simple -- I decided to delegate (is this the right word?) the read request to the corresponding state. -- There's a chance the reader lowered the I_readEnable bit in the meantime (it's not correct -- behaviour but it might happen). if (I_writeEnable = '1') then data <= I_dataIn; state <= S_WAITING_READ; end if; end case; end if; end process; end Behavioral;
------------------------------------------------------------------------------ ---- ---- ---- gmzpu interrupt line component testbench ---- ---- ---- ---- http://github.com/sonologic/gmzpu ---- ---- ---- ---- Description: ---- ---- This is the testbench for the gmZPU core ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Salvador E. Tropea, salvador inti.gob.ar ---- ---- - "Koen Martens" <gmc sonologic.nl> ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- Copyright (c) 2014 Koen Martens ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: zwishbone_TB ---- ---- File name: gmzpu_tb.vhdl ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: zpu ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- Target FPGA: n/a ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Modelsim ---- ---- Simulation tools: Modelsim ---- ---- Text editor: vim ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library gmzpu; use gmzpu.pic; entity interrupt_line_TB is end entity interrupt_line_TB; architecture Behave of interrupt_line_TB is constant CLK_FREQ : positive:=50; -- 50 MHz clock constant CLK_S_PER : time:=1 us/(2.0*real(CLK_FREQ)); -- Clock semi period component interrupt_line is port ( clk_i : in std_logic; int_i : in std_logic; irq_o : out std_logic; icr_o : out std_logic; icr_i : in std_logic; imr_i : in std_logic; ier_i : in std_logic; itr_i : in std_logic; we_i : in std_logic ); end component interrupt_line; type sample is record -- inputs int_i : std_logic; icr_i : std_logic; imr_i : std_logic; ier_i : std_logic; itr_i : std_logic; we_i : std_logic; -- outputs irq_o : std_logic; icr_o : std_logic; end record; type sample_array is array(natural range <>) of sample; constant test_data : sample_array := ( -- int icr imr ier itr we irq icr -- reset ('0','0','0','0','0','1', '0','0'), ('0','0','0','0','0','0', '0','0'), ('0','0','0','0','0','0', '0','0'), -- assert imr, then int_i ('0','0','1','0','0','0', '0','0'), ('1','0','1','0','0','0', '1','1'), ('0','0','1','0','0','0', '1','1'), ('0','0','1','0','0','0', '1','1'), ('0','0','0','0','0','1', '0','0'), ('0','0','0','0','0','0', '0','0'), -- rising edge ('0','0','0','0','0','0', '0','0'), ('0','0','0','0','0','0', '0','0'), ('1','0','0','0','0','0', '0','1'), ('1','0','0','0','0','0', '0','1'), ('1','0','0','0','0','0', '0','1'), ('1','0','0','0','0','0', '0','1'), ('1','0','0','0','0','1', '0','0'), ('1','0','0','0','0','0', '0','0'), ('1','0','0','0','0','0', '0','0'), ('0','0','0','0','0','0', '0','0'), ('0','0','0','0','0','0', '0','0'), -- falling edge ('0','0','0','1','0','0', '0','0'), ('0','0','0','1','0','0', '0','0'), ('1','0','0','1','0','0', '0','0'), ('1','0','0','1','0','0', '0','0'), ('1','0','0','1','0','0', '0','0'), ('1','0','0','1','0','0', '0','0'), ('1','0','0','1','0','0', '0','0'), ('0','0','0','1','0','0', '0','1'), ('0','0','0','1','0','0', '0','1'), ('0','0','0','1','0','1', '0','0'), ('0','0','0','1','0','0', '0','0'), ('0','0','0','1','0','0', '0','0'), -- int icr imr ier itr we irq icr -- level trig ('0','0','0','0','1','0', '0','0'), ('0','0','0','0','1','0', '0','0'), ('1','0','0','0','1','0', '0','1'), ('1','0','0','0','1','0', '0','1'), ('1','0','0','0','1','0', '0','1'), ('0','0','0','0','1','0', '0','0'), ('0','0','0','0','1','0', '0','0'), -- terminate ('0','0','0','0','0','0', '0','0') ); signal clk : std_logic; signal int_i : std_logic; signal icr_i : std_logic; signal imr_i : std_logic; signal ier_i : std_logic; signal itr_i : std_logic; signal we_i : std_logic; signal irq_o : std_logic; signal icr_o : std_logic; signal valid : std_logic; begin line : interrupt_line port map(int_i => int_i, icr_i => icr_i, imr_i => imr_i, ier_i => ier_i, itr_i => itr_i, we_i => we_i, irq_o => irq_o, icr_o => icr_o, clk_i => clk); process variable cycle_count : integer:=0; begin for i in test_data'range loop int_i <= test_data(i).int_i; icr_i <= test_data(i).icr_i; imr_i <= test_data(i).imr_i; ier_i <= test_data(i).ier_i; itr_i <= test_data(i).itr_i; we_i <= test_data(i).we_i; clk <= '1'; wait for CLK_S_PER; clk <= '0'; wait for CLK_S_PER; valid <= '1'; if irq_o/=test_data(i).irq_o then valid <= 'Z'; end if; if icr_o/=test_data(i).icr_o then valid <= 'Z'; end if; assert (irq_o = test_data(i).irq_o) report "irq_o output mismatch" severity error; assert (icr_o = test_data(i).icr_o) report "icr_o output mismatch" severity error; end loop; clk <= '0'; wait; end process; end architecture Behave;
------------------------------------------------------------------------------------------------------------------------ -- OpenHUB -- -- Copyright (C) 2009 B&R -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Note: RxDv, RxDat0 and RxDat1 have to be synchron to CLK -- ReceivePort return currently active Port -- ------------------------------------------------------------------------------------------------------------------------ -- Version History ------------------------------------------------------------------------------------------------------------------------ -- 2009-08-07 V0.01 Converted from V3.1 to first official version. -- 2011-11-28 V0.02 zelenkaj Changed reset level to high-active ------------------------------------------------------------------------------------------------------------------------ LIBRARY ieee; USE ieee.std_logic_unsigned.ALL; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; ENTITY OpenHUB IS GENERIC ( Ports : integer := 3 ); PORT ( Rst : IN std_logic; Clk : IN std_logic; RxDv : IN std_logic_vector(Ports DOWNTO 1); RxDat0, RxDat1 : IN std_logic_vector(Ports DOWNTO 1); TxEn : OUT std_logic_vector(Ports DOWNTO 1); TxDat0, TxDat1 : OUT std_logic_vector(Ports DOWNTO 1); internPort : IN integer RANGE 1 TO Ports := 1; TransmitMask : IN std_logic_vector(Ports DOWNTO 1) := (OTHERS => '1'); ReceivePort : OUT integer RANGE 0 TO Ports ); END ENTITY OpenHUB; ARCHITECTURE struct OF OpenHUB IS SIGNAL RxDvI, RxDvL : std_logic_vector(Ports DOWNTO 0); SIGNAL RxDatI0, RxDatL0 : std_logic_vector(Ports DOWNTO 0); SIGNAL RxDatI1, RxDatL1 : std_logic_vector(Ports DOWNTO 0); SIGNAL TxEnI : std_logic_vector(Ports DOWNTO 0); SIGNAL TxDatI0 : std_logic_vector(Ports DOWNTO 0); SIGNAL TxDatI1 : std_logic_vector(Ports DOWNTO 0); SIGNAL MasterAtCollNumber : integer RANGE 0 TO Ports; SIGNAL HubActive : boolean; SIGNAL CollStatus : boolean; SIGNAL TransmitMask_L : std_logic_vector(Ports DOWNTO 1); BEGIN RxDvI(Ports DOWNTO 0) <= RxDv(Ports DOWNTO 1) & '0'; RxDatI0(Ports DOWNTO 0) <= RxDat0(Ports DOWNTO 1) & '0'; RxDatI1(Ports DOWNTO 0) <= RxDat1(Ports DOWNTO 1) & '0'; TxEn(Ports DOWNTO 1) <= TxEnI(Ports DOWNTO 1); TxDat0(Ports DOWNTO 1) <= TxDatI0(Ports DOWNTO 1); TxDat1(Ports DOWNTO 1) <= TxDatI1(Ports DOWNTO 1); do: PROCESS (Rst, Clk) VARIABLE Active : boolean; VARIABLE Master : integer RANGE 0 TO Ports; VARIABLE Master_at_Coll : integer RANGE 0 TO Ports; VARIABLE Coll : boolean; VARIABLE RxDvM : std_logic_vector(Ports DOWNTO 0); BEGIN IF Rst = '1' THEN RxDvL <= (OTHERS => '0'); RxDatL0 <= (OTHERS => '0'); RxDatL1 <= (OTHERS => '0'); TxEnI <= (OTHERS => '0'); TxDatI0 <= (OTHERS => '0'); TxDatI1 <= (OTHERS => '0'); Active := false; Master := 0; Master_at_Coll := 0; Coll := false; TransmitMask_L <= (OTHERS => '1'); ELSIF rising_edge(Clk) THEN RxDvL <= RxDvI; RxDatL0 <= RxDatI0; RxDatL1 <= RxDatI1; IF Active = false THEN IF RxDvL /= 0 THEN FOR i IN 1 TO Ports LOOP IF RxDvL(i) = '1' AND (RxDatL0(i) = '1' OR RxDatL1(i) = '1') THEN Master := i; Active := true; EXIT; END IF; END LOOP; END IF; ELSE IF RxDvL(Master) = '0' AND RxDvI(Master) = '0' THEN Master := 0; END IF; IF RxDvL = 0 AND RxDvI = 0 THEN Active := false; END IF; END IF; IF Master = 0 THEN TxEnI <= (OTHERS => '0'); TxDatI0 <= (OTHERS => '0'); TxDatI1 <= (OTHERS => '0'); -- Overtake new TransmitMask only, when there is no active frame. TransmitMask_L <= TransmitMask; ELSE FOR i IN 1 TO Ports LOOP -- output received frame to every port IF i /= Master THEN -- but not to the port where it is coming from - "eh kloar!" -- only send data to active ports (=> TransmitMask is set to '1') or the internal Port (Mac) IF TransmitMask_L(i) = '1' OR Master = internPort THEN TxEnI(i) <= '1'; TxDatI0(i) <= RxDatL0(Master); TxDatI1(i) <= RxDatL1(Master); END IF; -- If there is a frame received and another is sent => collision! IF RxDvL(i) = '1' THEN Coll := true; Master_at_Coll := Master; END IF; END IF; END LOOP; END IF; IF Coll = true THEN TxEnI(Master_at_Coll) <= '1'; TxDatI0(Master_at_Coll) <= '1'; TxDatI1(Master_at_Coll) <= '0'; RxDvM := RxDvL; RxDvM(Master_at_Coll) := '0'; IF RxDvM = 0 THEN TxEnI(Master_at_Coll) <= '0'; TxDatI0(Master_at_Coll) <= '0'; TxDatI1(Master_at_Coll) <= '0'; Coll := false; Master_at_Coll := 0; END IF; END IF; END IF; HubActive <= Active; MasterAtCollNumber <= Master_at_Coll; CollStatus <= Coll; -- Output the Master Port - identifies the port (1...n) which has received the packet. -- If Master is 0, the Hub is inactive. ReceivePort <= Master; END PROCESS do; END struct;
------------------------------------------------------------------------------------------------------------------------ -- OpenHUB -- -- Copyright (C) 2009 B&R -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Note: RxDv, RxDat0 and RxDat1 have to be synchron to CLK -- ReceivePort return currently active Port -- ------------------------------------------------------------------------------------------------------------------------ -- Version History ------------------------------------------------------------------------------------------------------------------------ -- 2009-08-07 V0.01 Converted from V3.1 to first official version. -- 2011-11-28 V0.02 zelenkaj Changed reset level to high-active ------------------------------------------------------------------------------------------------------------------------ LIBRARY ieee; USE ieee.std_logic_unsigned.ALL; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; ENTITY OpenHUB IS GENERIC ( Ports : integer := 3 ); PORT ( Rst : IN std_logic; Clk : IN std_logic; RxDv : IN std_logic_vector(Ports DOWNTO 1); RxDat0, RxDat1 : IN std_logic_vector(Ports DOWNTO 1); TxEn : OUT std_logic_vector(Ports DOWNTO 1); TxDat0, TxDat1 : OUT std_logic_vector(Ports DOWNTO 1); internPort : IN integer RANGE 1 TO Ports := 1; TransmitMask : IN std_logic_vector(Ports DOWNTO 1) := (OTHERS => '1'); ReceivePort : OUT integer RANGE 0 TO Ports ); END ENTITY OpenHUB; ARCHITECTURE struct OF OpenHUB IS SIGNAL RxDvI, RxDvL : std_logic_vector(Ports DOWNTO 0); SIGNAL RxDatI0, RxDatL0 : std_logic_vector(Ports DOWNTO 0); SIGNAL RxDatI1, RxDatL1 : std_logic_vector(Ports DOWNTO 0); SIGNAL TxEnI : std_logic_vector(Ports DOWNTO 0); SIGNAL TxDatI0 : std_logic_vector(Ports DOWNTO 0); SIGNAL TxDatI1 : std_logic_vector(Ports DOWNTO 0); SIGNAL MasterAtCollNumber : integer RANGE 0 TO Ports; SIGNAL HubActive : boolean; SIGNAL CollStatus : boolean; SIGNAL TransmitMask_L : std_logic_vector(Ports DOWNTO 1); BEGIN RxDvI(Ports DOWNTO 0) <= RxDv(Ports DOWNTO 1) & '0'; RxDatI0(Ports DOWNTO 0) <= RxDat0(Ports DOWNTO 1) & '0'; RxDatI1(Ports DOWNTO 0) <= RxDat1(Ports DOWNTO 1) & '0'; TxEn(Ports DOWNTO 1) <= TxEnI(Ports DOWNTO 1); TxDat0(Ports DOWNTO 1) <= TxDatI0(Ports DOWNTO 1); TxDat1(Ports DOWNTO 1) <= TxDatI1(Ports DOWNTO 1); do: PROCESS (Rst, Clk) VARIABLE Active : boolean; VARIABLE Master : integer RANGE 0 TO Ports; VARIABLE Master_at_Coll : integer RANGE 0 TO Ports; VARIABLE Coll : boolean; VARIABLE RxDvM : std_logic_vector(Ports DOWNTO 0); BEGIN IF Rst = '1' THEN RxDvL <= (OTHERS => '0'); RxDatL0 <= (OTHERS => '0'); RxDatL1 <= (OTHERS => '0'); TxEnI <= (OTHERS => '0'); TxDatI0 <= (OTHERS => '0'); TxDatI1 <= (OTHERS => '0'); Active := false; Master := 0; Master_at_Coll := 0; Coll := false; TransmitMask_L <= (OTHERS => '1'); ELSIF rising_edge(Clk) THEN RxDvL <= RxDvI; RxDatL0 <= RxDatI0; RxDatL1 <= RxDatI1; IF Active = false THEN IF RxDvL /= 0 THEN FOR i IN 1 TO Ports LOOP IF RxDvL(i) = '1' AND (RxDatL0(i) = '1' OR RxDatL1(i) = '1') THEN Master := i; Active := true; EXIT; END IF; END LOOP; END IF; ELSE IF RxDvL(Master) = '0' AND RxDvI(Master) = '0' THEN Master := 0; END IF; IF RxDvL = 0 AND RxDvI = 0 THEN Active := false; END IF; END IF; IF Master = 0 THEN TxEnI <= (OTHERS => '0'); TxDatI0 <= (OTHERS => '0'); TxDatI1 <= (OTHERS => '0'); -- Overtake new TransmitMask only, when there is no active frame. TransmitMask_L <= TransmitMask; ELSE FOR i IN 1 TO Ports LOOP -- output received frame to every port IF i /= Master THEN -- but not to the port where it is coming from - "eh kloar!" -- only send data to active ports (=> TransmitMask is set to '1') or the internal Port (Mac) IF TransmitMask_L(i) = '1' OR Master = internPort THEN TxEnI(i) <= '1'; TxDatI0(i) <= RxDatL0(Master); TxDatI1(i) <= RxDatL1(Master); END IF; -- If there is a frame received and another is sent => collision! IF RxDvL(i) = '1' THEN Coll := true; Master_at_Coll := Master; END IF; END IF; END LOOP; END IF; IF Coll = true THEN TxEnI(Master_at_Coll) <= '1'; TxDatI0(Master_at_Coll) <= '1'; TxDatI1(Master_at_Coll) <= '0'; RxDvM := RxDvL; RxDvM(Master_at_Coll) := '0'; IF RxDvM = 0 THEN TxEnI(Master_at_Coll) <= '0'; TxDatI0(Master_at_Coll) <= '0'; TxDatI1(Master_at_Coll) <= '0'; Coll := false; Master_at_Coll := 0; END IF; END IF; END IF; HubActive <= Active; MasterAtCollNumber <= Master_at_Coll; CollStatus <= Coll; -- Output the Master Port - identifies the port (1...n) which has received the packet. -- If Master is 0, the Hub is inactive. ReceivePort <= Master; END PROCESS do; END struct;
------------------------------------------------------------------------------------------------------------------------ -- OpenHUB -- -- Copyright (C) 2009 B&R -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Note: RxDv, RxDat0 and RxDat1 have to be synchron to CLK -- ReceivePort return currently active Port -- ------------------------------------------------------------------------------------------------------------------------ -- Version History ------------------------------------------------------------------------------------------------------------------------ -- 2009-08-07 V0.01 Converted from V3.1 to first official version. -- 2011-11-28 V0.02 zelenkaj Changed reset level to high-active ------------------------------------------------------------------------------------------------------------------------ LIBRARY ieee; USE ieee.std_logic_unsigned.ALL; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; ENTITY OpenHUB IS GENERIC ( Ports : integer := 3 ); PORT ( Rst : IN std_logic; Clk : IN std_logic; RxDv : IN std_logic_vector(Ports DOWNTO 1); RxDat0, RxDat1 : IN std_logic_vector(Ports DOWNTO 1); TxEn : OUT std_logic_vector(Ports DOWNTO 1); TxDat0, TxDat1 : OUT std_logic_vector(Ports DOWNTO 1); internPort : IN integer RANGE 1 TO Ports := 1; TransmitMask : IN std_logic_vector(Ports DOWNTO 1) := (OTHERS => '1'); ReceivePort : OUT integer RANGE 0 TO Ports ); END ENTITY OpenHUB; ARCHITECTURE struct OF OpenHUB IS SIGNAL RxDvI, RxDvL : std_logic_vector(Ports DOWNTO 0); SIGNAL RxDatI0, RxDatL0 : std_logic_vector(Ports DOWNTO 0); SIGNAL RxDatI1, RxDatL1 : std_logic_vector(Ports DOWNTO 0); SIGNAL TxEnI : std_logic_vector(Ports DOWNTO 0); SIGNAL TxDatI0 : std_logic_vector(Ports DOWNTO 0); SIGNAL TxDatI1 : std_logic_vector(Ports DOWNTO 0); SIGNAL MasterAtCollNumber : integer RANGE 0 TO Ports; SIGNAL HubActive : boolean; SIGNAL CollStatus : boolean; SIGNAL TransmitMask_L : std_logic_vector(Ports DOWNTO 1); BEGIN RxDvI(Ports DOWNTO 0) <= RxDv(Ports DOWNTO 1) & '0'; RxDatI0(Ports DOWNTO 0) <= RxDat0(Ports DOWNTO 1) & '0'; RxDatI1(Ports DOWNTO 0) <= RxDat1(Ports DOWNTO 1) & '0'; TxEn(Ports DOWNTO 1) <= TxEnI(Ports DOWNTO 1); TxDat0(Ports DOWNTO 1) <= TxDatI0(Ports DOWNTO 1); TxDat1(Ports DOWNTO 1) <= TxDatI1(Ports DOWNTO 1); do: PROCESS (Rst, Clk) VARIABLE Active : boolean; VARIABLE Master : integer RANGE 0 TO Ports; VARIABLE Master_at_Coll : integer RANGE 0 TO Ports; VARIABLE Coll : boolean; VARIABLE RxDvM : std_logic_vector(Ports DOWNTO 0); BEGIN IF Rst = '1' THEN RxDvL <= (OTHERS => '0'); RxDatL0 <= (OTHERS => '0'); RxDatL1 <= (OTHERS => '0'); TxEnI <= (OTHERS => '0'); TxDatI0 <= (OTHERS => '0'); TxDatI1 <= (OTHERS => '0'); Active := false; Master := 0; Master_at_Coll := 0; Coll := false; TransmitMask_L <= (OTHERS => '1'); ELSIF rising_edge(Clk) THEN RxDvL <= RxDvI; RxDatL0 <= RxDatI0; RxDatL1 <= RxDatI1; IF Active = false THEN IF RxDvL /= 0 THEN FOR i IN 1 TO Ports LOOP IF RxDvL(i) = '1' AND (RxDatL0(i) = '1' OR RxDatL1(i) = '1') THEN Master := i; Active := true; EXIT; END IF; END LOOP; END IF; ELSE IF RxDvL(Master) = '0' AND RxDvI(Master) = '0' THEN Master := 0; END IF; IF RxDvL = 0 AND RxDvI = 0 THEN Active := false; END IF; END IF; IF Master = 0 THEN TxEnI <= (OTHERS => '0'); TxDatI0 <= (OTHERS => '0'); TxDatI1 <= (OTHERS => '0'); -- Overtake new TransmitMask only, when there is no active frame. TransmitMask_L <= TransmitMask; ELSE FOR i IN 1 TO Ports LOOP -- output received frame to every port IF i /= Master THEN -- but not to the port where it is coming from - "eh kloar!" -- only send data to active ports (=> TransmitMask is set to '1') or the internal Port (Mac) IF TransmitMask_L(i) = '1' OR Master = internPort THEN TxEnI(i) <= '1'; TxDatI0(i) <= RxDatL0(Master); TxDatI1(i) <= RxDatL1(Master); END IF; -- If there is a frame received and another is sent => collision! IF RxDvL(i) = '1' THEN Coll := true; Master_at_Coll := Master; END IF; END IF; END LOOP; END IF; IF Coll = true THEN TxEnI(Master_at_Coll) <= '1'; TxDatI0(Master_at_Coll) <= '1'; TxDatI1(Master_at_Coll) <= '0'; RxDvM := RxDvL; RxDvM(Master_at_Coll) := '0'; IF RxDvM = 0 THEN TxEnI(Master_at_Coll) <= '0'; TxDatI0(Master_at_Coll) <= '0'; TxDatI1(Master_at_Coll) <= '0'; Coll := false; Master_at_Coll := 0; END IF; END IF; END IF; HubActive <= Active; MasterAtCollNumber <= Master_at_Coll; CollStatus <= Coll; -- Output the Master Port - identifies the port (1...n) which has received the packet. -- If Master is 0, the Hub is inactive. ReceivePort <= Master; END PROCESS do; END struct;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_15_dlxstsv.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $ -- $Revision: 1.1.1.1 $ -- -- --------------------------------------------------------------------- configuration dlx_test_verifier of dlx_test is for verifier for cg : clock_gen use entity work.clock_gen(behavior) generic map ( Tpw => 8 ns, Tps => 2 ns ); end for; for mem : memory use entity work.memory(preloaded) generic map ( mem_size => 65536, Tac_first => 95 ns, Tac_burst => 35 ns, Tpd_clk_out => 2 ns ); end for; for proc_behav : dlx use entity work.dlx(behavior) generic map ( Tpd_clk_out => 2 ns, debug => trace_each_step ); end for; for proc_rtl : dlx use configuration work.dlx_rtl generic map ( Tpd_clk_out => 2 ns, debug => trace_each_step ); end for; end for; -- verifier of dlx_test end configuration dlx_test_verifier;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_15_dlxstsv.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $ -- $Revision: 1.1.1.1 $ -- -- --------------------------------------------------------------------- configuration dlx_test_verifier of dlx_test is for verifier for cg : clock_gen use entity work.clock_gen(behavior) generic map ( Tpw => 8 ns, Tps => 2 ns ); end for; for mem : memory use entity work.memory(preloaded) generic map ( mem_size => 65536, Tac_first => 95 ns, Tac_burst => 35 ns, Tpd_clk_out => 2 ns ); end for; for proc_behav : dlx use entity work.dlx(behavior) generic map ( Tpd_clk_out => 2 ns, debug => trace_each_step ); end for; for proc_rtl : dlx use configuration work.dlx_rtl generic map ( Tpd_clk_out => 2 ns, debug => trace_each_step ); end for; end for; -- verifier of dlx_test end configuration dlx_test_verifier;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_15_dlxstsv.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $ -- $Revision: 1.1.1.1 $ -- -- --------------------------------------------------------------------- configuration dlx_test_verifier of dlx_test is for verifier for cg : clock_gen use entity work.clock_gen(behavior) generic map ( Tpw => 8 ns, Tps => 2 ns ); end for; for mem : memory use entity work.memory(preloaded) generic map ( mem_size => 65536, Tac_first => 95 ns, Tac_burst => 35 ns, Tpd_clk_out => 2 ns ); end for; for proc_behav : dlx use entity work.dlx(behavior) generic map ( Tpd_clk_out => 2 ns, debug => trace_each_step ); end for; for proc_rtl : dlx use configuration work.dlx_rtl generic map ( Tpd_clk_out => 2 ns, debug => trace_each_step ); end for; end for; -- verifier of dlx_test end configuration dlx_test_verifier;
-- ************************************************************************** -- ComFlowFifo -- ************************************************************************** -- -- 16/10/2014 - creation -------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity com_flow_fifo_rx is generic ( FIFO_DEPTH : POSITIVE := 1024; FLOW_ID : INTEGER := 1; IN_SIZE : POSITIVE := 16; OUT_SIZE : POSITIVE := 16 ); port ( clk_hal : in std_logic; clk_proc : in std_logic; rst_n : in std_logic; data_wr_i : in std_logic; data_i : in std_logic_vector(IN_SIZE-1 downto 0); rdreq_i : in std_logic; pktend_i : in std_logic; enable_i : in std_logic; data_o : out std_logic_vector(OUT_SIZE-1 downto 0); flow_rdy_o : out std_logic; f_empty_o : out std_logic; fifos_f_o : out std_logic; flag_o : out std_logic_vector(7 downto 0) ); end com_flow_fifo_rx; architecture rtl of com_flow_fifo_rx is --------------------------------------------------------- -- COMPONENT DECLARATION --------------------------------------------------------- component fifo_com_rx IS generic ( DEPTH : POSITIVE := FIFO_DEPTH; IN_SIZE : POSITIVE; OUT_SIZE : POSITIVE ); port ( aclr : in std_logic := '0'; data : in std_logic_vector(IN_SIZE-1 downto 0); rdclk : in std_logic; rdreq : in std_logic; wrclk : in std_logic; wrreq : in std_logic; q : out std_logic_vector(OUT_SIZE-1 downto 0); rdempty : out std_logic; wrfull : out std_logic ); end component; component synchronizer generic ( CDC_SYNC_FF_CHAIN_DEPTH: integer := 2 -- CDC Flip flop Chain depth ); port ( signal_i : in std_logic; signal_o : out std_logic; clk_i : in std_logic; clk_o : in std_logic ); end component; --------------------------------------------------------- -- SIGNALS --------------------------------------------------------- ------------- -- FIFO 1 SIGNALS ------------- signal fifo_1_data_s : std_logic_vector(IN_SIZE-1 downto 0) := (others=>'0'); signal fifo_1_wrclk_s : std_logic := '0'; signal fifo_1_wrreq_s : std_logic := '0'; signal fifo_1_wrfull_s : std_logic := '0'; signal fifo_1_q_s : std_logic_vector(OUT_SIZE-1 downto 0) := (others=>'0'); signal fifo_1_rdclk_s : std_logic := '0'; signal fifo_1_rdreq_s : std_logic := '0'; signal fifo_1_rdempty_s : std_logic := '0'; -- registers signal fifo_1_readable : std_logic := '0'; signal fifo_1_rdempty_r : std_logic := '0'; signal fifo_1_rdempty_rr : std_logic := '0'; signal flag_fifo1 : std_logic_vector(7 downto 0) := (others=>'0'); signal fifo_1_aclr_s : std_logic :='0'; ------------- -- FIFO 2 SIGNALS ------------- signal fifo_2_data_s : std_logic_vector(IN_SIZE-1 downto 0) := (others=>'0'); signal fifo_2_wrclk_s : std_logic := '0'; signal fifo_2_wrreq_s : std_logic := '0'; signal fifo_2_wrfull_s : std_logic := '0'; signal fifo_2_q_s : std_logic_vector(OUT_SIZE-1 downto 0) := (others=>'0'); signal fifo_2_rdclk_s : std_logic := '0'; signal fifo_2_rdreq_s : std_logic := '0'; signal fifo_2_rdempty_s : std_logic := '0'; signal fifo_2_aclr_s : std_logic := '0'; -- registers signal fifo_2_readable : std_logic := '0'; signal fifo_2_rdempty_r : std_logic := '0'; signal fifo_2_rdempty_rr : std_logic := '0'; signal flag_fifo2 : std_logic_vector(7 downto 0) := (others=>'0'); ------------- -- FSM Signal ------------- type fsm_state_t is (Idle, Flag8, DecodeFN, DecodeFN8, DecodeFN8_low, ReceivePacket, SwapFifos, Full, tmp); signal fsm_state : fsm_state_t := Idle; -- mux/demux fifos signal fifo_sel : std_logic:= '0'; -- flag signal data_wr_r : std_logic:= '0'; signal data_wr_r2 : std_logic:= '0'; signal frame_number : std_logic_vector(15 downto 0) := (others=>'0'); signal cur_fifo_wrreq_s : std_logic := '0'; signal cur_fifo_data_s : std_logic_vector(IN_SIZE-1 downto 0) := (others=>'0'); signal cur_fifo_readable : std_logic := '0'; signal cur_fifo_readable_r : std_logic := '0'; signal other_fifo_readable : std_logic := '0'; signal cur_fifo_full_s : std_logic := '0'; signal flow_rdy_s : std_logic := '0'; begin ------- -- MAP CLK ------- fifo_1_wrclk_s <= clk_hal; fifo_2_wrclk_s <= clk_hal; fifo_1_rdclk_s <= clk_proc; fifo_2_rdclk_s <= clk_proc; flow_rdy_s <= fifo_1_readable or fifo_2_readable; FIFO_1 : fifo_com_rx generic map ( DEPTH => FIFO_DEPTH, IN_SIZE => IN_SIZE, OUT_SIZE => OUT_SIZE ) port map ( aclr => fifo_1_aclr_s, data => fifo_1_data_s, rdclk => fifo_1_rdclk_s, rdreq => fifo_1_rdreq_s, wrclk => fifo_1_wrclk_s, wrreq => fifo_1_wrreq_s, q => fifo_1_q_s, rdempty => fifo_1_rdempty_s, wrfull => fifo_1_wrfull_s ); FIFO_2 : fifo_com_rx generic map ( DEPTH => FIFO_DEPTH, IN_SIZE => IN_SIZE, OUT_SIZE => OUT_SIZE ) port map ( aclr => fifo_2_aclr_s, data => fifo_2_data_s, rdclk => fifo_2_rdclk_s, rdreq => fifo_2_rdreq_s, wrclk => fifo_2_wrclk_s, wrreq => fifo_2_wrreq_s, q => fifo_2_q_s, rdempty => fifo_2_rdempty_s, wrfull => fifo_2_wrfull_s ); -- CDC Synchronizer Sync_inst : component synchronizer generic map ( CDC_SYNC_FF_CHAIN_DEPTH => 2 ) port map ( clk_i => clk_hal, clk_o => clk_proc, signal_i => flow_rdy_s, signal_o => flow_rdy_o ); fifo_1_aclr_s <= not(rst_n or enable_i); fifo_2_aclr_s <= not(rst_n or enable_i); FSM : process (clk_hal, rst_n) begin if (rst_n = '0') then cur_fifo_wrreq_s <= '0'; fifos_f_o <='0'; cur_fifo_readable <= '0'; fifo_sel <= '0'; fsm_state <= Idle; -- flag_s <= (others=>'0'); -- data_wr_r <='0'; frame_number <= (others=>'0'); elsif (rising_edge(clk_hal)) then data_wr_r <= data_wr_i; data_wr_r2 <= data_wr_r; case fsm_state is when Idle => -- si un packet vient de l USB if (enable_i='1' and data_wr_r ='0' and data_wr_i ='1') then -- flag_s <= data_i; le flag est gere dans un process specifique -- on check si le paquet est pour nous if (data_i(IN_SIZE-1 downto IN_SIZE-8) = std_logic_vector(to_unsigned(FLOW_ID,8)) ) then if(IN_SIZE = 16) then fsm_state <= DecodeFN; else fsm_state <= Flag8; end if; else fsm_state <= Idle; end if; end if; when Flag8 => fsm_state <= DecodeFN8; -- on lit le frane number when DecodeFN => frame_number(IN_SIZE-1 downto 0) <= data_i; fsm_state <= ReceivePacket; when DecodeFN8 => frame_number(15 downto 8) <= data_i(7 downto 0); fsm_state <= DecodeFN8_low; when DecodeFN8_low => frame_number(7 downto 0) <= data_i(7 downto 0); fsm_state <= ReceivePacket; -- reception du packet USB when ReceivePacket => cur_fifo_wrreq_s <= '1'; -- on ecrit la fifo courante cur_fifo_data_s <= data_i; if (cur_fifo_full_s = '1' or pktend_i = '1') then -- si le paquet est arrive on indique que -- la fifo courante est disponible à la lecture en sortie cur_fifo_readable <= '1'; cur_fifo_wrreq_s <= '0'; -- deassert cur_fifo_wrreq -- si les deux fifos sont full => etat FULL if (other_fifo_readable ='1') then fsm_state <= Full; else -- sinon on swap les deux fifos -- fifo_sel <= not (fifo_sel); -- fsm_state <= Idle; fsm_state <= SwapFifos; end if; end if; when SwapFifos => cur_fifo_readable <= '0'; fifo_sel <= not (fifo_sel); fsm_state <= Idle; when Full => fifos_f_o <= '1'; if (other_fifo_readable='0') then -- fifo_sel <= not (fifo_sel); -- fsm_state <= tmp; fifos_f_o <= '0'; cur_fifo_readable <= '0'; fifo_sel <= not (fifo_sel); fsm_state <= Idle; end if; -- TODO A enlever: creer un coup d'horloge d'attente apres une fin de full when tmp => fifos_f_o <='0'; cur_fifo_readable <= '0'; fifo_sel <= not (fifo_sel); fsm_state <= Idle; end case; end if; end process; -- Gere l'etat des flags fifos pretes a etre lues READABLE_PROCESS : process(clk_hal, rst_n) begin if (rst_n = '0') then fifo_1_readable <='0'; fifo_2_readable <='0'; elsif rising_edge(clk_hal) then -- register values for rising/falling edge detection on signals fifo_1_rdempty_r <= fifo_1_rdempty_s; fifo_1_rdempty_rr <= fifo_1_rdempty_r; -- double registert to prevent for CDC metastability fifo_2_rdempty_r <= fifo_2_rdempty_s; fifo_2_rdempty_rr <= fifo_2_rdempty_r; --~ if (fifo_1_rdempty_r ='0' and fifo_1_rdempty_s='1') then if (fifo_1_rdempty_rr ='0' and fifo_1_rdempty_r='1') then fifo_1_readable <= '0'; end if; --~ if (fifo_2_rdempty_r ='0' and fifo_2_rdempty_s='1') then if (fifo_2_rdempty_rr ='0' and fifo_2_rdempty_r='1') then fifo_2_readable <= '0'; end if; case (fifo_sel) is -- mise a jour when '0' => fifo_1_readable <= cur_fifo_readable; -- fifo_2_readable <= fifo_2_readable; when '1' => -- fifo_1_readable <= fifo_1_readable; fifo_2_readable <= cur_fifo_readable; when others => fifo_1_readable <= '0'; fifo_2_readable <= '0'; end case; end if; end process; -- FLAG_PROCESS : process(clk_hal, rst_n) begin if (rst_n = '0') then flag_fifo1 <= (others=>'0'); flag_fifo2 <= (others=>'0'); elsif rising_edge(clk_hal) then --data_wr_r <= data_wr_i; -- deja fait dans le FSM Process if ((data_wr_r ='0' and data_wr_i = '1' and IN_SIZE=16) or (data_wr_r2 ='0' and data_wr_i = '1' and IN_SIZE=8)) then case (fifo_sel) is -- mise a jour when '0' => -- le flag est situé dans les 8 LSB du premier mot qui arrive dans l'USB flag_fifo1 <= data_i(7 downto 0); when '1' => flag_fifo2 <= data_i(7 downto 0); when others => flag_fifo1 <= (others=>'0'); flag_fifo2 <= (others=>'0'); end case; else flag_fifo1 <= flag_fifo1; flag_fifo2 <= flag_fifo2; end if; end if; end process; -- en cas de dysfonctionnement, gerer le flag_o dans le process FLAG_PROCESS -- utiliser le signal de lecture pour mettre à jour le registre flag_o with fifo_sel select flag_o <= flag_fifo1 when '1', flag_fifo2 when '0', (others=>'0') when others; -- fifos connection according to sel position FIFO_SEL_MUX : process (fifo_sel,cur_fifo_data_s,cur_fifo_wrreq_s,fifo_1_readable,fifo_2_readable,fifo_1_wrfull_s,fifo_2_wrfull_s,rdreq_i,fifo_1_q_s,fifo_2_q_s,fifo_1_rdempty_s,fifo_2_rdempty_s) begin case (fifo_sel) is when '0' => fifo_1_wrreq_s <= cur_fifo_wrreq_s; fifo_1_data_s <= cur_fifo_data_s; fifo_2_data_s <= (others=>'0'); fifo_2_wrreq_s <= '0'; other_fifo_readable <= fifo_2_readable; cur_fifo_full_s <= fifo_1_wrfull_s; -- Flag et signaux pour lecture dans fifos fifo_1_rdreq_s <= '0'; fifo_2_rdreq_s <= rdreq_i; data_o <= fifo_2_q_s; f_empty_o <= fifo_2_rdempty_s; when '1' => fifo_1_wrreq_s <= '0'; fifo_2_wrreq_s <= cur_fifo_wrreq_s; fifo_1_data_s <= (others=>'0'); fifo_2_data_s <= cur_fifo_data_s; other_fifo_readable <= fifo_1_readable; cur_fifo_full_s <= fifo_2_wrfull_s; -- Flag et signaux pour lecture dans fifos fifo_1_rdreq_s <= rdreq_i; fifo_2_rdreq_s <= '0'; data_o <= fifo_1_q_s; f_empty_o <= fifo_1_rdempty_s; when others => fifo_1_wrreq_s <= cur_fifo_wrreq_s; fifo_2_wrreq_s <= '0'; end case; end process; end rtl;
------------------------------ library ieee; use ieee.std_logic_1164.all; ------------------------------ entity circuit is --generic declarations port ( x: in std_logic_vector(1 downto 0) ; y: out std_logic_vector(1 downto 0) ); end entity; ------------------------------ architecture circuit of circuit is --signals and declarations begin y <= "00" when x = "00" else "10" when x = "01" else "01" when x = "10" else "00"; end architecture; ------------------------------
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:xlslice:1.0 -- IP Revision: 0 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY work; USE work.xlslice; ENTITY RAT_slice_17_13_0 IS PORT ( Din : IN STD_LOGIC_VECTOR(17 DOWNTO 0); Dout : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ); END RAT_slice_17_13_0; ARCHITECTURE RAT_slice_17_13_0_arch OF RAT_slice_17_13_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_slice_17_13_0_arch: ARCHITECTURE IS "yes"; COMPONENT xlslice IS GENERIC ( DIN_WIDTH : INTEGER; DIN_FROM : INTEGER; DIN_TO : INTEGER ); PORT ( Din : IN STD_LOGIC_VECTOR(17 DOWNTO 0); Dout : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ); END COMPONENT xlslice; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF RAT_slice_17_13_0_arch: ARCHITECTURE IS "xlslice,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_slice_17_13_0_arch : ARCHITECTURE IS "RAT_slice_17_13_0,xlslice,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF RAT_slice_17_13_0_arch: ARCHITECTURE IS "RAT_slice_17_13_0,xlslice,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=xlslice,x_ipVersion=1.0,x_ipCoreRevision=0,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,DIN_WIDTH=18,DIN_FROM=1,DIN_TO=0}"; BEGIN U0 : xlslice GENERIC MAP ( DIN_WIDTH => 18, DIN_FROM => 1, DIN_TO => 0 ) PORT MAP ( Din => Din, Dout => Dout ); END RAT_slice_17_13_0_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:xlslice:1.0 -- IP Revision: 0 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY work; USE work.xlslice; ENTITY RAT_slice_17_13_0 IS PORT ( Din : IN STD_LOGIC_VECTOR(17 DOWNTO 0); Dout : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ); END RAT_slice_17_13_0; ARCHITECTURE RAT_slice_17_13_0_arch OF RAT_slice_17_13_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_slice_17_13_0_arch: ARCHITECTURE IS "yes"; COMPONENT xlslice IS GENERIC ( DIN_WIDTH : INTEGER; DIN_FROM : INTEGER; DIN_TO : INTEGER ); PORT ( Din : IN STD_LOGIC_VECTOR(17 DOWNTO 0); Dout : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ); END COMPONENT xlslice; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF RAT_slice_17_13_0_arch: ARCHITECTURE IS "xlslice,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_slice_17_13_0_arch : ARCHITECTURE IS "RAT_slice_17_13_0,xlslice,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF RAT_slice_17_13_0_arch: ARCHITECTURE IS "RAT_slice_17_13_0,xlslice,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=xlslice,x_ipVersion=1.0,x_ipCoreRevision=0,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,DIN_WIDTH=18,DIN_FROM=1,DIN_TO=0}"; BEGIN U0 : xlslice GENERIC MAP ( DIN_WIDTH => 18, DIN_FROM => 1, DIN_TO => 0 ) PORT MAP ( Din => Din, Dout => Dout ); END RAT_slice_17_13_0_arch;
-- NEED RESULT: ARCH00265: An architecture body need not contain concurrent statements passed -- -- TEST NAME: -- -- CT00265 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 1.2.2 (2) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00265) -- ENT00265_Test_Bench(ARCH00265_Test_Bench) -- -- REVISION HISTORY: -- -- 17-JUL-1987 - initial revision -- 11-DEC-1989 - GDT: added wait stmt to process -- -- NOTES: -- -- self-checking -- use WORK.all ; use STANDARD_TYPES.all ; architecture ARCH00265 of E00000 is begin end ARCH00265 ; use WORK.all ; use STANDARD_TYPES.all ; entity ENT00265_Test_Bench is end ENT00265_Test_Bench ; use WORK.all; architecture ARCH00265_Test_Bench of ENT00265_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity E00000 ( ARCH00265 ) ; begin CIS1 : UUT ; process begin test_report ( "ARCH00265" , "An architecture body need not contain concurrent" & " statements" , true ) ; wait ; -- GDT 12-7-89 end process ; end block L1 ; end ARCH00265_Test_Bench ;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2947.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s02b00x00p08n02i02947ent IS END c02s02b00x00p08n02i02947ent; ARCHITECTURE c02s02b00x00p08n02i02947arch OF c02s02b00x00p08n02i02947ent IS procedure proc1 (A:bit; B: out boolean) is begin if A = '1' then B := TRUE; else B := FALSE; end if; -- Failure_here : label must be the same as subprogram identifier end proc; BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c02s02b00x00p08n02i02947 - Designator at the end of subprogram body is not the same as the designator of the subprogram." severity ERROR; wait; END PROCESS TESTING; END c02s02b00x00p08n02i02947arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2947.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s02b00x00p08n02i02947ent IS END c02s02b00x00p08n02i02947ent; ARCHITECTURE c02s02b00x00p08n02i02947arch OF c02s02b00x00p08n02i02947ent IS procedure proc1 (A:bit; B: out boolean) is begin if A = '1' then B := TRUE; else B := FALSE; end if; -- Failure_here : label must be the same as subprogram identifier end proc; BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c02s02b00x00p08n02i02947 - Designator at the end of subprogram body is not the same as the designator of the subprogram." severity ERROR; wait; END PROCESS TESTING; END c02s02b00x00p08n02i02947arch;