content
stringlengths
1
1.04M
-- -- Audio mixer -- -- Copyright 2011 TRSi -- -- Version: 0.1 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- Changelog: -- -- 0.1: First version -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library board; use board.zpuino_config.all; use board.zpu_config.all; use board.zpupkg.all; entity AUDIO_zpuino_sa_audiomixer is port ( clk: in std_logic; rst: in std_logic; ena: in std_logic; data_in1: in std_logic_vector(17 downto 0); data_in2: in std_logic_vector(17 downto 0); data_in3: in std_logic_vector(17 downto 0); audio_out: out std_logic ); end entity AUDIO_zpuino_sa_audiomixer; architecture behave of AUDIO_zpuino_sa_audiomixer is -- divier per input signal cnt_div: std_logic_vector(1 downto 0) := (others => '0'); -- accumulator for each input, on 9 bits, enough for 3 inputs@8bits signal audio_mix: std_logic_vector(19 downto 0) := (others => '0'); -- to store final accumulator value signal audio_final: std_logic_vector(19 downto 0) := (others => '0'); signal current_input: std_logic_vector(17 downto 0) := (others => '0'); signal data_out: std_logic_vector(17 downto 0) := (others => '0'); -- DAC component AUDIO_zpuino_sa_sigmadeltaDAC is generic ( BITS: integer := 18 ); port ( clk_96Mhz: in std_logic; --rst: in std_logic; data_in: in std_logic_vector(BITS-1 downto 0); audio_out: out std_logic ); end component AUDIO_zpuino_sa_sigmadeltaDAC; begin sdo: AUDIO_zpuino_sa_sigmadeltaDAC generic map ( BITS => 18 ) port map ( clk_96Mhz => clk, --rst => rst, data_in => data_out, audio_out => audio_out ); -- divide clock by input channels number p_divider : process begin wait until rising_edge(clk); if (ena = '1') then if (cnt_div = "00") then cnt_div <= "11"; else cnt_div <= cnt_div - "1"; end if; end if; end process; -- assign an input p_chan_mixer : process(cnt_div, data_in1, data_in2, data_in3) begin current_input <= (others => DontCareValue); case cnt_div(1 downto 0) is when "11" => current_input <= data_in1; when "10" => current_input <= data_in2; when "01" => current_input <= data_in3; when "00" => null; -- mix outputs become valid on this clock when others => null; end case; end process; -- mixer process, input by input p_op_mixer : process begin wait until rising_edge(clk); if (ena = '1') then if (cnt_div(1 downto 0) = "00") then audio_mix <= (others => '0'); audio_final <= audio_mix; else audio_mix <= audio_mix + ("00" & current_input); end if; end if; if (rst='1') then data_out(17 downto 0) <= (others => '0'); else if (audio_final(19) = '0') then data_out(17 downto 0) <= audio_final(18 downto 1); else -- clip data_out(17 downto 0) <= "111111111111111111"; end if; end if; end process; end behave;
-- -- Audio mixer -- -- Copyright 2011 TRSi -- -- Version: 0.1 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- Changelog: -- -- 0.1: First version -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library board; use board.zpuino_config.all; use board.zpu_config.all; use board.zpupkg.all; entity AUDIO_zpuino_sa_audiomixer is port ( clk: in std_logic; rst: in std_logic; ena: in std_logic; data_in1: in std_logic_vector(17 downto 0); data_in2: in std_logic_vector(17 downto 0); data_in3: in std_logic_vector(17 downto 0); audio_out: out std_logic ); end entity AUDIO_zpuino_sa_audiomixer; architecture behave of AUDIO_zpuino_sa_audiomixer is -- divier per input signal cnt_div: std_logic_vector(1 downto 0) := (others => '0'); -- accumulator for each input, on 9 bits, enough for 3 inputs@8bits signal audio_mix: std_logic_vector(19 downto 0) := (others => '0'); -- to store final accumulator value signal audio_final: std_logic_vector(19 downto 0) := (others => '0'); signal current_input: std_logic_vector(17 downto 0) := (others => '0'); signal data_out: std_logic_vector(17 downto 0) := (others => '0'); -- DAC component AUDIO_zpuino_sa_sigmadeltaDAC is generic ( BITS: integer := 18 ); port ( clk_96Mhz: in std_logic; --rst: in std_logic; data_in: in std_logic_vector(BITS-1 downto 0); audio_out: out std_logic ); end component AUDIO_zpuino_sa_sigmadeltaDAC; begin sdo: AUDIO_zpuino_sa_sigmadeltaDAC generic map ( BITS => 18 ) port map ( clk_96Mhz => clk, --rst => rst, data_in => data_out, audio_out => audio_out ); -- divide clock by input channels number p_divider : process begin wait until rising_edge(clk); if (ena = '1') then if (cnt_div = "00") then cnt_div <= "11"; else cnt_div <= cnt_div - "1"; end if; end if; end process; -- assign an input p_chan_mixer : process(cnt_div, data_in1, data_in2, data_in3) begin current_input <= (others => DontCareValue); case cnt_div(1 downto 0) is when "11" => current_input <= data_in1; when "10" => current_input <= data_in2; when "01" => current_input <= data_in3; when "00" => null; -- mix outputs become valid on this clock when others => null; end case; end process; -- mixer process, input by input p_op_mixer : process begin wait until rising_edge(clk); if (ena = '1') then if (cnt_div(1 downto 0) = "00") then audio_mix <= (others => '0'); audio_final <= audio_mix; else audio_mix <= audio_mix + ("00" & current_input); end if; end if; if (rst='1') then data_out(17 downto 0) <= (others => '0'); else if (audio_final(19) = '0') then data_out(17 downto 0) <= audio_final(18 downto 1); else -- clip data_out(17 downto 0) <= "111111111111111111"; end if; end if; end process; end behave;
-- -- Audio mixer -- -- Copyright 2011 TRSi -- -- Version: 0.1 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- Changelog: -- -- 0.1: First version -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library board; use board.zpuino_config.all; use board.zpu_config.all; use board.zpupkg.all; entity AUDIO_zpuino_sa_audiomixer is port ( clk: in std_logic; rst: in std_logic; ena: in std_logic; data_in1: in std_logic_vector(17 downto 0); data_in2: in std_logic_vector(17 downto 0); data_in3: in std_logic_vector(17 downto 0); audio_out: out std_logic ); end entity AUDIO_zpuino_sa_audiomixer; architecture behave of AUDIO_zpuino_sa_audiomixer is -- divier per input signal cnt_div: std_logic_vector(1 downto 0) := (others => '0'); -- accumulator for each input, on 9 bits, enough for 3 inputs@8bits signal audio_mix: std_logic_vector(19 downto 0) := (others => '0'); -- to store final accumulator value signal audio_final: std_logic_vector(19 downto 0) := (others => '0'); signal current_input: std_logic_vector(17 downto 0) := (others => '0'); signal data_out: std_logic_vector(17 downto 0) := (others => '0'); -- DAC component AUDIO_zpuino_sa_sigmadeltaDAC is generic ( BITS: integer := 18 ); port ( clk_96Mhz: in std_logic; --rst: in std_logic; data_in: in std_logic_vector(BITS-1 downto 0); audio_out: out std_logic ); end component AUDIO_zpuino_sa_sigmadeltaDAC; begin sdo: AUDIO_zpuino_sa_sigmadeltaDAC generic map ( BITS => 18 ) port map ( clk_96Mhz => clk, --rst => rst, data_in => data_out, audio_out => audio_out ); -- divide clock by input channels number p_divider : process begin wait until rising_edge(clk); if (ena = '1') then if (cnt_div = "00") then cnt_div <= "11"; else cnt_div <= cnt_div - "1"; end if; end if; end process; -- assign an input p_chan_mixer : process(cnt_div, data_in1, data_in2, data_in3) begin current_input <= (others => DontCareValue); case cnt_div(1 downto 0) is when "11" => current_input <= data_in1; when "10" => current_input <= data_in2; when "01" => current_input <= data_in3; when "00" => null; -- mix outputs become valid on this clock when others => null; end case; end process; -- mixer process, input by input p_op_mixer : process begin wait until rising_edge(clk); if (ena = '1') then if (cnt_div(1 downto 0) = "00") then audio_mix <= (others => '0'); audio_final <= audio_mix; else audio_mix <= audio_mix + ("00" & current_input); end if; end if; if (rst='1') then data_out(17 downto 0) <= (others => '0'); else if (audio_final(19) = '0') then data_out(17 downto 0) <= audio_final(18 downto 1); else -- clip data_out(17 downto 0) <= "111111111111111111"; end if; end if; end process; end behave;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_quad_spi:3.2 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_quad_spi_v3_2_6; USE axi_quad_spi_v3_2_6.axi_quad_spi; ENTITY PmodJSTK_axi_quad_spi_0_0 IS PORT ( ext_spi_clk : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(6 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(6 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; io0_i : IN STD_LOGIC; io0_o : OUT STD_LOGIC; io0_t : OUT STD_LOGIC; io1_i : IN STD_LOGIC; io1_o : OUT STD_LOGIC; io1_t : OUT STD_LOGIC; sck_i : IN STD_LOGIC; sck_o : OUT STD_LOGIC; sck_t : OUT STD_LOGIC; ss_i : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ss_o : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); ss_t : OUT STD_LOGIC; ip2intc_irpt : OUT STD_LOGIC ); END PmodJSTK_axi_quad_spi_0_0; ARCHITECTURE PmodJSTK_axi_quad_spi_0_0_arch OF PmodJSTK_axi_quad_spi_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF PmodJSTK_axi_quad_spi_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_quad_spi IS GENERIC ( Async_Clk : INTEGER; C_FAMILY : STRING; C_SUB_FAMILY : STRING; C_INSTANCE : STRING; C_SPI_MEM_ADDR_BITS : INTEGER; C_TYPE_OF_AXI4_INTERFACE : INTEGER; C_XIP_MODE : INTEGER; C_UC_FAMILY : INTEGER; C_FIFO_DEPTH : INTEGER; C_SCK_RATIO : INTEGER; C_NUM_SS_BITS : INTEGER; C_NUM_TRANSFER_BITS : INTEGER; C_SPI_MODE : INTEGER; C_USE_STARTUP : INTEGER; C_SPI_MEMORY : INTEGER; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_S_AXI4_ADDR_WIDTH : INTEGER; C_S_AXI4_DATA_WIDTH : INTEGER; C_S_AXI4_ID_WIDTH : INTEGER; C_SHARED_STARTUP : INTEGER; C_S_AXI4_BASEADDR : STD_LOGIC_VECTOR; C_S_AXI4_HIGHADDR : STD_LOGIC_VECTOR; C_LSB_STUP : INTEGER ); PORT ( ext_spi_clk : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi4_aclk : IN STD_LOGIC; s_axi4_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(6 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(6 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi4_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi4_awaddr : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axi4_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi4_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi4_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi4_awlock : IN STD_LOGIC; s_axi4_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi4_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi4_awvalid : IN STD_LOGIC; s_axi4_awready : OUT STD_LOGIC; s_axi4_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi4_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi4_wlast : IN STD_LOGIC; s_axi4_wvalid : IN STD_LOGIC; s_axi4_wready : OUT STD_LOGIC; s_axi4_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi4_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi4_bvalid : OUT STD_LOGIC; s_axi4_bready : IN STD_LOGIC; s_axi4_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi4_araddr : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axi4_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi4_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi4_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi4_arlock : IN STD_LOGIC; s_axi4_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi4_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi4_arvalid : IN STD_LOGIC; s_axi4_arready : OUT STD_LOGIC; s_axi4_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi4_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi4_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi4_rlast : OUT STD_LOGIC; s_axi4_rvalid : OUT STD_LOGIC; s_axi4_rready : IN STD_LOGIC; io0_i : IN STD_LOGIC; io0_o : OUT STD_LOGIC; io0_t : OUT STD_LOGIC; io1_i : IN STD_LOGIC; io1_o : OUT STD_LOGIC; io1_t : OUT STD_LOGIC; io2_i : IN STD_LOGIC; io2_o : OUT STD_LOGIC; io2_t : OUT STD_LOGIC; io3_i : IN STD_LOGIC; io3_o : OUT STD_LOGIC; io3_t : OUT STD_LOGIC; spisel : IN STD_LOGIC; sck_i : IN STD_LOGIC; sck_o : OUT STD_LOGIC; sck_t : OUT STD_LOGIC; ss_i : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ss_o : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); ss_t : OUT STD_LOGIC; cfgclk : OUT STD_LOGIC; cfgmclk : OUT STD_LOGIC; eos : OUT STD_LOGIC; preq : OUT STD_LOGIC; clk : IN STD_LOGIC; gsr : IN STD_LOGIC; gts : IN STD_LOGIC; keyclearb : IN STD_LOGIC; usrcclkts : IN STD_LOGIC; usrdoneo : IN STD_LOGIC; usrdonets : IN STD_LOGIC; pack : IN STD_LOGIC; ip2intc_irpt : OUT STD_LOGIC ); END COMPONENT axi_quad_spi; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF PmodJSTK_axi_quad_spi_0_0_arch: ARCHITECTURE IS "axi_quad_spi,Vivado 2015.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF PmodJSTK_axi_quad_spi_0_0_arch : ARCHITECTURE IS "PmodJSTK_axi_quad_spi_0_0,axi_quad_spi,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF PmodJSTK_axi_quad_spi_0_0_arch: ARCHITECTURE IS "PmodJSTK_axi_quad_spi_0_0,axi_quad_spi,{x_ipProduct=Vivado 2015.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_quad_spi,x_ipVersion=3.2,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,Async_Clk=1,C_FAMILY=artix7,C_SUB_FAMILY=zynq,C_INSTANCE=axi_quad_spi_inst,C_SPI_MEM_ADDR_BITS=24,C_TYPE_OF_AXI4_INTERFACE=0,C_XIP_MODE=0,C_UC_FAMILY=0,C_FIFO_DEPTH=16,C_SCK_RATIO=48,C_NUM_SS_BITS=1,C_NUM_TRANSFER_BITS=8,C_SPI_MODE=0,C_USE_STARTUP=0,C_SPI_MEMORY=1,C_S_AXI_ADDR_WIDTH=7,C_S_AXI_DATA_WIDTH=32,C_S_AXI4_ADDR_WIDTH=24,C_S_AXI4_DATA_WIDTH=32,C_S_AXI4_ID_WIDTH=1,C_SHARED_STARTUP=0,C_S_AXI4_BASEADDR=0xFFFFFFFF,C_S_AXI4_HIGHADDR=0x00000000,C_LSB_STUP=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF ext_spi_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 spi_clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 lite_clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 lite_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE RREADY"; ATTRIBUTE X_INTERFACE_INFO OF io0_i: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 IO0_I"; ATTRIBUTE X_INTERFACE_INFO OF io0_o: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 IO0_O"; ATTRIBUTE X_INTERFACE_INFO OF io0_t: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 IO0_T"; ATTRIBUTE X_INTERFACE_INFO OF io1_i: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 IO1_I"; ATTRIBUTE X_INTERFACE_INFO OF io1_o: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 IO1_O"; ATTRIBUTE X_INTERFACE_INFO OF io1_t: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 IO1_T"; ATTRIBUTE X_INTERFACE_INFO OF sck_i: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 SCK_I"; ATTRIBUTE X_INTERFACE_INFO OF sck_o: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 SCK_O"; ATTRIBUTE X_INTERFACE_INFO OF sck_t: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 SCK_T"; ATTRIBUTE X_INTERFACE_INFO OF ss_i: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 SS_I"; ATTRIBUTE X_INTERFACE_INFO OF ss_o: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 SS_O"; ATTRIBUTE X_INTERFACE_INFO OF ss_t: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 SS_T"; ATTRIBUTE X_INTERFACE_INFO OF ip2intc_irpt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 interrupt INTERRUPT"; BEGIN U0 : axi_quad_spi GENERIC MAP ( Async_Clk => 1, C_FAMILY => "artix7", C_SUB_FAMILY => "zynq", C_INSTANCE => "axi_quad_spi_inst", C_SPI_MEM_ADDR_BITS => 24, C_TYPE_OF_AXI4_INTERFACE => 0, C_XIP_MODE => 0, C_UC_FAMILY => 0, C_FIFO_DEPTH => 16, C_SCK_RATIO => 48, C_NUM_SS_BITS => 1, C_NUM_TRANSFER_BITS => 8, C_SPI_MODE => 0, C_USE_STARTUP => 0, C_SPI_MEMORY => 1, C_S_AXI_ADDR_WIDTH => 7, C_S_AXI_DATA_WIDTH => 32, C_S_AXI4_ADDR_WIDTH => 24, C_S_AXI4_DATA_WIDTH => 32, C_S_AXI4_ID_WIDTH => 1, C_SHARED_STARTUP => 0, C_S_AXI4_BASEADDR => X"FFFFFFFF", C_S_AXI4_HIGHADDR => X"00000000", C_LSB_STUP => 0 ) PORT MAP ( ext_spi_clk => ext_spi_clk, s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi4_aclk => '0', s_axi4_aresetn => '0', s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, s_axi4_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi4_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 24)), s_axi4_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi4_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi4_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi4_awlock => '0', s_axi4_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi4_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi4_awvalid => '0', s_axi4_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi4_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi4_wlast => '0', s_axi4_wvalid => '0', s_axi4_bready => '0', s_axi4_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi4_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 24)), s_axi4_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi4_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi4_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi4_arlock => '0', s_axi4_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi4_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi4_arvalid => '0', s_axi4_rready => '0', io0_i => io0_i, io0_o => io0_o, io0_t => io0_t, io1_i => io1_i, io1_o => io1_o, io1_t => io1_t, io2_i => '0', io3_i => '0', spisel => '1', sck_i => sck_i, sck_o => sck_o, sck_t => sck_t, ss_i => ss_i, ss_o => ss_o, ss_t => ss_t, clk => '0', gsr => '0', gts => '0', keyclearb => '0', usrcclkts => '0', usrdoneo => '0', usrdonets => '0', pack => '0', ip2intc_irpt => ip2intc_irpt ); END PmodJSTK_axi_quad_spi_0_0_arch;
architecture rtl of fifo is begin my_signal <= '1' when input = "00" else my_signal2 or my_sig3 when input = "01" else my_sig4 and my_sig5 when input = "10" else '0'; my_signal <= '1' when input = "0000" else my_signal2 or my_sig3 when input = "0100" and input = "1100" else my_sig4 when input = "0010" else '0'; my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else '0' when input(3 downto 0) = "0010" else 'Z'; my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else '0' when input(3 downto 0) = "0010" else 'Z'; my_signal <= '1' when a = "0000" and func1(345) or b = "1000" and func2(567) and c = "00" else sig1 when a = "1000" and func2(560) and b = "0010" else '0'; my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; -- Testing no code after assignment my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; my_signal <= (others => '0') when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; end architecture rtl;
------------------------------------------------------------------------------- -- -- The testbench for t8039. -- -- $Id: tb_t8039.vhd,v 1.5 2008-04-28 22:13:33 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity tb_t8039 is end tb_t8039; use work.t48_core_comp_pack.generic_ram_ena; use work.t48_system_comp_pack.t8039; use work.t48_tb_pack.all; architecture behav of tb_t8039 is -- clock period, 11 MHz constant period_c : time := 90 ns; component lpm_rom generic ( LPM_WIDTH : positive; LPM_TYPE : string := "LPM_ROM"; LPM_WIDTHAD : positive; LPM_NUMWORDS : natural := 0; LPM_FILE : string; LPM_ADDRESS_CONTROL : string := "REGISTERED"; LPM_OUTDATA : string := "REGISTERED"; LPM_HINT : string := "UNUSED" ); port ( address : in std_logic_vector(LPM_WIDTHAD-1 downto 0); inclock : in std_logic; outclock : in std_logic; memenab : in std_logic; q : out std_logic_vector(LPM_WIDTH-1 downto 0) ); end component; signal xtal_s : std_logic; signal res_n_s : std_logic; signal int_n_s : std_logic; signal ale_s : std_logic; signal psen_n_s : std_logic; signal prog_n_s : std_logic; signal t0_b : std_logic; signal p1_b : std_logic_vector( 7 downto 0); signal p2_b : std_logic_vector( 7 downto 0); signal db_b : std_logic_vector( 7 downto 0); signal ext_mem_addr_s : std_logic_vector(11 downto 0); signal ext_ram_data_from_s : std_logic_vector( 7 downto 0); signal ext_ram_we_s : std_logic; signal ext_rom_data_s : std_logic_vector( 7 downto 0); signal rd_n_s : std_logic; signal wr_n_s : std_logic; signal zero_s : std_logic; signal one_s : std_logic; begin zero_s <= '0'; one_s <= '1'; p2_b <= (others => 'H'); p1_b <= (others => 'H'); ----------------------------------------------------------------------------- -- External ROM, 4k bytes -- Initialized by file t3x_ext_rom.hex. ----------------------------------------------------------------------------- ext_rom_b : lpm_rom generic map ( LPM_WIDTH => 8, LPM_TYPE => "LPM_ROM", LPM_WIDTHAD => 12, LPM_NUMWORDS => 2 ** 12, LPM_FILE => "rom_t3x_ext.hex", LPM_ADDRESS_CONTROL => "REGISTERED", LPM_OUTDATA => "UNREGISTERED", LPM_HINT => "UNUSED" ) port map ( address => ext_mem_addr_s, inclock => xtal_s, outclock => zero_s, -- unused memenab => one_s, q => ext_rom_data_s ); ext_ram_b : generic_ram_ena generic map ( addr_width_g => 8, data_width_g => 8 ) port map ( clk_i => xtal_s, a_i => ext_mem_addr_s(7 downto 0), we_i => ext_ram_we_s, ena_i => one_s, d_i => db_b, d_o => ext_ram_data_from_s ); t8039_b : t8039 port map ( xtal_i => xtal_s, reset_n_i => res_n_s, t0_b => t0_b, int_n_i => int_n_s, ea_i => one_s, rd_n_o => rd_n_s, psen_n_o => psen_n_s, wr_n_o => wr_n_s, ale_o => ale_s, db_b => db_b, t1_i => p1_b(1), p2_b => p2_b, p1_b => p1_b, prog_n_o => prog_n_s ); ----------------------------------------------------------------------------- -- Read from external memory -- db_b <= ext_rom_data_s when psen_n_s = '0' else (others => 'Z'); db_b <= ext_ram_data_from_s when rd_n_s = '0' else (others => 'Z'); -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- External memory access signals -- ext_mem: process (wr_n_s, ale_s, p2_b, db_b) begin ext_mem_addr_s(11 downto 8) <= To_X01Z(p2_b(3 downto 0)); if ale_s'event and ale_s = '0' then if not is_X(db_b) then ext_mem_addr_s(7 downto 0) <= db_b; else ext_mem_addr_s(7 downto 0) <= (others => '0'); end if; end if; if wr_n_s'event and wr_n_s = '1' then ext_ram_we_s <= '0'; end if; if wr_n_s'event and wr_n_s = '0' then ext_ram_we_s <= '1'; end if; end process ext_mem; -- ----------------------------------------------------------------------------- t0_b <= p1_b(0); ----------------------------------------------------------------------------- -- The clock generator -- clk_gen: process begin xtal_s <= '0'; wait for period_c/2; xtal_s <= '1'; wait for period_c/2; end process clk_gen; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- The reset generator -- res_gen: process begin res_n_s <= '0'; wait for 5 * period_c; res_n_s <= '1'; wait; end process res_gen; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- The interrupt generator -- int_gen: process begin int_n_s <= '1'; wait for 750 * period_c; int_n_s <= '0'; wait for 45 * period_c; end process int_gen; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- End of simulation detection -- eos: process begin outer: loop wait on tb_accu_s; if tb_accu_s = "10101010" then wait on tb_accu_s; if tb_accu_s = "01010101" then wait on tb_accu_s; if tb_accu_s = "00000001" then -- wait for instruction strobe of this move wait until tb_istrobe_s'event and tb_istrobe_s = '1'; -- wait for next strobe wait until tb_istrobe_s'event and tb_istrobe_s = '1'; assert false report "Simulation Result: PASS." severity note; else assert false report "Simulation Result: FAIL." severity note; end if; assert false report "End of simulation reached." severity failure; end if; end if; end loop; end process eos; -- ----------------------------------------------------------------------------- end behav; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.4 2006/06/22 00:21:58 arniml -- cleanup & enhance external access -- -- Revision 1.3 2006/06/21 01:04:05 arniml -- replaced syn_ram and syn_rom with generic_ram_ena and t48_rom/t49_rom/t3x_rom -- -- Revision 1.2 2005/11/01 21:22:28 arniml -- fix address assignment -- -- Revision 1.1 2004/04/18 19:00:07 arniml -- initial check-in -- -------------------------------------------------------------------------------
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; library ambalib; use ambalib.types_amba4.all; entity axi4_slave is generic ( async_reset : boolean ); port ( i_clk : in std_logic; i_nrst : in std_logic; i_xcfg : in axi4_slave_config_type; i_xslvi : in axi4_slave_in_type; o_xslvo : out axi4_slave_out_type; i_ready : in std_logic; i_rdata : in std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0); o_re : out std_logic; o_r32 : out std_logic; o_radr : out global_addr_array_type; o_wadr : out global_addr_array_type; o_we : out std_logic; o_wstrb : out std_logic_vector(CFG_SYSBUS_DATA_BYTES-1 downto 0); o_wdata : out std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0) ); end; architecture arch_axi4_slave of axi4_slave is --! Slave device states during reading value operation. type axi_slave_rstatetype is (rwait, rhold, rtrans); --! Slave device states during writting data operation. type axi_slave_wstatetype is (wwait, wtrans); --! @brief Template bank of registers for any slave device. type axi_slave_bank_type is record rstate : axi_slave_rstatetype; wstate : axi_slave_wstatetype; rburst : std_logic_vector(1 downto 0); rsize : integer; raddr : global_addr_array_type; rlen : integer; --! AXI4 supports 256 burst operation rid : std_logic_vector(CFG_SYSBUS_ID_BITS-1 downto 0); rresp : std_logic_vector(1 downto 0); --! OK=0 ruser : std_logic_vector(CFG_SYSBUS_USER_BITS-1 downto 0); rswap : std_logic; rwaitready : std_logic; --! Reading wait state flag: 0=waiting. User's waitstates wburst : std_logic_vector(1 downto 0); -- 0=INCREMENT wsize : integer; -- code in range 0=1 Bytes upto 7=128 Bytes. waddr : global_addr_array_type; --! 4 KB bank wlen : integer; --! AXI4 supports 256 burst operation wid : std_logic_vector(CFG_SYSBUS_ID_BITS-1 downto 0); wresp : std_logic_vector(1 downto 0); --! OK=0 wuser : std_logic_vector(CFG_SYSBUS_USER_BITS-1 downto 0); wswap : std_logic; b_valid : std_logic; end record; --! Reset value of the template bank of registers of a slave device. constant AXI_SLAVE_BANK_RESET : axi_slave_bank_type := ( rwait, wwait, AXI_BURST_FIXED, 0, (others=>(others=>'0')), 0, (others=>'0'), AXI_RESP_OKAY, (others => '0'), '0', '1', AXI_BURST_FIXED, 0, (others=>(others=>'0')), 0, (others=>'0'), AXI_RESP_OKAY, (others => '0'), '0', '0' ); signal rin, r : axi_slave_bank_type; begin comblogic : process(i_nrst, i_xcfg, i_xslvi, i_ready, i_rdata, r) variable v : axi_slave_bank_type; variable traddr : std_logic_vector(CFG_SYSBUS_ADDR_BITS-1 downto 0); variable twaddr : std_logic_vector(CFG_SYSBUS_ADDR_BITS-1 downto 0); variable v_raddr_bus : global_addr_array_type; variable v_raddr_bus_swp : global_addr_array_type; variable v_raddr_bus_nxt : global_addr_array_type; variable v_raddr_bus_nxt_swp : global_addr_array_type; variable v_raddr_burst_nxt_swp : global_addr_array_type; variable v_wadr_bus : global_addr_array_type; variable v_wadr_bus_swp : global_addr_array_type; variable v_waddr_burst_nxt_swp : global_addr_array_type; variable v_re : std_logic; variable v_r32 : std_logic; variable v_radr : global_addr_array_type; variable v_we : std_logic_vector(CFG_SYSBUS_DATA_BYTES-1 downto 0); variable v_wstrb : std_logic_vector(CFG_SYSBUS_DATA_BYTES-1 downto 0); variable v_wdata : std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0); variable v_aw_ready : std_logic; variable v_w_ready : std_logic; variable v_ar_ready : std_logic; variable v_r_valid : std_logic; variable v_r_last : std_logic; variable vb_r_data : std_logic_vector(CFG_SYSBUS_DATA_BITS-1 downto 0); begin v := r; traddr := (i_xslvi.ar_bits.addr(CFG_SYSBUS_ADDR_BITS-1 downto 12) and (not i_xcfg.xmask)) & i_xslvi.ar_bits.addr(11 downto 0); twaddr := (i_xslvi.aw_bits.addr(CFG_SYSBUS_ADDR_BITS-1 downto 12) and (not i_xcfg.xmask)) & i_xslvi.aw_bits.addr(11 downto 0); for n in 0 to CFG_WORDS_ON_BUS-1 loop v_raddr_bus(n) := traddr + n*CFG_ALIGN_BYTES; v_raddr_bus_nxt(n) := v_raddr_bus(n) + XSizeToBytes(conv_integer(i_xslvi.ar_bits.size)); if i_xslvi.ar_bits.burst = AXI_BURST_WRAP then v_raddr_bus_nxt(n)(CFG_SYSBUS_ADDR_BITS-1 downto 5) := v_raddr_bus(n)(CFG_SYSBUS_ADDR_BITS-1 downto 5); end if; v_wadr_bus(n) := twaddr + n*CFG_ALIGN_BYTES; end loop; v_re := '0'; v_r32 := '0'; v_radr(0) := (others => '0'); v_radr(1) := (others => '0'); -- Next hold read address while write transaction not finished if i_xslvi.ar_bits.addr(2) = '0' then v_raddr_bus_swp := v_raddr_bus; else v_raddr_bus_swp(0) := v_raddr_bus(1); v_raddr_bus_swp(1) := v_raddr_bus(0); end if; -- Next read accepted address if no write request if (i_xslvi.ar_bits.addr(2) = '0' and i_xslvi.ar_bits.size = "011") or (i_xslvi.ar_bits.addr(2) = '1' and i_xslvi.ar_bits.size = "010") then v_raddr_bus_nxt_swp := v_raddr_bus_nxt; else v_raddr_bus_nxt_swp(0) := v_raddr_bus_nxt(1); v_raddr_bus_nxt_swp(1) := v_raddr_bus_nxt(0); end if; -- Next burst read address if r.rsize = 4 then v_raddr_burst_nxt_swp(0) := r.raddr(1) + r.rsize; v_raddr_burst_nxt_swp(1) := r.raddr(0) + r.rsize; if r.rburst = AXI_BURST_WRAP then v_raddr_burst_nxt_swp(0)(CFG_SYSBUS_ADDR_BITS-1 downto 5) := r.raddr(1)(CFG_SYSBUS_ADDR_BITS-1 downto 5); v_raddr_burst_nxt_swp(1)(CFG_SYSBUS_ADDR_BITS-1 downto 5) := r.raddr(0)(CFG_SYSBUS_ADDR_BITS-1 downto 5); end if; else v_raddr_burst_nxt_swp(0) := r.raddr(0) + r.rsize; v_raddr_burst_nxt_swp(1) := r.raddr(1) + r.rsize; if r.rburst = AXI_BURST_WRAP then v_raddr_burst_nxt_swp(0)(CFG_SYSBUS_ADDR_BITS-1 downto 5) := r.raddr(0)(CFG_SYSBUS_ADDR_BITS-1 downto 5); v_raddr_burst_nxt_swp(1)(CFG_SYSBUS_ADDR_BITS-1 downto 5) := r.raddr(1)(CFG_SYSBUS_ADDR_BITS-1 downto 5); end if; end if; -- Write swapped address if i_xslvi.aw_bits.addr(2) = '0' then v_wadr_bus_swp := v_wadr_bus; else v_wadr_bus_swp(0) := v_wadr_bus(1); v_wadr_bus_swp(1) := v_wadr_bus(0); end if; -- Next burst write address if r.wsize = 4 then v_waddr_burst_nxt_swp(0) := r.waddr(1) + r.wsize; v_waddr_burst_nxt_swp(1) := r.waddr(0) + r.wsize; if r.wburst = AXI_BURST_WRAP then v_waddr_burst_nxt_swp(0)(CFG_SYSBUS_ADDR_BITS-1 downto 5) := r.waddr(1)(CFG_SYSBUS_ADDR_BITS-1 downto 5); v_waddr_burst_nxt_swp(1)(CFG_SYSBUS_ADDR_BITS-1 downto 5) := r.waddr(0)(CFG_SYSBUS_ADDR_BITS-1 downto 5); end if; else v_waddr_burst_nxt_swp(0) := r.waddr(0) + r.wsize; v_waddr_burst_nxt_swp(1) := r.waddr(1) + r.wsize; if r.wburst = AXI_BURST_WRAP then v_waddr_burst_nxt_swp(0)(CFG_SYSBUS_ADDR_BITS-1 downto 5) := r.waddr(0)(CFG_SYSBUS_ADDR_BITS-1 downto 5); v_waddr_burst_nxt_swp(1)(CFG_SYSBUS_ADDR_BITS-1 downto 5) := r.waddr(1)(CFG_SYSBUS_ADDR_BITS-1 downto 5); end if; end if; v_we := (others => '0'); v_ar_ready := '0'; v_r_valid := '0'; v_r_last := '0'; v_aw_ready := '0'; v_w_ready := '0'; -- Reading state machine: case r.rstate is when rwait => v_ar_ready := '1'; v_radr := v_raddr_bus_swp; if i_xslvi.ar_valid = '1' then if i_xslvi.aw_valid = '0' and r.wstate = wwait then v_re := '1'; v.rstate := rtrans; v.raddr := v_raddr_bus_nxt_swp; else v.rstate := rhold; v.raddr := v_raddr_bus_swp; end if; if i_xslvi.ar_bits.size = "010" then v_r32 := '1'; end if; v.rswap := i_xslvi.ar_bits.addr(2); v.rsize := XSizeToBytes(conv_integer(i_xslvi.ar_bits.size)); v.rburst := i_xslvi.ar_bits.burst; v.rlen := conv_integer(i_xslvi.ar_bits.len); v.rid := i_xslvi.ar_id; v.rresp := AXI_RESP_OKAY; v.ruser := i_xslvi.ar_user; end if; when rhold => v_radr := r.raddr; if r.rsize = 4 then v_r32 := '1'; end if; if i_xslvi.aw_valid = '0' and r.wstate = wwait then v_re := '1'; v.rstate := rtrans; v.raddr := v_raddr_burst_nxt_swp; end if; when rtrans => v_r_valid := i_ready; v_radr := r.raddr; if r.rlen /= 0 then v_re := '1'; -- request next burst read address even if no ready data end if; if r.rsize = 4 then v_r32 := '1'; end if; if i_xslvi.r_ready = '1' and i_ready = '1' then if r.rsize = 4 then v.rswap := not r.rswap; end if; v.raddr := v_raddr_burst_nxt_swp; -- End of transaction (or process another one): if r.rlen = 0 then v_r_last := '1'; v_ar_ready := '1'; v_radr := v_raddr_bus_swp; if i_xslvi.ar_valid = '1' then if i_xslvi.aw_valid = '0' and r.wstate = wwait then v_re := '1'; v.rstate := rtrans; v.raddr := v_raddr_bus_nxt_swp; else v.rstate := rhold; v.raddr := v_raddr_bus_swp; end if; if i_xslvi.ar_bits.size = "010" then v_r32 := '1'; end if; v.rswap := i_xslvi.ar_bits.addr(2); v.rsize := XSizeToBytes(conv_integer(i_xslvi.ar_bits.size)); v.rburst := i_xslvi.ar_bits.burst; v.rlen := conv_integer(i_xslvi.ar_bits.len); v.rid := i_xslvi.ar_id; v.rresp := AXI_RESP_OKAY; v.ruser := i_xslvi.ar_user; else v.rstate := rwait; end if; else v.rlen := r.rlen - 1; end if; end if; end case; -- Writing state machine: case r.wstate is when wwait => if r.rlen = 0 or r.rstate = rhold then v_aw_ready := '1'; end if; if i_xslvi.aw_valid = '1' and (r.rlen = 0 or r.rstate = rhold) then v.wstate := wtrans; v.waddr := v_wadr_bus_swp; v.wswap := i_xslvi.aw_bits.addr(2); v.wsize := XSizeToBytes(conv_integer(i_xslvi.aw_bits.size)); v.wburst := i_xslvi.aw_bits.burst; v.wlen := conv_integer(i_xslvi.aw_bits.len); v.wid := i_xslvi.aw_id; v.wresp := AXI_RESP_OKAY; v.wuser := i_xslvi.aw_user; end if; when wtrans => v_we := (others => '1'); v_w_ready := i_ready; if i_xslvi.w_valid = '1' and i_ready = '1' then if r.wsize = 4 then v.wswap := not r.wswap; end if; v.waddr := v_waddr_burst_nxt_swp; -- End of transaction: if r.wlen = 0 then v.b_valid := '1'; v_aw_ready := '1'; if i_xslvi.aw_valid = '0' then v.wstate := wwait; else v.waddr := v_wadr_bus_swp; v.wswap := i_xslvi.aw_bits.addr(2); v.wsize := XSizeToBytes(conv_integer(i_xslvi.aw_bits.size)); v.wburst := i_xslvi.aw_bits.burst; v.wlen := conv_integer(i_xslvi.aw_bits.len); v.wid := i_xslvi.aw_id; v.wresp := AXI_RESP_OKAY; v.wuser := i_xslvi.aw_user; end if; else v.wlen := r.wlen - 1; end if; end if; end case; if i_xslvi.b_ready = '1' and r.b_valid = '1' then if r.wstate = wtrans and i_xslvi.w_valid = '1' and r.wlen = 0 then v.b_valid := '1'; else v.b_valid := '0'; end if; end if; -- AXI Lite must be 8-byte aligned in this implementation if r.wswap = '0' then v_wdata := i_xslvi.w_data; v_wstrb := i_xslvi.w_strb and v_we; else v_wdata(31 downto 0) := i_xslvi.w_data(63 downto 32); v_wdata(63 downto 32) := i_xslvi.w_data(31 downto 0); v_wstrb := (i_xslvi.w_strb(3 downto 0) & i_xslvi.w_strb(7 downto 4)) and (v_we(3 downto 0) & v_we(7 downto 4)); end if; o_re <= v_re; o_radr <= v_radr; o_r32 <= v_r32; o_wadr <= r.waddr; o_we <= v_we(0); o_wdata <= v_wdata; o_wstrb <= v_wstrb; if r.rswap = '0' then vb_r_data := i_rdata; else vb_r_data := i_rdata(31 downto 0) & i_rdata(63 downto 32); end if; if not async_reset and i_nrst = '0' then v := AXI_SLAVE_BANK_RESET; end if; rin <= v; o_xslvo.aw_ready <= v_aw_ready; o_xslvo.w_ready <= v_w_ready; o_xslvo.ar_ready <= v_ar_ready; o_xslvo.r_valid <= v_r_valid; o_xslvo.r_last <= v_r_last; o_xslvo.r_data <= vb_r_data; o_xslvo.r_id <= r.rid; o_xslvo.r_resp <= r.rresp; o_xslvo.r_user <= r.ruser; -- Write Handshaking: o_xslvo.b_id <= r.wid; o_xslvo.b_resp <= r.wresp; o_xslvo.b_user <= r.wuser; o_xslvo.b_valid <= r.b_valid; end process; -- registers regs : process(i_clk, i_nrst) begin if async_reset and i_nrst = '0' then r <= AXI_SLAVE_BANK_RESET; elsif rising_edge(i_clk) then r <= rin; end if; end process; end;
library ieee; use ieee.std_logic_1164.all; entity ent2 is port ( clk : in std_logic; o : out std_logic ); end; architecture a of ent2 is procedure inv(signal s : inout std_logic) is begin s <= not s; end procedure; signal test : std_logic := '0'; begin process(clk) begin if rising_edge(clk) then inv(test); end if; end process; o <= test; end;
-- ------------------------------------------------------------- -- -- Generated Architecture Declaration for ddrv4_struct -- -- Generated by wig -- on Wed Jan 29 16:39:40 2003 -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author$ -- $Id$ -- $Date$ -- $Log$ -- -- Based on Mix Architecture Template -- -- Generator: mix_0.pl /mix/0.1, [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- Library IEEE; Use IEEE.std_logic_1164.all; Use IEEE.std_logic_arith.all; -- -- -- Start of Generated Architecture ddrv4_struct -- architecture ddrv4_struct of ddrv4 is -- -- Components -- -- Generated Components component ddrv port ( -- generated alarm_in : in std_ulogic; alarm_time : in std_ulogic_vector(3 downto 0); clk : in std_ulogic; current_time : in std_ulogic_vector(3 downto 0); display : out std_ulogic_vector(6 downto 0); key_buffer : in std_ulogic_vector(3 downto 0); show_a : in std_ulogic; show_new_time : in std_ulogic; sound_alarm : out std_ulogic_vector(3 downto 0) -- end of generated port ); end component; -- --------- component and_f port ( -- generated clk : in std_ulogic; out : out std_ulogic; out_2 : out std_ulogic; reset : in std_ulogic; y : in std_ulogic_vector(3 downto 0) -- end of generated port ); end component; -- --------- -- -- Nets -- -- -- Generated Signals -- signal alarm : std_ulogic_vector(3 downto 0); signal alarm_time_ls_hr : std_ulogic_vector(3 downto 0); signal alarm_time_ls_min : std_ulogic_vector(3 downto 0); signal alarm_time_ms_hr : std_ulogic_vector(3 downto 0); signal alarm_time_ms_min : std_ulogic_vector(3 downto 0); signal clk : std_ulogic; signal current_time_ls_hr : std_ulogic_vector(3 downto 0); signal current_time_ls_min : std_ulogic_vector(3 downto 0); signal current_time_ms_hr : std_ulogic_vector(3 downto 0); signal current_time_ms_min : std_ulogic_vector(3 downto 0); signal display_ls_hr : std_ulogic_vector(6 downto 0); signal display_ls_min : std_ulogic_vector(6 downto 0); signal display_ms_hr : std_ulogic_vector(6 downto 0); signal display_ms_min : std_ulogic_vector(6 downto 0); signal key_buffer_0 : std_ulogic_vector(3 downto 0); signal key_buffer_1 : std_ulogic_vector(3 downto 0); signal key_buffer_2 : std_ulogic_vector(3 downto 0); signal key_buffer_3 : std_ulogic_vector(3 downto 0); signal reset : std_ulogic; signal show_a : std_ulogic; signal show_new_time : std_ulogic; signal sound_alarm : std_ulogic; signal sound_alarm_test1 : std_ulogic; -- -- End of Generated Signals -- -- %CONSTANTS% begin -- -- Generated Concurrent Statements -- -- Generated Signal Assignments -- -- Generated Instances -- -- Generated Instances and Port Mappings -- Generated Instance Port Map for d_ls_hr d_ls_hr: ddrv PORT MAP( alarm_time => alarm_time_ls_hr, clk => clk, current_time => current_time_ls_hr, key_buffer => key_buffer_2, show_a => show_a, show_new_time => show_new_time, sound_alarm => alarm, display => display_ls_hr ); -- End of Generated Instance Port Map -- Generated Instance Port Map for d_ls_min d_ls_min: ddrv PORT MAP( alarm_time => alarm_time_ls_min, clk => clk, current_time => current_time_ls_min, key_buffer => key_buffer_0, show_a => show_a, show_new_time => show_new_time, sound_alarm => alarm, display => display_ls_min ); -- End of Generated Instance Port Map -- Generated Instance Port Map for d_ms_hr d_ms_hr: ddrv PORT MAP( alarm_time => alarm_time_ms_hr, clk => clk, current_time => current_time_ms_hr, key_buffer => key_buffer_3, show_a => show_a, show_new_time => show_new_time, alarm_in => sound_alarm_test1, sound_alarm => alarm, display => display_ms_hr ); -- End of Generated Instance Port Map -- Generated Instance Port Map for d_ms_min d_ms_min: ddrv PORT MAP( alarm_time => alarm_time_ms_min, clk => clk, current_time => current_time_ms_min, key_buffer => key_buffer_1, show_a => show_a, show_new_time => show_new_time, sound_alarm => alarm, display => display_ms_min ); -- End of Generated Instance Port Map -- Generated Instance Port Map for u_and_f u_and_f: and_f PORT MAP( y => alarm, clk => clk, reset => reset, out => sound_alarm, out_2 => sound_alarm_test1 ); -- End of Generated Instance Port Map end ddrv4_struct; -- --!End of Entity/ies -- --------------------------------------------------------------
-------------------------------------------------------------------------------- -- -- -- V H D L F I L E -- -- COPYRIGHT (C) 2006 -- -- -- -------------------------------------------------------------------------------- -- -- Title : JPEG_TB -- Design : JPEG_ENC -- Author : Michal Krepa -- -------------------------------------------------------------------------------- -- -- File : JPEG_TB.VHD -- Created : Sun Mar 1 2009 -- -------------------------------------------------------------------------------- -- -- Description : Testbench top-level -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use ieee.numeric_std.all; use IEEE.STD_LOGIC_TEXTIO.ALL; library STD; use STD.TEXTIO.ALL; library work; use work.GPL_V2_Image_Pkg.ALL; use WORK.MDCT_PKG.all; use WORK.MDCTTB_PKG.all; use work.JPEG_PKG.all; entity JPEG_TB is end JPEG_TB; --**************************************************************************-- architecture TB of JPEG_TB is type char_file is file of character; file f_capture : text; file f_capture_bin : char_file; constant CAPTURE_ORAM : string := "OUT_RAM.txt"; constant CAPTURE_BIN : string := "test_out.jpg"; signal CLK : STD_LOGIC; signal RST : STD_LOGIC; signal ram_rdaddr : std_logic_vector(23 downto 0); signal ram_q : std_logic_vector(7 downto 0); signal ram_byte : std_logic_vector(7 downto 0); signal ram_wren : std_logic; signal ram_wraddr : std_logic_vector(23 downto 0); signal OPB_ABus : std_logic_vector(31 downto 0); signal OPB_BE : std_logic_vector(3 downto 0); signal OPB_DBus_in : std_logic_vector(31 downto 0); signal OPB_RNW : std_logic; signal OPB_select : std_logic; signal OPB_DBus_out : std_logic_vector(31 downto 0); signal OPB_XferAck : std_logic; signal OPB_retry : std_logic; signal OPB_toutSup : std_logic; signal OPB_errAck : std_logic; signal iram_waddr : std_logic_vector(19 downto 0); signal iram_raddr : std_logic_vector(19 downto 0); signal iram_wdata : std_logic_vector(C_PIXEL_BITS-1 downto 0); signal iram_rdata : std_logic_vector(C_PIXEL_BITS-1 downto 0); signal iram_wren : std_logic; signal iram_rden : std_logic; signal sim_done : std_logic; signal iram_fifo_afull : std_logic; signal outif_almost_full : std_logic; signal count1 : unsigned(15 downto 0); ------------------------------ -- architecture begin ------------------------------ begin ------------------------------ -- CLKGEN map ------------------------------ U_ClkGen : entity work.ClkGen port map ( CLK => CLK, RST => RST ); ------------------------------ -- HOST Bus Functional Model ------------------------------ U_HostBFM : entity work.HostBFM port map ( CLK => CLK, RST => RST, -- OPB OPB_ABus => OPB_ABus, OPB_BE => OPB_BE, OPB_DBus_in => OPB_DBus_in, OPB_RNW => OPB_RNW, OPB_select => OPB_select, OPB_DBus_out => OPB_DBus_out, OPB_XferAck => OPB_XferAck, OPB_retry => OPB_retry, OPB_toutSup => OPB_toutSup, OPB_errAck => OPB_errAck, -- IRAM iram_wdata => iram_wdata, iram_wren => iram_wren, fifo_almost_full => iram_fifo_afull, sim_done => sim_done ); ------------------------------ -- JPEG ENCODER ------------------------------ U_JpegEnc : entity work.JpegEnc port map ( CLK => CLK, RST => RST, -- OPB OPB_ABus => OPB_ABus, OPB_BE => OPB_BE, OPB_DBus_in => OPB_DBus_in, OPB_RNW => OPB_RNW, OPB_select => OPB_select, OPB_DBus_out => OPB_DBus_out, OPB_XferAck => OPB_XferAck, OPB_retry => OPB_retry, OPB_toutSup => OPB_toutSup, OPB_errAck => OPB_errAck, -- IMAGE RAM iram_wdata => iram_wdata, iram_wren => iram_wren, iram_fifo_afull => iram_fifo_afull, -- OUT RAM ram_byte => ram_byte, ram_wren => ram_wren, ram_wraddr => ram_wraddr, outif_almost_full => outif_almost_full ); ------------------------------------------------------------------- -- OUT RAM ------------------------------------------------------------------- U_OUT_RAM : entity work.RAMSIM generic map ( RAMADDR_W => 18, RAMDATA_W => 8 ) port map ( d => ram_byte, waddr => ram_wraddr(17 downto 0), raddr => ram_rdaddr(17 downto 0), we => ram_wren, clk => CLK, q => ram_q ); p_capture : process variable fLine : line; variable fLine_bin : line; begin file_open(f_capture, CAPTURE_ORAM, write_mode); file_open(f_capture_bin, CAPTURE_BIN, write_mode); while sim_done /= '1' loop wait until rising_edge(CLK); if ram_wren = '1' then hwrite(fLine, ram_byte); write(fLine, string'(" ")); write(f_capture_bin, CHARACTER'VAL(to_integer(unsigned(ram_byte)))); end if; end loop; writeline(f_capture, fLine); --writeline(f_capture_bin, fLine_bin); file_close(f_capture); file_close(f_capture_bin); wait; end process; backpressure : process(CLK, RST) begin if RST = '1' then outif_almost_full <= '0'; count1 <= (others => '0'); elsif CLK'event and CLK = '1' then --if count1 = 10000 then -- count1 <= (others => '0'); -- outif_almost_full <= not outif_almost_full; --else -- count1 <= count1 + 1; --end if; end if; end process; end TB; ----------------------------------- --**************************************************************************--
-------------------------------------------------------------------------------- -- -- -- V H D L F I L E -- -- COPYRIGHT (C) 2006 -- -- -- -------------------------------------------------------------------------------- -- -- Title : JPEG_TB -- Design : JPEG_ENC -- Author : Michal Krepa -- -------------------------------------------------------------------------------- -- -- File : JPEG_TB.VHD -- Created : Sun Mar 1 2009 -- -------------------------------------------------------------------------------- -- -- Description : Testbench top-level -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use ieee.numeric_std.all; use IEEE.STD_LOGIC_TEXTIO.ALL; library STD; use STD.TEXTIO.ALL; library work; use work.GPL_V2_Image_Pkg.ALL; use WORK.MDCT_PKG.all; use WORK.MDCTTB_PKG.all; use work.JPEG_PKG.all; entity JPEG_TB is end JPEG_TB; --**************************************************************************-- architecture TB of JPEG_TB is type char_file is file of character; file f_capture : text; file f_capture_bin : char_file; constant CAPTURE_ORAM : string := "OUT_RAM.txt"; constant CAPTURE_BIN : string := "test_out.jpg"; signal CLK : STD_LOGIC; signal RST : STD_LOGIC; signal ram_rdaddr : std_logic_vector(23 downto 0); signal ram_q : std_logic_vector(7 downto 0); signal ram_byte : std_logic_vector(7 downto 0); signal ram_wren : std_logic; signal ram_wraddr : std_logic_vector(23 downto 0); signal OPB_ABus : std_logic_vector(31 downto 0); signal OPB_BE : std_logic_vector(3 downto 0); signal OPB_DBus_in : std_logic_vector(31 downto 0); signal OPB_RNW : std_logic; signal OPB_select : std_logic; signal OPB_DBus_out : std_logic_vector(31 downto 0); signal OPB_XferAck : std_logic; signal OPB_retry : std_logic; signal OPB_toutSup : std_logic; signal OPB_errAck : std_logic; signal iram_waddr : std_logic_vector(19 downto 0); signal iram_raddr : std_logic_vector(19 downto 0); signal iram_wdata : std_logic_vector(C_PIXEL_BITS-1 downto 0); signal iram_rdata : std_logic_vector(C_PIXEL_BITS-1 downto 0); signal iram_wren : std_logic; signal iram_rden : std_logic; signal sim_done : std_logic; signal iram_fifo_afull : std_logic; signal outif_almost_full : std_logic; signal count1 : unsigned(15 downto 0); ------------------------------ -- architecture begin ------------------------------ begin ------------------------------ -- CLKGEN map ------------------------------ U_ClkGen : entity work.ClkGen port map ( CLK => CLK, RST => RST ); ------------------------------ -- HOST Bus Functional Model ------------------------------ U_HostBFM : entity work.HostBFM port map ( CLK => CLK, RST => RST, -- OPB OPB_ABus => OPB_ABus, OPB_BE => OPB_BE, OPB_DBus_in => OPB_DBus_in, OPB_RNW => OPB_RNW, OPB_select => OPB_select, OPB_DBus_out => OPB_DBus_out, OPB_XferAck => OPB_XferAck, OPB_retry => OPB_retry, OPB_toutSup => OPB_toutSup, OPB_errAck => OPB_errAck, -- IRAM iram_wdata => iram_wdata, iram_wren => iram_wren, fifo_almost_full => iram_fifo_afull, sim_done => sim_done ); ------------------------------ -- JPEG ENCODER ------------------------------ U_JpegEnc : entity work.JpegEnc port map ( CLK => CLK, RST => RST, -- OPB OPB_ABus => OPB_ABus, OPB_BE => OPB_BE, OPB_DBus_in => OPB_DBus_in, OPB_RNW => OPB_RNW, OPB_select => OPB_select, OPB_DBus_out => OPB_DBus_out, OPB_XferAck => OPB_XferAck, OPB_retry => OPB_retry, OPB_toutSup => OPB_toutSup, OPB_errAck => OPB_errAck, -- IMAGE RAM iram_wdata => iram_wdata, iram_wren => iram_wren, iram_fifo_afull => iram_fifo_afull, -- OUT RAM ram_byte => ram_byte, ram_wren => ram_wren, ram_wraddr => ram_wraddr, outif_almost_full => outif_almost_full ); ------------------------------------------------------------------- -- OUT RAM ------------------------------------------------------------------- U_OUT_RAM : entity work.RAMSIM generic map ( RAMADDR_W => 18, RAMDATA_W => 8 ) port map ( d => ram_byte, waddr => ram_wraddr(17 downto 0), raddr => ram_rdaddr(17 downto 0), we => ram_wren, clk => CLK, q => ram_q ); p_capture : process variable fLine : line; variable fLine_bin : line; begin file_open(f_capture, CAPTURE_ORAM, write_mode); file_open(f_capture_bin, CAPTURE_BIN, write_mode); while sim_done /= '1' loop wait until rising_edge(CLK); if ram_wren = '1' then hwrite(fLine, ram_byte); write(fLine, string'(" ")); write(f_capture_bin, CHARACTER'VAL(to_integer(unsigned(ram_byte)))); end if; end loop; writeline(f_capture, fLine); --writeline(f_capture_bin, fLine_bin); file_close(f_capture); file_close(f_capture_bin); wait; end process; backpressure : process(CLK, RST) begin if RST = '1' then outif_almost_full <= '0'; count1 <= (others => '0'); elsif CLK'event and CLK = '1' then --if count1 = 10000 then -- count1 <= (others => '0'); -- outif_almost_full <= not outif_almost_full; --else -- count1 <= count1 + 1; --end if; end if; end process; end TB; ----------------------------------- --**************************************************************************--
package pkg is function func (s : string) return natural; function func2 (s : natural) return natural; function func3(x : integer) return integer; end package; package body pkg is function func(s : string) return natural is function inner_func return natural is begin return s'length; end; begin return inner_func; end; function func2(s : natural) return natural is function inner_func return natural is begin return s; end; begin return inner_func; end; function func3(x : integer) return integer is function inner(n : integer) return integer is begin return x + n; end function; begin return inner(2); end function; end; use work.pkg.all; entity bug is end entity; architecture a of bug is begin main : process begin assert func("") = 0; assert func("abc") = 3; assert func2(10) = 10; assert func3(5) = 7; wait; end process; end;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JEmZWuLCZazscYOT+xp8tQcgcJoo9xw+tt17VTk0Ee/cpOS713F8lYXKKz7qKA5t3FpvNSj+LwOT FOkmwv2alA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IW+w81BdrtEdSrXT08IyeN9itdwHkCyvXK5q8xF0K0oVKDwJZ55f8rUD3UDvvDXIcAjvU+645JL4 ch4hQtC7Y2FokqIuMtHZi7cNrCDQXzP1bGPJjMCZbuYkodHhhDFZq0vnJHG5npJwjfiUcFOs/BD6 321VxRY2LE90m/fkP5w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mn15icVDdA3CjzJnkJvEX3d4TytP/AnBNj79QG+E3lCes2UF2pZhqISOBY2uufaQ44Iz0NeMSC9n +tRGbjECz4+Qnwa3jPWzed02j/IF9RX7XCNKwHKcmJw/yHIa2jnhfXGycV+rW2BTSaOcvd71AX8c xlCKhnyKdiYayGwfRy3hMXLuu2cdwaKnu/UJ1yLUb2SMopRlt3x1/DS/ujprioIUaznXnUPKvPI+ tY5o7OvS4nta5AxgAsVoz+HHq/K+cZ5D10lOXIDOatM1ESgBnEMFZa0ND/EVV3+YXn7orwuIkC9e CVEV4WCQjR+/QOWg525B6zV97OAe2sVt80NsNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3K+sUBRgBhLO7z4XKbbFj5Dm9dnCnLXJtz9DyutJQ/EYt7E+7VQGJ2l3bkkVJ8bn/YxKZD+Rqqzl gzUxIUqSuvPPGmd3z16szdtLqj5YRAEZVXdNbeQ6P/rYfI4kn/0Qw+0hS8K2lRo5EQLrCely7fSf ojGqs698Kv3dVxOM2uU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EHFOd5L9tY2zUSTwaEQFpNSik2aT5WpldK4px9GxR5cWZzjNzosBm4ckg29GsE3hW7YJVXJwn2ft qvaRBZQhqD+DF8s0vynZ8IngOkOgp968BazD+XmnNms7D3n8pwwWq1DBwFf103zHNgk183z41Fww ghnhfPrVLnkJtKMArkX+0VsxpoDgdODsv3fsT7CkMz19ja8WwHPQXCAKUD3p2rptjKIU1LKJfHEW xgEccgVmdaHJ8o7kwvdgJQxZnf2Fl62jKVF8AJCrqXWKtvakZCxpEqbYNpoJ6R3Ns/YvtWdsZkRH TW3+uPSDGYDVS3Az7zcuFIC462DOhpyBpwOGGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block pM+g3kxnvBshF82i5Q+ygcTP9QsJeu5VbQRhR2BL/KyeU2q/lYpYO4i8Ww2Xq7cSwMJ2HMPXzRou 9v5/g3/xjDn9EEgodM7oCQgHgK68AmEd/iLMugEzxr5yAmnYk5iQtiNpSiYo48fDL+7mXOG9fRZY 5O/mqMp0PHWqiPm8KeEBTXE4ZkK9V/NmSylvSwkBRCaVvjwiDerQClK/cUKKI7VXLljogUPrQKc+ quJ6XCep/GefqbvpGHqHLdU7AM0eOI36jFiXn5I0lAR9px9ZPUTQaYLA6uta5tmZIRjpIjBnOdxf SiEsOm6Ld9HUM9J/0j4P33bfzJMAvVKaNjuaN8ckKIat8liVQsHB7sjRyxU+HoOkyYqE1SPc/cTh c4Q5X+UaLRsR2IBG61+qD+/bGaXliIFh9/SXliOQGs+Xn1AW1zxJg1JOMVk22ZGVLEWAdrlWsCCD 2dQEc0xhKNhOiGE8j4IVDeOxqaGvr4a7o1jkRPncGeZGhZt5fXhgkzShcCFLYTHU0j0XF+F4152D YcyELlUWUKtI17jvSon4d0LifOSBFQZ+7PRy776QV4Wlahj+SDmtY7WQXj4qfeoHt/Gtm/m76kVL X00U6NxnW45QEZnygwU0dFOnJk2IeVLE1e//YlQMNhFRFz5vQdTmBVJOb3Ckk7FKpL5qwopFyoHj ilhw/Y3RUu2OKEuD3N5MQYT5swsBcXCF3hF7lHfcWozYX0JoK9v0HkjXUfeHxHDjk0Y/4WAyedNU kSGmOnYWFrqyLgnjS9WbbNZz9BYOTtONztBslm3MAYRAQUy659ZpSvr4DjBi0kbW3DOObgxDXWvJ buxKbLE/cEhKnR8bV0gsGj5BvvkGk4LWup2mYK8X8CLTl3Gj/w3dKrHlSBwYMCVZwyWc9LmTiL7s kvrhgfGb1fqgO4g+bNHKfjqR3D4wrJuFXzYC2flqu0T2dB48T7h6x1747tj1VMfj4ob42KMeeIBe ZaY6wNBW3eBuoRWVA+zLt9LWuSbZQFALQ4vfKMBv3PJtwP3kx0c3KjL7Quplk8PcbDpsTsYYJI8w o4k/OZ28ohs1UTx/AssoD9G8ZAmRUAJ5BHaJJiGoiBYcwdOnPdXkBmWaITeOLzftUwWt82TVUwT0 iFjr+EsjzoWfsE6mxgEfXx9Wcz40Td4UEoBXNKLaYZjtAsaJ5t7OG8m0N97swNnQQ9EIiFgHHruo sfZukdG/4IKUCPcPfDUNKXe8P+OWsIKl9OlpbNXmQ+Y6Ai9KmdNDJe5zQ3Zv9kmPJcIvz7kUdv1i LsrZQ+wfovr5EC/IgNrE8SYg6O8kPCgWLHYbMgc8/dcgk8k8Sn2SKE5qbrUJibxtVI72bXyPdYav 1Q+Pe2Fg/eaaVqkKWTZVp0eIuHKSkBnqjD6Ffy5JaDUmQaVvNGAS1A9svi8UDtBM9ow/BRAgEL2r rlVvb6odiNV8hF+xTFd5dtPptl6h+7jzKhDobwNavGqeeTe2npLm/AeP/+WzXTAXZnLYBuDlLx4D A1tuQ9ZLn+4t7TtRTuWxZx9U8cP/uGVXlTFCZyXiEOiEP5DoEBzWNuluiLkYhQH8/3M7lAfjdMCD yQ8dRzIp0i7TxwAGzXYXyCCZbT9bB4V5t2EYs9UVK8NPpvocvxJ+ZvxzIPY7TJXuWd388xlVJMBB LrqYvJraYedmuBNVQDkKvFsjAMCCGVAzk3+JQyV1hUCLCkqenX4kYLq7Rz5HDIvJ0DajVLJEYmwI 76SJFn/o48A/BZHBlb9YKl58zvG0cQaeQXuKKyppsa4FmJ8Gsg7v50/SM7RQJNMNMYK6B2ibs4sK t/HxhnMzHsBcxedHLVzA0BrjajkcxgPP8OXUgvBINFA8i6b2eg6sdsOrutvg7ABBFdVLgYnTQpl9 BL1H3YJsxAOGzOMW0VZx5lIvCcC7CGyXQ/02Oa13rn3Q/J7hM4FebMp/1l2Z9HeOr8TBFvkfPl2g 3WHeB58mrWmiuV1sjwlTtHEki/InmoCFOWh8eMNlKg5AW1wiXkYWiHANOUNOtflb6bCWalK5ANp0 JC9qjiB9rEhTyqKfYi1qPeZ60sYJmZhCAlVatqUPE2JyP3wwIQ062t2rxXERSbrTlxgZ7sU9hSz3 igN2bNRoMGJWVAKNc3u0hRQYO7uinbzVGFD1gnKeueIm2gyRoo9xSyhVDNTTJ8zZ9jBrICZbV60Q A8XbyyEjhTXcXUsM/iC6eDhqBhjXFs2Yn0G3fJthMjXkV4z+Xvu7n3RATEsvoBUrDBcIDTong7JS 0QMConELT2Dp7VKrHnF5qNnAKs9REbo/iQzlpnbo+4WSjpbivL4zas48bA1bZPSlYQyTvKbUcgK8 KsafcU0JvpSnQPM81uxTssjW9kjNjcMVy2I01iuxnaFjj03OoupHxs3HPVGzlY8L2uT9TXEXhZ/J tmoPs8Kfa+R+ZiQt2R7SMxj3J7zFeFRYi+VAMZKybBM5epTvETvGAHz3dWOkF45iv819n9sY0VZF hAnLPHenhR0HF7FjAdxy8rWK4kk25mJVA4fFiDM+4bdC8emJP3kQ3DUka5Mi4I9ar5T/JeF7WIPZ PlSK3vt3E4PjGDY2mWmxLBrREG66RVZr8UuV9Nz2HT4U2wjwlKsuFrOAAcZHEylIG3kulYS4QybU ZJ4ifoxgBzSSrxkqnVm7vTuXF25/2ErO4MK5s07Rj+wk1oH+EADxTjyVx9vEpz18zFP84Ux8XT90 8H0a+eGou+Opv4805bEzHCDF81sierR9BuinVkUqGyXRR6lwKspQgfx0GnAJzpLBYk+giRJgkIjT KIhdvGwYI4S7tOEOKJb+p5b64uEPuAp1ATsQesvfQMWUKLqGYtNZub0bI2Lx+JtYNwWYvpp6JigA maBc+0WjDGXPPuXSChVHTeVIi1oQUpI8gW+BRd6bTed04jpjdO3SAs0ZKh4aDJo6Pu27kbZ+xK46 QerZ1yvTpnv4vspqX5g3W1BGBpywQK/VLR6FLaA8IAAhqV3r0wmDYPxWvT5wDqnPWYmSnf7qFSDb 94IiGwAVUyQtSARD6MRMCD+s8gfWQgAxFmVuvNfKRTk0oLEdwR75k+/wDey0fXGvqXTv8wtd8zDL kvS/Y1fBoztCeWoZdoh0t3zMG9WuZjtDXp9ogZUQ0t7Tq15HU9VebIKaVv3bbemirt1Xh/Fd1uub 4MdBPH0zRijbHQxepznm5MNYymidMUmCy6RSvE4hjm640esD2iobK0PyvVUmv9RclVCxTx6RkcfX I4Wl07pArb/mUvvCTYDekuJlImywBqOuFc1zESIjej1qgFTAF7SzfU3oNcaFI9iOocGDJizbIRqH ehTcSS9GmuwRKFls3si/GZakT01tFZyNMvo5Gm7YJ6oGE9RSwfadfc/vv4kRT55PRJ+sUB9Jbsx1 A85PLqtE7ZtXOFI0QT4EuHsKb346CHg+DYAsOag9APIS9d1sUncxssFjbWA0vLfgaEaoKHVYokeB 93npH0zbiVUT4Xv1TLEIEbLTnEiZ3fd8WdemcTlgM0ae+RCBR65DI1AhasZjZSr/yZZj1Ktxf3+i u3oFtvab1dqVpWiiBe+ihXg0JNu0OtDpktMlQC2d3fBShOKkVQfSBbAyiokIIta+QkDokGLCysRE i7jPAFJ+r5FWEkSqTxclhcbXUCWd/QOL2cwYmiH2Vg+qVexeokz8URgoQfCOaGCmRTcf+e1bGSPh phNThUAl6YVLpsYdnb/RPr3MlrI9eeHLqnh4+gYxPIGkBmRK66+xB1rdf67Ed0FYg4vphYbWir5m cECMLc4o8FxoCYLMHYhzVXfa1SwRz4J5FYK3pePRKzrQZB7RHAWNcUzL8bevYJ/rmxmOBOWZS9KU GUxhPbhR/YPRsBDKw5rPUY1t1sQdbj90V4XEL9KsFPUoUeVD+PolIkXL1+7znp28yNHomvRk9moA 8GPM8cwj3SrRKWYU41ljD/Qm2n+SUMbqsWS79zapsPZKksvSGWbezRSZVOhnUaX7fMVOxA+WoyFr dfce+1pwjx+AMlJi+vzXeKXe6edfhcRdv9kVGJ0H4FRHeVBrcvcja/2VRQTrGF2p3VB8v4jCoRCT UTrFE8EkAtynLB4E9f0rxKsAZtqIjhlmPhMawcsdfinf/cJqq/cqYFiiRP9vNn+VXfvjW1T7/GCI RO4gLkzvQhvt3cXWjx6boR47SA6V+eX2NfXpIV2zvZAShuxUvu439eMvi/FC/WEI0bWFpQ93AAn8 gQUsqnyQuS5T6Ak3y9eM8UH0FxvMsk49v56I6Xvp/g4LoMcF8/d7ZKLQ2rTukVTDfAhmu3M8Acaj iXGSEwRuz1G9C6Ew3zGe4Co/VLyZSewfpq/ZoqSZVYQ/cfsuM47jXBoP4pBw4KRlJyObVEQtM2sF kImnGdBdVMUHCQiq+LbgDN6bcWQKZbl3doeH59E+ni5//yS/qnSR8h3AdpkJFnIfUTSpY0ienFAy isugD6ApbTKUyy6cilJjICc75HG4lez9HnRfmgnJud14EuYRZfzGCyBDHk0KD4jRpCVupMXIiXwg 2KuOpt1L4fH6p1zRBi3QPPQ4rBTkxK0fJWmQRrwjcQWVHi8TUkhdUs3U/lLnU6SAQ9mlD84EDOPc luqUh+pvT69hd8tlMtuM1kXybWd4QDK15Ey+vHqT6qDyl7+B2DgHR0Yys20F8rRxg2DEPCAsajtx WIgbaC02+GwRwSuO38DtuxZe1nnXzfNYvLWACTBiSD4rDRftkjL8mW1uUXDP91dzo2TafAuwixY+ fr7iareb6MUxojdhqhLg4ovW+AIHRh1FCN7miGvOhQEw0g9lYF65wQqvw4SufF8YMYlhRX3LIfWF YJKqjQX54WHIklHa55mdzAm5J+25T/7DCBM7P+q1fgh+cD5gTCMdZ43EXizSvuyAx6ZVuyQsNt7G 1UkL/jygdJB69QQ2cwW7K2d06lFQUBhZQD3n6h3Sr8ECpDxpEysAb7qI4lGdFokmmdqClYh2Kwmt g+6Pl5BsVPP74HXnemhLJbCiCzm71+5yvHZ9FsaRVrgzjjRUcZFwge3kjZ4JhXvSQd4EuE2YmvoZ 3tggzuGZnPR1GE+B/HWHX/Uzm1DTsdjbMEXxCHyiwVOQTtC2t5M0b6xriWbrIhsK52bkj0ZryXy4 lnBIZlrnC5PLfRCS9bmdpLHYxK4nFbnQQGVf9K+yv8btUntepPjLYypcBqUB5ny9RXwl1W9StVe/ mVtaScGIQoBYsXb/ouY49wWnsCwEJ/nUJEHCbL5Rl+ESOKtMvYPuFBgIP/oY5a2JWGl9D3aIFO5S xz1p4GyqbQQYfheKHS0Dk+k8GQsWv0SCRAPmPqZyR5rg71plEgZ/MuBQpqRsH5eHcIwsN4t1nE5T 6HX23e772oQOTkQ0gkgNPYHhCSrd3iv+9vw5xilU2ho1TLsLm9SH3XOvfk6HsLt5MiiMS8k3JOzI A/OklC4tCO2E5ntbvgT/TKWp+Cjr/RAl4yy1dge0NYYEdcDsdjc96bAf5wMaOUeMhi5tlIXo5V6C kCu1BYLFyBugPug3oBu6nCOBnat/oLzpyS77lxVuQcRXmv2ItBmenAIuE1MFxjFVGiNMQDltUseG 0yIYsw7LfiOpEGvl/QghhwSFqGW4sg8zKDQfIc/2GhZrsHuFT9Uo3uRYt4g8tkWpY1pdIoataV67 NB6CEkGCpyV3J0FPJ4IemjherPEIDlgGTp+Eauf4chrktx/rhxNtsPtz9S4GViBIoaK6isDU/th+ WjXHR5taDeVm3hqpzjA3TScYcw/bTtDQKGiuOb2zFd3Pc1xFirSimRkOfdaY8fg6D6V2jQ4U18w0 tXyz1RhK+KK5MflzsyUDGZeFV0T0I8a0VXCIbAGCZF/zb+1HuzzuUBz2YBrWdDYO7S2WhXvZp85d 9Zhbry8qyX8GPkdoy023aYMFLzh5Zny8k9KLb3ZRSRyy0LHX5XEvUC+6TuJtXWxZd39FH12kbRwI nJgHzWBjubwOlgTIE0TIQVMtO1aoTW162F5MKDJCR6kCCXWvEwxaNljyuNobGlvbUG7in+kYA0m8 bFEPx4yw/cLFmoSoBd8S23rlXhAFoe3zl1goLFNNRebZhPTKA5KX9ciBDl82QyJRsMUAw1UvaHBq DejAG+3KN1Kyk3fTmhamuIimEGL46lh9IxuCdp/7P55JqZ87msqNf4tBc5w4a++LLVJT0fG70RKd 1CRWVnGcOkLUNGdfH7vxG/ywY5vjQTAG7/S+yYJOLw5nqaBymyNDkS0CwJeb8pkrgZ+6aQf2aFNv JeFc1kldJpP6XLkhDs4VWIjyCxDEnBbaRAZHAANRLZk/UuUO3ZlKSWinZ8NHtiAFLkZCgCaygFK/ ZxIeEGDZ2fwLGtwLPVfggwgLWjoTL+tgwfJtaDZCdI5VclRT6vNnGEjEVJEZ27qIBDmnIGyxgcBK C1fzN7lTweoQGoaFeOXizUf5Qh5g86INtqqcRMyBC/q4IjYdbNf+RUtyYieISQuOttKQxHvzfbSl liSGAI5eUAvUkx10E8BAQujPvWUK3tZVg1pYIAdLD5pAxSIUprqu2W/fK5jGf+fbiblyNzccZElC ZblLCx9g3Yq9axjDh+XOOr16E7cDKDFwwrjVeX6EhM9Z1Z+pl9xrqGhvk+ugzfj/BkXxD8dP3a7+ cyub1HvPjQMudY8AYfIqFbALX++5bPTUVucDZwkWj3HLpZwFzYuyTWgxyL+FdXP/DC5SylyFlvqW xb1Win0Z1uswjNprtfd4F/n0h5ad7KLChtpahKKsu85U4AdsaA50T+ip1QEX2YaCSN0B0V1+NJhc yoTUVj/54DHMjRllPclYN1+pZjMPsIp3FA2LHf7EWYt4Jp0rXc/yQZjZyhWF4HwPLGMos0BiPG7q RCW/ynHpabPyRZPn/VICpeSSk9Dfp8BPYXPEnw7kVb117x9h6wH/ha9dtW1OcNUiiTYmTkl6mp0U Q0pMS/FCHAru0A4ZhGlSrS2SxL+ujXmAKEDco+jLCQm5UVyE/qatRH+2UR1rk0QXPqBcIjookY0s AgVTGghmvMkInvaid6Q6k+x3MPV9WWLwDphkLXY6uN21f7MmwhwcnEBx/1gQMn0RoihUJV9eo6od 8gaIkQ2F82Au+MM06OWyQZhBGc0cVjPPZt1Qtq4ACRgBIrZE5dt/A7cJeevYCKV0KiDAtoMjmw4r jMx+fIg7NAkDC0wHiGWFBjhdF3M4mGsBNIY0T5deYmGC5YZOWbPuPLG41UEx10BQHTX3McoA3ZQS gZBkAv+4HQs1dGRwBfI3LD/Qy9ztdSEvSp9DiLEiLE/GCkVzo9g3A06Ou6i+jsdaj28f4VjxS50j vtfGFgW9/C4s3OEUzssrGUB8syIwb1JFwnrYgZ3070SMpUs+FQvcB4Q9r7T4kL3pXvMOF2QyAGV4 P71b66L7y7Nb/jrkVRQIXoYl2PX4BZsaAJuuvszRuBn077jRdk1jXcMXJfWE24KrN3DDxY8uokoT 4j5Q0/rmqWmfzrNhI8ORfS0MKwhLbjEEOS0F4a+BQDH4LKXkZ6mxfFrREcsnSRDTUCbpALDmc+Ge GvY6suskKvx9oWVLWChV6fZuPbBpXGUFIL4TQvcLwgtAHs+u+ZIO1ehCEWpISfMA/iGFCet78Ho0 uS2wlaE4J484qtCTL/E4GmxYkGojaBiUYPgOgTk6tz5DRe8OQXlWlJD4KLs969VetStb53TuFCq6 uIUP0fi8bDaSbWCv3wORmm5pxyxgnVHaNnzq6uIXLi2wWp8HoXqtQB4lmvMhVZFgmfADQCKJPAvr 8Ld63nyTDiAA8qCoOO1jFzIXvA23rw1XEHaOegKkfXxAt8v3N4iMbIz9QPMCKAwroiCJ/Kken0SK wb68VFNkWc8LTtsosj0wUk2MEmsXCGjMaKWgv8bg1IL9ksPSYFc62lMWVDrH71QKMv7QYWYXB6Dz tWaKE1rNkzY1fDCnXqzbf56YbJfxQswkvIkc2CMWEAgsiwnf4Ms4+bJTBk1ODEv7NJtAj2U3Nzg0 ZIL5BtuEkgWlzOQj0BvdoPO+FapLu8rU93RhA+Rn0gy7dAsb8OomJfGLaDAX1Fuo6UsxGQM7Eo54 IFUc7AzL/2XsKWXJ0W21NcJw5W7vygZAwogiFK20RM+mbQSqfE2DcNLKbKqmnfeMPNWJO3j+OAW4 vnaqR2jggp3aMFpZt/iSc3cvEQ0LALSAo72KSQ7hfR1RukNPXQYqvUJVAEfv4xmaLjUhGOi7JCeJ Q3Ty/LC4uOFo0+TdMGQa0OECe4134rx/q3swxvX4N9IwzrgBuqW2Ah3vFgI467CR9Sl7XdKshYnY 2YcAFySp16Dekfe3xWf38plilnMkcY0m6CpBvx76n/V4x30pFSRbXa7WpgNdqVkl19MkhOOQhSrI GRR1TTGdOM1uBFZeTLHR7hOnHbw/hkAlBbtenkQP4Z50gpw+2MpKCbGsW+TIDdS5I7wEEmuHwb+7 Rq85PH96Bo4n0XyGns6B6peSKiWJW9rIyGKQVjfoYlL/coI0fRZ72rICJZRBN9BRBasbFDdclZws F2vAO6n/tWqPwhiEXQVvRS8KJVxTcQnMrMxvh7Bv38kaRls0+iWGh4cvbQ8jGrR6a9QUc0/l/ueb GBDfIqsTZG+Av/euEFkAw7+zB+Ac0GTUf6vN3+siIEmAn9aWkeHieOHARsuz/+lYaNAZwN+yV5sB GCzsveE4tUtwd12b55vlqSw4P+jrtx1lScMDta7fkQE7JTQOTzMBrh205uLP8sURDa3fenmz4EKf iCAlx9Bcsn68u2PN5Gb9QVFwpDjle3BBF/NlciA9K4Je5quXCmso0y7mWLb4t0+2MPtEWV69Qj41 hzJylcVOeJwJufOLmdrOvjGMn484F4HCrZpBFU2FZRMsdIHLq6nrCyJCnNteqfGGCeij1/MchZ52 c6PI0bAC5/kCsZBc7I/b9GtNP4BkjoKwpN/OsRSAc4tTwwdqeDFqiWffZwDIDSA4cgyaIZsUbrAb MuDNLkDM/lMt7K5Ktk8faPPJVojpln9NQU3l5imtBWiqxr5AIPOCNYPFH5r2eoWnonuNAZqRQTyG s6xgY/1u1/fYvG7Zx1eKRpI31elpxeBFoFyWHzLZ1zdtVBenu9w0kM3UPJH/w9KG5M66SapdcA1k k7sPHp6Tj9zZ0nhjFFSfLuf1NjmpyU2HwQ2e9qIh2bKS3kwHOKuaYYAkwh0uo2mtuyj7wxz7v3jv e+XFttEds01v9IvBS8iRGfj7fJo055FAxoBhY0l4/4T001h0/nhO0x4Aiogc0XhDyUjpn8ST4tUS jmjxceEKHZ4DO7penUWmcMSiJRbHrN5lxwF+Tci1+5eAT4Q5S/zbGBozhpxfLEMMT+Rnsp2r/ym6 Aa12dxtHsI+/6yJyYWnQvSK4tPfXr5s+7m0k46HQsNRx0M6L6YGuL7f5E50KQceWDHBEqGw6YvQG ehgW6ET568KZjPT6B4qLcxj2ufmvX9lgzvYiFw3vvgZLqJSelrG74m0Q3adQ5fsXznTgf2dHTy6R zgRdj6KUdKWNoYCYzcLf0vpB8OPjX1zUJ665vJCjU8CoGZrK7Mnb1+p+5a6am3xtJov4oV+hGZHl iwm0g8OH8uJnJFcRfosLK7lXeFkW75k/BWjC5dPmHCPmOBECtmWFFzfPprtasZRAPE/UgHQidPf/ G3W+KCBsoosdqDc5CDOqPlYiTwMNvLqTnXWWn5WtWK+G3H6NcCOIwlCelATAf5p8qjExGOWSIv6D dCjJOWtTk2ORk5XFD5dzJCU/r1MvQXmIcQWAdE5PvWJgFazoDIAJU4yd9NzVl8sqB3lKmx1Rvfzj OW4AEKJzwmaqjpGn0lJfG6wPyygb/LacUejlO1nFSRdlugotGwG4ive7XxaIf9tl4KlUYHvSV0Sz gpe9L+8NZ9oTxf/0VxmeTTbj4shRzCd6/HynaysGn0vLMONsNB4ORH1ic64N5BWzsmNJCmwu3Vs9 V5DnhOICKRIQrbUvvCHbH4qziuGcJ/JVzuLUv8BBsP97CFIBMlOcDW8saKvjsPB/py9bYngKpnGQ g0QCbvdewL9LxweAoI2rpctuH5sS5eayRppNseP/8NtBg4FjFxBHrT5ykZVLFLi+DX5UHCBSYrkX JR5RKhtnISM5qk7yvbvstn4n4seynwWS3oDdQQVRLpRGoG25y926BaYGHIXrkXknwl8u56zFoab/ kqWbO+9mhrLrcexUBJFNfOeCw2lOQJqDMiK2W/9Jr+990kHEfmfjE00A8kh7D9xc7ZyynKoQ/kbZ m1prwYaFAJXUX2YszFLJIKiFwCWV5aN6gnO5yRP2UbC2F9RRS/hT0/7vKNulSb3mvNNAKn8kF4h5 wFkvdUZ6mhlZDmF/L63jLzb/c3SMv27Vj9khQ1TDWxLKnq9IqzbN7QtExYsmDBdlfHdVyRF0kVKM TOuu+VW2+OZs/cL+k21LcgifWYMxbrVxdJAkgPD78g8NuzpvYzeBymJ0ZlZLvhm+UoiJPkpWEXmC rLDQtH52fpnYtSs+KPJ9PpaQzPxERJmymdKHv/BUKAZSNsvE0kkaNuIT9wiqDD9+ncdPDMHyC7eC mL/OwYds2OsS8A6FQV8TCuTJEfj9v8Ni1YaDuxRyJq2hv/50p1VqQC5NmyScaSS+IRAwRhsQ3BHn IO5imM7vSFKr5z53UBTPaeK0dF5+RvKnXTThHMwQQdTx2mCer8mOf/cAr/3gi+kFEKJEoHCuUCWE KVGfMiRunm6TXygg9kU11fdr02Jjdvj8+Q5Cc8cufQc7IhJ0B45egf8XtnEqgZxsBjFFMx5JHLk2 uX4G0bmIetihV0TvMuy7y8NYWPqkCHqlTMV7syC+7TbgLeHjtywxGIjPBO2JQ2iJRni5MVG0wNze ptn9WGImW95VAzy/RwZtc5QPuswFgOFSVOdKoY0hqQ69yH4YNcEgFEaqPkAMW6xlj8FX9UM+h0tk /D9qHeNJaU4BPrSUZ1ntvIkb42KZTwANye9tbqFesUHR9O32Kulf77YQOaojmwUwUYMutmbMw23Y MqRpxoYWhCmOtY08ibwA+Lf3BMCXpnosCZFaAPOyKWk/lmtNVtqHc+DyetNxxfyViPn5aaICL00Q A1+oSqpf3qyCF6BZEqp3S0YNw9p/1+zhmA6Ck+5qMDh27i7DXm4PfzTNqfjsSK/3sNy8F8rwAMgK kWIygZzPecDsI63I+Q3dDzTStYUhNOv2j7L/9ZUBuRn/fZpip/sH/Mmew/PcGqak2GwCkujrVdoQ imOAGGFCHC/oe1gd+Uaf6xAoG7KxDb0Dgwk5zBT9ISQ03NfL7Z4ls17lHfCVUcSLhY2bVgOKSKmd k4iLp6TS+xSUa/Wd5Ci1P2Lh1lhVfqRGU+e1XLPqEtfnS+Xvpp/qyK4J38l6LRTFNTBebnTwYU8z CiLrEpefyqzkH4/D6zVgeCVKmZYHUHvhDfqaV9kCzshO1Ufco/jfHvBYGwpP7/07X06SA4Zyx/OW o62zg6TN2kR5hyyNs+ck4Q11583D9wvRa//AsYSf3/dgW7oUEm/4wFtE4MZHz78wgGWsTlmdNXp2 +mnITPmIUxxq3EMl/Shgz1zMvX2hJK4Y7xRfFVAaaAYCfOE2qFKP5+6gTvmUH1O1gnmmssyCK7Hn Xlkbtjf5SuH0VWY7qRUQ45oBNdfGJanaCnmvhrd5+Du+9D+CZB2HwgA+o+jV5okQOb4Neu3gGL6Q rXNszzH4+FeOwfpwB+weYvZeB73Cp1fJahQja71M2UTQ1+tADl66vIy3hXvCg+fbar1Nq6eRfsad 90e+p4eaV6vImmhagYI1x0kv+AQiiFJtcIdmmnj9teqBSlUZPSCBoiuTsFI5O+95sv31cZiGmwAF i5lDEIL1Tugh6omz8AMKyVpecApHR2ZBjy8RVPCx6JgXOwqQQ6oOQiZO/9OT4dtIPHxRZutAscSP 1Ec7f68ulJDl9dbxdM5K0y5lrSDk2ebbuOKS8nqc0ZrgehcyVKl3vm/ImA/50WmEMWigQ+AYyosY v6VDICg0CHVyb2v5fcJ5XgwKBiLyBiGlOFQrpWdktj5p3Ty8NRox4sJFvQZtB7m/RrUgf0ZHzwGO xNRVKc1d2Ok8LmkK/cVnOK1w6LuACwIdJXvuInivE97yZ3we/fo7kQ0Z6D3+2ja4B3UKxZijFg4V wHhOaPP6NtXNkIYx54LJmgs8ClnY3nu7lv64CkW7OHvev7d629d/GFtb4bts8Nam9jO6JP7qwXYm 3k7BxPju50ri+VQRZWU5Tl/B6SwEUsC5Iab/CPKriiX4TnUVx5//p5vRoPklvV7EJazwr0S62D1W AgNYcH5RpXJJ0V/Y/mOmL9HejF0FcjlFSu0KvsaAT4rNnml0zMVHvTg4lE+/dZMu0DkQod9z/DJN SrH9pK2RpZgYuqBsqoX/0Oz/OdRULfY8kSgioavsChU5I6ewbSpcAdh6uJguQ8TPNisMvxXft0Tj cAl7zXmhemeyTrYyu1R8yTwUVQBy1NoqByf/qs8QLDssROoap0ETLxV1eAD7FGk2TxCykIu0Wurs igDe9CweUyt5Z07PWaJE4p/BiDUDVuuSQRjlSheQGSaHGeJXuLX61Wfz70fAZkR8blr4AB9nlul2 8XN4HX0Wwk49f69L/hRZX5exM5X0oPmK9bzRbMM2uyMab1CKZ1Hw59W8OPlNAWCXCttpDMmAOPHP kwNwLYWS6we2yPrLF6a1EfsBUjfBP1O7Yw48w00rb4IyJYuDRYzTfTseQ1VRkPAccgHjEUi0LJCk wcGncy5LxOesvFHC++mYnkky/gapfE1bLC04iktLXKFHMnnKZYIwVlrFl0J/v5f6D4JHnmTohh3F UA7SE1ulfmH7Y5f56IQr6bu7gYwa4rr81y11Z8d9Ho3myUVX/oYQZXZqQMLA+ds3F6O2i8p6FfMH Jobmtg7Quq0FfTBu3h1Meo3959tz6YhqvFq1FVjs3q6PfClazjVyT+TzOiPC+M77BGusaN3f2r2C od5FuaNjGWas8zegBtF65M6XKPpeDfxmuyrZuGuOtzgj3DUcG0K9pNPn0iSkIuQOv4vFe95vGcs9 nGfq4MG6SPdVfgblb9VT4CkWXz8F+2Z2aj7LnjkXGlRp20Dwk15fcfHyC4kRo2cYsIk+kjEL4szh qhG5dbpN4gLfFilRpGRUJdrSlkrcHi5dyjBCvvoiCoGWBfuVMQCrFARnZWmRaG5reTv0rMLyoRJR 7Lsag6zDNitW2b1iMydaWzYsHqoLp0B2Kdqy9DLvtxra2C3CeKQwBjEY3hpfHVf2E+d2pJxUqqj3 itKVoP8N0VrpmyMOhlyW0+r4kWivBEYJnoqfeSsRmMKHZZAlrvUy3ZE7vkTabwW/2Y49qsq2Bh42 tW9i1skcN75GyFLSmmbEC/kagoVUp4Eig9/bWJ2dzNuNQdzW9H92+HIo+iNmxI+dTPqJj9WNdicS KDACKYMdTlANDCsOhJRUGDTN2FEEMjSryigReuEwLwSO1EjH7MX72ZaCdtsVLBuLa7gvh5bVRh7F KKExMMZ0F1WOgEHwfc8apxkVJVRwXDAL8gYfWlY7v0Kij8HxRgOvDN/JKvWpbe4PatI+zfRiSJSB d3O60693DVyqQv9lfV1oUMBagSO30uB//LKmVS+N7rfRqtizpniHVRrQhYJMYgR55yMU5lEok3Ec 7nxYfS+iH2kb94KS6+M53fqHQFJHW1YTTmj2mWTr2qZf5B+V2sKuNdoqJEYUXi21/TjONeF3VEj5 DxBiTNOeHhIAYTJCzGD6quvVg8wIL0EzZMH5HHLXz4aB6r2SSnUAwHSH2z7zBVVOg7wZziNX1g8Y TkhfJHKc9tqUWgR70BWOtGJhUZ10qha7uRV43WtKFEUoDJM3FghyU4p/YIXNZ9X6cGErnPvfHu7y 7tcSOmxKquH/BfnN8uiSkUBfjH/3o4zw7/5Nu4tYRlZ06bmgLqFgI5B07WfHJCu+pcygDEpZwfH1 P13zKLz2Lh0x/xi5h+sxlQ3P5jonP3qWAcp8R6/28EVDi/aT/SdsLU0tQvTSgP9KqAJOTJ9tJQC9 VLTG9V19woIdz7mKVhTW/QccMUqJOwRsBTANkikAb1YoKh1mCwTU85BxMdT9oWGo36x2njk92Hz/ oiMQI8pfOi8PHl/bHIAGMDJsPa6EISZv75QvCjxkSCc4egIGmJpv8IE0o9b3hD4CnN4/hg98xXTD 26xbs1p1Ovyk7iPdYKM1ELQ323gHBMSOu2zs7Bi8n3hXdw7/abZ2/lWmELwOHqufOcUX6+rWl1eh gkssOPSeMWIRq9IrdSsy3Y4xCQ1b7Ou/stAu9TYC6f9Vg2cPE5chHjf4dpV98TdMUI9EpIpKCnvY xH5b8p1QG21mzX/2WDfCaBauF0qkdrpueCDbiSPyX/VM0BkJpbVuxZ4nTyiI64KJiU+Q5HWDVLGO qofYvcEZ71fVo/NMzaOT+X9gaMmtY1K4yWJRNS79A8opvKygey8Ygf5FTC8ePoDSBOKr/4cMTI1j ZXncdI0TUQuBC9Q+z0WJA32byDJsl8RQ8Q/G/xeGtDHwhWJUkuaIV+ScGZtmlphzc3hmxy7b9Bx1 HhgZi4vVM1nCOosAMZbLkqUmSC3yd9z7vvOZlRHRZZykXv/VAfkEpq6SAdJLNUatDNGwmiPtYN3T 7ktvjuylEQVwLw3SiIJHZBdyAqaUgbpBPvxrJbzaa+pafwMLS2HcAQnD84jICrhALs66nGMbeZ0L n8XS00Yc6hgkyJxIKeNtZXKET/dSvxRIP6m6+c+wUWh6o77RIxl4ZhTuwRQusgrPb9YCni38BJ5p DMq7kZFaoaxdfeVkoCpLfuoZN4jGUu6tvH20L8c2OJovKPINK4AiO3DUIES2/eH4yWi+x8X6DS7v umNlPl4TqTbTMRKuQUOwn6JjD9/oRrva9mdq07u05ftTTjwch82QQVFzOXMm0MPmI/8uVTVAFBCm FST8J4VRsZSQNJuE2x0pzbnQJQOF3NVg/GG2ezvNr7juh7TfXk1KZrj6EqJ/E9GzVugLAjWgiboA 1MeNllR8CSoR00uIZ/DpLhJN9PGtSeegKLohNq81JaRpuk+Re4fS2k7Rbkty9rWdT9P+cQVyhHY2 BoLKuwGnphXKX5xKar+Nz2JujA7OUoei1bSKyB6l5AxmAFUDkf11ErGOLgOSUzIr0g9yWmwGXVXX 7PcQlexT8cyiJzUvR2zgPufbfdRmIvhzWLPFEernkhU8a2US3PU0lweqIXRbRo0Pew+gv6NRge7l 8vR3ItXi91D9UG/qF8/6MhZ3KjTEUMv9GzOOshY8zOA/bSyYFc2/yewKq88ArKk2dDa9ujoC3rN7 mNEcJVgKGZeEwMDaKq1aPGsA/1yaU1HOKcGXalzM1nZFeojzKyGpnRh4VdJhqFro0/EGUcqePLUz ff6ska0jIPW0IUpaIG2cPUK51DDpjdITCKeizRHsXGSmjbupfK6h3hHFTXb7vRSaM4B2j2Kcvn/T rYxDVb3fcnRigiGJsjXlW6GHJEi/QE6l3ZoKnBEEpydZ7Vd3zE10XaS8BjNuO6nznamvAwCZSn5b rOhHQW2JxTsAo/N9TaXRQsDf+YviJWo/EJ+DfhmEsiHpvmyOvnH/bsD36gN8CNs5jxDh3E/PY4O+ U1z8yxRGoRNPN2eAz0Qqq1frXU8VcrAnv+FfROio8fwxHl/MsBHtj8Xef23dQVvQXUaLOVk+/GJo B3jEfPng/h0uEpjj1wbeDU2SQ9B1vICxOTWYVjLDD4rndeaP0gbQAg1dPvHpFKz4UT8dVjox2VsD G/rBzcLMn4xwlck7ky6otfflwLQoTkoQ6KgeVn8NVRg19j4CaKwZn+a/hbbvO4m8hL8XlVNpidpG BXoJYZEdr91n6ZcfEdTV+QDMKioj/TGEqbbpnzaBxCQz98HIuN15rW5HS5OIYFGoZocbObD2Rr+j g3qQ7HmMuO+zZi4UkaridZCZH4agr1AsJdQLbVlnsedfBVhd8P1Erw7CqNIWePzI0HThOhhs4m2y wcRmu+JycQciOzKKDiHT7tcnNjSnzKjLW48Pc1uWX15VAkEhq9UH/4aZg0t1HkAg+FJ1P7PcgLPP im4in28G4XI6VVKIlDs9eKImNwQ7rHBr8PObo+1NuU0H5uIc0xTrr1z7T5YJZZFaTlE3O04sUjdH 1bORNsGZWLy7OaxhYUVplqzOtWk4GRPIMPgf8JQKp9EP+xSTWAVkLgZtQ/RelwAItknXo5SUuPJM sF3KTcJ6QFDL9yRUQh1e8EUu+LH0tyPAlu7GHxBFMidy64hC8lpnwKrX+IvV5u5Ij4YCvlFVcb3p F8sadf4y7Mgcrz5TYqDKGEppJtSBN6tTxzdoNvZS3E2u6PZCWpqKLfSMerZICzCXM7znCNkfgU9I OYqAwwMIWxydRmhvBY9HCWIB8CoqQ8oYOZ41JaS8EgXqB8JxrIHlj/ru1fSDfs9edgPdtrNsSdZT C8U+ZeMKridPviztg8koMvNic4FGWfipkY2F2M5n50nI27TTwQ5KVThKYECSl9ivXWHgXJ/XFIrV ZUXxlyQyYc2KQLAKUjXlPSN2Db2W6H4jnqC0evCs1BluQjTD/TPzAs315aDkko2NwS7/6SPkxIOq clUtrIOJroi0MtsE+hNfmo80diBjwTqjJFNJlKk4POkLTPkNtzHuxyoUh/B3viapsux7CuW3+SG7 PCMAUVgIXYDKnUz7LDZtCYpeDTzdDMZi09aAzILM8ViqGcb4sYpih6/u8QOt06ZRbG/8cWEZApH1 DyIWJHGHVeUtkGt23U+8rZb0WrtgoQhfwK0vvUX27rESDeeRhcCwnzzxRcobQirehhK87uUrkmPK Vivg8qTeXfZ9Rj9pM0bg6K9mY53kFNZ/aS7DiIDe98PZ4i6fjtc5Mo2PAVGKQSez/Hj5V0jd1SI/ Sk6uwP+1TCqDmug2W5TnxHS1MNN7tmv1dUKpp0NjhdhmsHuBkTFPPhnubBb9Y7OopLL79LhGYPFu 1wkr+THtvWN79OYrDVBnJaOM0PZm4L7Yu+HEgFcsxJ3BTyOzhKH3bsot6GVy4IgLmWODpY3IhfvW d+A2O7oTZ6ffZp6YIg1ebID/ENwNALxl6CucZ6EQgILLbq2ufneR/oMxh+3HcXvel0luhdo7dHnl TJHuWcMLRg2ly7l32pLOeFVVePHZml+cc0tugTw8bpqeELfcue/NkMIWEwsaDZaPndtQhWNvqj9g 6H7ahKlbdsXQlVNzU5UWfeFrCcJGC/EyjoAxEWZ32xPT72qnitjyBC9yFB2u6Ys1t71mBMrjIkep j/H2SPtrc8lCSKnJmGsgnSVdQsZtDczlmdDOX/m1MvHV6XgWU9FueLHtbxIdvQIkkP7qcS7ZqrCC nzSBkcoSUEcYf26BfedZi58TcmfHaFDJnVDYAWcGladgLVk74XAAMISzaL/f8RNJC2E7qUgno1V1 VQge60rSbuxX62UkXwBfRXh2oXguXUZ7pkv8eoIKfb0oGtCra+q6fDsaMaIPhV/y4Vc1I2mfg/i+ x8uebZna3GDjIkKiOC0MiCPLrfnek2mfYlsgKZjwdoR3HhWsManrKdbtkFhpL+xIz/mDuCARhFGF lXKeV5e6Km/91GFeOWxPHr7gm3epki1WEI3rY/Ldblxf3ccDb+88bQ7yIBMHSc7E0l3iQQXJMPob k7ov9y4Iy5FI6JlKibsS460tkIDpMzRZ6SfFfoga+oSp/HqK6TMth+dumy7qGkMpmSTKVtnyDdLh RkoKlOrEuNq0nMzUQnotegAKQOuWonZCplykZvFTMR+RvBcRhboIfedTKDpOpSWFCAtRppFMub7N 3fKQNLc99ycr/EYFM/tNAQftq5De59rNXitHnbxjr2byCB5vM3JXVmwiUG3FqRUUZtYvZB0i9+BV je6i6cxoF13YxqEdaazw5fdW8rjYNyADCFd3ciP1LXn0Ikrt5/pLYLiTGXipSCW29yCT85/dhsNb RCaH+yjremhE3VRuezDs5gYZ2jOKtfWrTHy0RTq0q/26whDPNj+uk5uYMnXI8CLLAXAWH0SdW9uy AFTLn6Ytcq+l46nl0ZdOW31hfnuZiD/B0/QH7PkaLb90NyCDUkaLWMIi1rtUqWk2hmDpcVmv+UK2 RjD/UVGm+6CUgayR8IfRN83bgrJ1Ce4fHdCD/SgTM953NKKFtXctxEM/RUol5mlizXeTo55BvMhB Z9ykxoKNp841kuH7Nt73SQKmtTzEPJPrnFKA/d+DMNj9hBVaSXcBKFTboVErNVE3XFzbTl9cmcsJ HqihcDR2zLSsedxnlSfwqv9gFCtLCorJEmajirfWIyMUkYiw4fXeD2vdb1EsvC4NVT9ReMN3prFO wslUt2JOJU/OMPpVuTjv0s72C5SOMFlKIwwf38XdYxP+lwx3E+AhTPZ8RUTsFOdf3gbLqZMH5CS5 D2rLv24N4J3wqr4wbeBDROGzkdv5nGZra+q03PRqF5Agr8EcFmjzvQX18nixrst4hvw+6eJbGKsB uoZrV3HWYhFrD5GOnS2GKzhggkDLlGPXdGz7fWmWRmYtC19CBt3S48XRNf7bq7pmvbHw3pcZMJk9 mwSgPRMAlLbbxs9XD19VWFE9kprKYbealfHqTqPz8J8zzxcKfuDX7END7X9YLDS8SV/Hc7Bb06bm cPpyaEaPUVdPUjCdLpSgWfEo5GEmOXVhx5xEtLRzKl6a5J0cAAVmQhWKY/yRRSQmlUC8a7NO3oEP G2bWKLOy0G4mnFG6aiQxH3hneKiY4vsFsWTN2Q466nlHp/f+3mGtuEeXRlfyuSYAqKMB2UOEdAIW gAPzNH6mgM1v1CrQKkgEyEqAIMe6CI+s7FzSDxhlL0u13pe7id+jS9sJlxGlogQqIixgHHCYlycb TK3JTYrmMR4VX6d95hS5CGOGSiocqNzmgAYY9jBgHAMYLU/mhqMfSLITHxUM33V/BM6dq/pDaW5l hCkcImzj5kRAy1pM9G3fb/+mIZ61+6fJScvcDijWrRen6qb9003cw5NwMNeB7w0KVhuZR/tSnISP MlIsOIaf1WmmFne6Tj+uXSLYalGL3m7VHTB2sxRk7b+BzERjpX1JsD3g/mT0SyOdvtla/70lI04l gpxaBOO04ZdUW0PL3gajKxYVvciCSqO0XqmalJYjdXp8xwnKUUkVO3wIf2C5b0nP2Qvv0QSSFPYI AGbQm78f6VgnCH2LvG8bMw/FObsZ01psKU0Y7/Y+VJW9NC6uF56uG2BuEhgZ8jsswxmRQv7kX9P6 ZCkxkRkQKEzuotJ3EfiEQcyHe1Z68ku9b1ozgxIQbxOalgs2pPPWsImXWxn3O+S1Eq6dBzaO36Uf v4QYIam5IrYEwlu8tChRtzna7UN2k+Cyi0anUC0Hq/knjUqH4Dgx/Hsw9YHwu5IVJw/JCHaStou/ mo+0whGPIWK4xG8wLvvw7EKpN3XADOQ/k+4FzKkO+rUQ4t5KolqSOiiKeGYQRLxGBrGxzKMAMRFb rRHEOa4O78yC587hJbMcDpfD861FVpFQdEbd1c4xu5Lxv+TGK3jZ3PBB3ggN2nVjgSmq6TqwILqj eEPouhXxDXxkW5JS0aWlaiWQhaffLVkpxKy2Q+UiZ3rtloYe0BfzJil+wC8A8VGhbmqEYpwsBYdV yAd95LgA3ZLGEpkvTnlJBzQ2Tb2pvXDpWKMrU3E5cb6D3yt2v1qxIhKc9D5hT5LwMaL/cp3qoBV2 06i73L3TwJ0FM5VBIx7F5h9DJnklU7J4rfByl1zW1l1D394/gY3Az8sKD0LPWLRpDNIRjplnbfo9 p15sV98yTSfFY4MvIK03ZNoSMMJoput/cT6pBrx2Kyl5Pp5ibri6TCAA53oVmSumUJanNsqWVmMm bx59hso0tPcLko5uFi+R7qhbs9UfIf/dHQ/1n3K91YfkUMRCv8JUqV9MAHYtIIsVQKP1OYdrB7JV xDtudSEa5a4xvgUb0GxZL7oC4mswmHX3VQ9YG2XQorJOPzQr+yF992a9ws5ihvE7mRBGjzSUcQaJ 0snZUzlBbPaMTd9r3iwCddtoMUGMi2SL8wPE+Yz6Sv0HYgGXIznDTkbqeIkZy/HTfcFmQ+mxwE01 hWdQddOeV0NSMvuNxWVgZ1qw9B06ky3LEHWZ7u7qDOdNRE63n/LQjqHhuvmgUMTrrMVfx71QlyEM N1WW7uGtwXNpSqoSbYpi7K/12oq63SYjy3lmWFEND6yxKaCjyCPfTVWfc06izRrCxKka5EwZaBPl +UVmmSpy9x/YNpdeNK36sV44IPn8pm7x8MqJ9f3t7mPHZ/q5HF6zpy27+OxRU5akKijJzjlXW6ld r7H31wJfeM2EgxSggv6rdBvEKubW3Ac1MCm9ak4QlBQizsWJZQShDxt6Zy28NfkvhWXCcPpWK0z1 ooipwuxosonTUYeHOZP5lH8nKskzNbGXs/ukVd1M3Ereckahyvu/kOHmaK6VzAb/6WXQXO2ARtw7 oJRkJy2o1kVDo22Do+WZQo+k9xEIm4SIx/YKf4nSJUEnqNdyIu6DjtgywRvtjnblSskCEQJaYAni ZSyJMHVF7Gj47tJZcaXXqXv/5M0OU3Z1rqpZBadbGdHguX29W16vIyivEXijyh6E+FHKZRs1TE15 sMGbbdgBrd6Bapg5/YpOa130A+qBIzReM4YPSq2f7cMtSyJ+T4f1XNmI1XMhLOOHgM1nXRvfkFqT D0LmcSAFY0pive5Kh2MtAQU9Pzjaxuh3vUK1X+n236SSXndt63i+ox5BaedVVQWGESs3eETnkq47 /hy/ANzoJ/8rQaYVmTKg3NBnQ+av5U26fX7r1SQHoON1Hbmrcyne/NDycp/nuTAyI0L5PAnsl8zK 6ccXQfiznJAdTre+GW5VXx233iztU/NftKYxAgB6ht/UOSVDXozLoBlbm3JyGdFKHsVv3rWiesM0 eC5cPrsyM3JUC92dRQTrzWCE9mYALew6G9c++7y94PKaNIDMWh8rZUv+40aRU+QaUIZ7QD/EgaJ/ NKGvQH0GRenutZkDyWeVTrGLXRASLPoUPB85LiLK44nCVUYdczrgNoRS2HOVsgZCd/im4N624ZNc EBtqppv2H4HJz0rSkL9NPtfMhptzvMWxP/ZbXbQxx48TELC/EKoT+jNQ4ozsVYNtlPGueDny2Nmr k1bzO+LUELGyP+mSbiPvGCmhlFiFdk2TZjw8L9JZrZVE66sCJAvZC0id4DmhUq9KWRAbYTiyPQTZ ozbSczhTs6y3T49bzPe7LJGbzUg5wHhUTzGsI6Bd5eMPG/y41A9R0UbIWQd9HDN4hLjTTo6CxRw7 TXk2nUodOIMhhFjH+RJlbns4iQ6NXgNZqthbarYRlZtOvYzd2Q8GydBFL5b4zAK9MhTa4QTOxxmB YjJ5SLiwQSWvGapsz2xSSVzRNXbv5dQ8aXUW1ci/oShxuknYrGfruYDbl/aPk8mULBqFiWV9MK6W PsZBIRkpDgE3OeG2BARWFoUx3qa2gBwstWHOPlqgSJOIiiKJ8M0qVeRUhsIJb527ilSO0eHuZl6b eb90EjX69u9elSKKpVhQXO5Wg3a0rHlJUt+qJzNO+g+2klxKppurOK3TDze0TMraP40shz+zhYkq lbo2RrRsLqR9cp2Q6gPTVhdz1lQ+l0oF0hsVa4yFnMBov2JLWfe3lVZ37+Bro4rfnM2dSzuzTfnR yiR+xWuDRHjb7rGaEb813N9dJz8LQixKo9s3IwPDNwNTvMSn+A91tZWY7VJrwU59IqRiYPv+Oy45 +DL//sxfkB+xf+oqJMmGIBpRNOK98WIHVSJOkbTXqU4yhO6ZPW0yJ5wb35yQ2LxNTWixkf/NqBaJ GZWk69vPGv+7kfvkDubIwJCLv7yaJsk0JF1gEJHGoa0tEuTibxmEHXuEAUUtQH13jSYM9nucX5oC 0Wm2i7bkH4iAsqBOi+dBLlFnSyMjvFPuRB76Ia6Q2238LJ4iMkBc0/I3DoLxNtgkrNaGq+f+1pFP S7dje0cv3Aa6w7YXmvh5wHssI1l42Fp64C3Rux9yQqAac/VOkPx6Rt6YrPtwXBw+7FpGAaV7WmJw aQdck2K5oFf4IUAJV4CFefhhh3TJzd79/lOgWCZBqxDmgLIT3QN8QlidGznArXiUyi4Jp8k0SV+m xV3SFmTwAA+U2MLCK+CzEztMLLTBrmAF0UriTpvPZDoTgBG2IlJIe/VHABG+CJ9ywRin5OtVtTMx mNy7fJ/vuz37XaqjhBznjFmvbbfQzpD8YTri1cLe8W0u0PZ6DZEri3jw9LVlkHriUAJlm8wdf4j2 l96EVkZXZ4jfUf+NGxdBdq+UrCGeaVhzMRObLJ6gUE/KkzcnRWaxo3A22IHfb7vN0T7dQyXNFPx4 7tHShKUPI5JdQmjOOkDi3kKKHFaYETZLOO/+C2BS720mbNdcuiYApVbX8sHYdrVKtOE3h6Mj6YJP L5wDqE5NCtND3sBlQojly8yqyh2ykeHKA2Vpp1BnBTBIJpQmRVngdKMp6YHhTgmPkwsr5X+cdPzh HBBaULy+8e92c4c07uAjxCP6X/PUFRlWZSGrZg4eFhWKwXECGvZr8ahp79OHvhBfV99IAttMhz57 93Ws+7lteCLJvzAxltK1CovAfB5TWSzfWdzovXjWaMSLN5qg5VIH1/HwaAZt5zNGyObZtjyIobT6 kOMrpEDnAxRlqueD9p3sPXs3ddw4bzmRbNg3No/zSSd2Jf2TunNwAxL+LtYHUiyzjXLAQxeo/ME4 Bbu6qkk3BCPdCHp5VaZd/qpo+MWBisSAiuiVYH2T8e+L9OBijhof6JHL2EhVPsPFiY2GMTJcKsuU krddIuDHbsQVDiOan4SV6vSJ5MQbbxo2ICy0FG1yJablKlVI0LhhjoJbjfi+rIwxW1ikV0CAKEh6 Q4wEyQVkg3TDoTC1IsXYrBuRq7awXEIzSie+TNLht/hQgOjW+2VkzWWGhrFFh9wFdLP7s6ixs8jm CgGleZcQlN3skEDW0jfjsbLyposVXmnnwmrgp6evGQz3BZBfMoYSnczrsNZYALfV4OGXPKQq3Ag5 PCLQpoHvQGv1Hx+w1r4B1YgfUciuARTbZGpDNE9F+RF/4WQSMQuql+ljdUzrN3qIcLMG87tz4eTp r+TbXAg6v0mJVf3GBBZQZwupc1PCx/g/X2LkFITJbSHOobUEFd3xTCaMGxS1le1nUzjq0AHVxwrp v9OUdwu/Z6Efz8og+f737EXnuQW45SHXgd5TcM96EtR+0Vz1uwOP2WCjIFcX72OPbel5N5CdbkB+ GKt8vfBWrL5Ouo+zVKxSvIaFZDj//a1AGJrxKBk8JEtMIJ/4eRBV5ST6nXZUC6sk4+5JJX1vSyDA AElp3jxw2mOznM8kQnnTtzu/29pWPnKvuz6HAwubqUI6mI1Imj+Op9AkDszD2UtyQfow27c/q6uW Hgdrb1AW/1EEsUu0BQ/qPyJrt/1sMo8XT35P36nWty901LgSL6nVB2CvcBLQKQmKJOcE3eugkTCh Xz8cWMHZk9VfqFboP9UTgEtxBUSClLDwcWUHhOC52g8knjHqaLWsTG3z5SM0Wmr5YuAhzx1NUXDw BzkAl8gIkck1HtcBzu+RBMwYqXZmP/on/Dt4MYW7Y9oZkG6olDSE50O1/gJj0FFnrLKvJkXnWhuw VuFoGaMZDtlZpets6T8tQ6XpQK8SArblx20JPS5RNo6VJelX6Hj7o8kpN8jXD4O62SDc09UgjSqQ ukhTxQa+luIhsFAPZTqutqR78gemTKn351h1XAwfTRoGtVjrqtA441Rw9hzuUXOkwUitEaMzvnQR PidPsQLWbh9nMoPpQUYKUqbM9W3qM4fNn+O1cfoBDGjaHCc2Cf9vqTURVwwZgjrq8NNeXXdW65LI nnQfCkDTdZJN33gbil5xGrJUwr+Dw33j+yUQwHe7IahTkuU9dITU3bdV99FcD2eR/StkRR5jSxqz 6ySWkhCPYqC1MOkHpQjfdgrC7bZoTrN8waX/wjGu0RWpDTWcy4D/tD4NNQoDPFL+FUpGQyVsFRMm FfF5euPLIdwtmt7Uo1vAJfjYMPlNTIuorXBvn/OA4/MDBHoTwFW18+QnXRkl/c9reU6IDQHpea3z lTL0XW9eUyEC2paqHl5Ep3G4sgt9blY6UzID7LaGZa3S3uhSqDV5q9PuKJnan3FlWSSuT73btskg BGBzqx/v8ldTi1cO3BBQTblv4r5kd0n2DAhdPgQlL3FdfsbQzNXIWk4NgY0q54Oa02NQHRHU3rlb lQXJfbf9BHKRvxP2Ln/6gnuA9GdbbOI4T+PxcyzR7NVvGJU+3B9N8Tmlsw+amZdKzZwOAkSM/Qvy YCjQWvz9RuL5DqIvFx9iTBKZxkGcrDFmQI+FJLJmOah28OxPc6GZ1syAEv8uyzwxPaSrEdRRLGrN kQABua6nXrebSsOQFlC0HNSNd4OYJ3CLipWxCIj5bj+U3qQlRME6SQb8QyVqsV1gaGYWEixwj+ue V+JbxsST0oCdrXRPYF2ADcTy6qZL2ypJz3lD6euHZ0Z6/FbGLXw0oO3wyoS2HsAO1ro43FCjCMoL 5Ak3f2jmvOd31hivpAygNItLGPpeOpURAxdGGFvztFMHWgiaveN2wclTQxG4Sf1RhJC88CMVdImG 3Wvt2xRWxgcO4WtEFRtArzwmmaud12Zk17VQCu5zTrzK04dAnTU6cF5BC0OZ9Oo56TdjgAsWgX5I kGacC0qyBB1qgngueqhSxl/BMHhv+behlEEmgLaY4Mpjr40mA+jv6ElR4o+FFPaXHtXW+ekZx//V 8/cyvEq9cWYQymccyWdWM7uDMFaC8vw42wvLnyMXXQmo0uSoiFzB82ZBVhDmQ8QuaiDS3VApc5i+ 8wCggR0Ah9fA7lRgeEFrfzD1y2x9whuLQaHeConh2bgyIV+qjrRmMcKNO6sjkB6mwTvscDMeJu83 vx2Bq7i4nPkJKn8Oy2HeltFUnpNU1GFcCeE7G/IWxrNpOSbfLnZnQ0UnhJkyvGGcsCLwOSKje1TD ODJ7qwFDaqYYHLLQFvaPeqfFl0blZkSqO5DQEaWupkETwm1BdxNAjMJFErAskpaQV8MQfQbSVgGm kVlm86cmDggTMpEFypA8w3fm2/In/7oagQ8VXOVjAlLr5kIbGGw9EKiPnMXN8+mT1uNWIDstU+nS nU8I9bzQyVS0GQ9a9rZh81dMCmXLQkWLtKyy0yVG6jY1kOrWNGPjww7ypbEYa3IV5LtFcNdCvUAw MgeWCf/2mAOEy4LxXm/zZwrH0WJpL293WyqyVsCeLIh+urMLp3aKieDgsF664SByYkJMZraizCld 9a8SbPzhdm0hkb6P4f/4TQD0y8GcipJAMz2hJZTsktlWOFzJx9kFZvjG6wGmY0hQInCfIcIKPhJ4 TEwrd9KPyKwfwhRgmFzSWHurNfUJMk4Zx//jnP6cPM0Lm5oL8S6aOwp8PijfGZCII88e2UztsugO t1IknnjcHdLZor08t2LcgHxoJ2BUqwKiZM4blv3m/rmhUt/bqr9VzahysSRX+OIoFweDdNxGLIyy m/dBKEeTzLUqwGXB/tSmxlXAbTny7mHYRcI/8wprjl8vUDy9V33jZGTZitw8dTprLAXQPLJtHk0O dsl9j+ortRjhniGUgidBiGrnIglZ73ExkPOdBb16mhpp8pXiH9Yt6DZaFR2IzNQD8fGLdpXWJmIB J7G/CJn+T9PePSgB0tfKoPSYhlxdYpUz9KrorhpfI6n8l4a7K2/j4a6ovoEIpRzbEF9RHcZgL0B7 tEKezndIQ/AUWetnsTyKrqxeb5vPKJBUrIsatzwQEWL6UrkmvG68lXzYYrd6UOlqarGBMOfHzCd9 t5ZFz3QqcC5mJh6eBMpLwHJYTwNNIcr0uV0Sx6AxZIwj7pzrES4SjRurO24Rytb3aRp1l6Yj6m65 JdSv0ZjU+uuPeqzCIoYt/d6rb41EEGhz6nrmE58X/v/yPDepzPDlvDhfjcnqc7j9JBXKwfFz91cF TD/wT6jYdM1CtpTtLyo2yotQxSwqfm0IwfZ/CpRCMRmoQtw6DIbKM3bsu6a88XaWL1a4tzIJFIwd TcQJPIuvd17r+Rf5qnxdIniV6sCxYjK8KSoOOlV4IhjD+nj5MzJF21DuuHJMpEh4kpLV+AvC169x panyd8BqaE9WB2bLu6aqvRZTfMn5p4VKlXWHONlvfjlW82kn6kgvWQlt2/Gb4TWenAtE6qTQx5+X A04soIOLqjTA8uM3+ow7/zkKwLLF/Jb/p0Y7toD2H6jlhZPjoWngg2B2iUP6U0Gcp4yRcmFQtJvC UVSvngGDc3PfD1sDBsja/j4VoU6x1H9OYrVLHEFhwu4DC56YD0ug+yqu3TbBs7ETHRrOT1mYrihb qU5xYRwtdBZTzSTKuARpGvP71Z4W0ovRVRSBZlHAGB7dLZj5Ru7Qd6Sr+FDKhLo71ndwdNxNzAtv yVe4fuT+c+1QZZZe3Yd3O/zocFiJaZoKB025mE8X5XFTpR/HvJ/xrbAuWlTdl2+5eQB5fcMmCngy y9WPXXiYC2qr6rBT/GA/jDAZws3YL8UbtnKjohLTNHcz5Sd++HoH0QdOzNyjfhJmP/4/EK8cUBrl wV6Fx+lK7QFXa6DDJg4TMKYPcPao+9tqRgkKgmgtnxQzv5h8yjQDo6uzonrVzlElrLyFGMJaMQqo DbOmtxaodIhy99H3/r0KoIqqP5Bnzo9dQtNXr6sh2c7+3d1IWLDa85uViDjLd0bqWTeYWZjhZqYZ YU6A1zMkZQHjcRUZULHN2Sa0lyfO0aj8I+w6dC/vnhOYtZVxEoCUUWGSxsNxvgxdeiYdVzQ/JX51 YNc8CofLHZyc/7XCH+7V24wdPtZbJhsy/qjyk/oatwGWrYIi1M/0DAUV6r6FkxJ8tdPmjPeLeJL5 lLpm8vVykvQa4TswQiqXKIIb0B9pKIKBS2zhikSGxikAhVk2qSClCHQFvCrMVWpWueHQXZmPpnTp zlowE+5j/WNDoa1urZNz7on3zMtOl7ViTEm02nTefbcTG0+/0pff43BIlY3fVA+I4gF0uhIu34md 5huotv8YHT9l9WagfFfsOp3Q/FnZTm2Bye7cv9s8Pa0a2nVM9Q2OsIus97ZutesTvn+Olcehbwe8 3hqwst6ir7o9PcSiUrD6DADKjZD9i8mKmNzzOEbCEsAeTsJSk4Lcrh8yW/idXQ4VoGQFXJIyc1U6 blugjpNkEHzLwcPORv53z1XS2NAO1ztaxKOtrFPcPqusN11CHrEjX3571+JMcvZvoiYPAgaK8x4B H+9oG2u69w6howP0zo7KXKemM+OhyZ48tbMkELqaIBlFFwbizxD3QEQ8SU9Wm1GZi4SsdguLluur GyOSSClkYuMLhV5VA6j7jzSBLXSppgzwEBV3WTIGi23bzUUqPcZJ/lh6Kd0h4Yv5rkpIM31LvCkI 47zLOP6UxGLMQAg5A8ZPbuaCuljyIvK2LRMbarmZUKQoAQtTy+1ynWVNw4rFadGd8gyuwEzZofWG KlwqPmXUUtG0ID1m9ywM7L/cT0qxjHO6SqEhSmh2X1N8nG212UQh6f+4No/iSFOqGP2kW2PPxVUj zvkzhO5uNsbZfEDpT8rlJpXJjUIVUiBOyG6AW/5fL0sdkhoAr3tCvX9G8yc6YxehoGvvvagH1agh TGTwmcu27OpkrJiuDfCczlvGncTSYMfuZuI4pBuBbhmkIKlsFPqPv6SZXwWs+XjdHEUaYXKm+rHI u5lfOwgRhcNnj0DypQMzHmkmJX4apCUj3094GakugFBuK/f0F1YuWqMNfwQOI++2b3cCXGXW6c8e I3cTdVYFd/M8L7vEsLeJKj77s0cecuJhR4hKDXlnqve2xYewXSp9olhamzJulIjbAq8WUJRjqI3m WopEoYPDwJyMaN6iZhUdzllEpx73ZC+acrT6KeVFcqODG5lzbCSvCJLJ15QM50ZPMzkCUu6muQUW fNOngIEAq6W5rY8IS+fSz3SqIv05FEcQ+u6mCAyYh1b5yRUREXYP7oX+mC5kQbdy+Ps3K6hyqZ1v bqEnBrc8NUX3fX3e+HhMOiXnRAWuflrxgygwfgzSTbAc/PxEDTJ5po1cI8FNVfgHhdJEcqbVxYx/ EHAdx7v6gYOG16T6RfAZUpZzn5fBO478o4HxbG1ROyLxKy7P0/W+F+ZJRaf7GwHRZmPRV+9145iG ENXC7L88Lx7qV960vvFi1H266TbTmDfQo94IwBtePPO6fOQ6oL4ZfI+hYrRrwKMxjpGLlCBw8hPC fiYBuLMkbOwQxMgxaAYOmoUL27Ym5GToyh8KyzUO/oHW44eY+IOnte3WdYJMF2+ZhloaoWhY9DLQ xOYSG6tdUbzlKfsgIKg8K7BvgRimPS8xPQjUW0JmbKioY5HMslG7+jEIT2+I2Zu6K6Am3rm8SF9B 5wfcueHQliLWR6fqI/SK80SK2QxqTiK09mFRLiIXXRbUcN/ChbyaUorwJfY1CuGhW7phZzvZ1/RP FD6UM1RiDRW08v2KO9ep06APZgGmcF2YNF7uvyWZuBx0WbTK43sJUSnQm2rcBFb93AyoV27/eXY6 v5rhHZMF0odEt/pcatGr2CbzbjjKGJlNGbSE5QdANCtlK8s2NGk7xJd1zoqLmGFcNEM/BS+Ycyvc vDE5oujCIvBATt2VD/Lfw8gvx3CD5SWkdHFCtq59gky8K1agZ/AmQy7EzfAfpG6//QV3YxmANela nxBLJ2LHRh7vc5fILuyDXP0/LHPcpeo1nXV5NrOxhcRyWy+Plr8y7LidBmiR4q8yL82O32RpBk03 lx1qiqbbLtiY6pcGRtzF3Cjh4ixzI0MuPVDI8fQHWFeLep6xH+dT7BhFNhYEZ5Cly1N0Vmk0r/hs hLNawgaIWn5d5fKEYUAGUoj7QPUzwmv3VpVRQlZujpc6wpMhRKGCx6lAfLA6VMM/HPGCBpXRAYoP aMZR64rWL6H9qiplLKeJFq0ZEjA6QATkXa5qeBwyfy7YRbCiZNPUw+ogW7HGWT8XrQFuVEJ31fY2 bbKEO+sGV+9uo3qgSpq1/moZeIMKIsScqXAZOlIqV+jibIllGM6kjlrXD6wsov7l+mgsYfl/ji74 H3ugm0DMa4fPgnyIabSWO2bj5rho0EEAh0r2HcIwv9Y3t7ZXjcqe4MHxEoYHx5dctgkgaLdCGlVH 0LuJt1g1ng6nMAyrQxQw5ePkIQfa6mLQLe1JFe0SY1BLo8EHW+8cn/rEafGlqiGlj9xLeFNgcX3R 7ZlCrD9utbjBcMAMjDadhTnUFKj6uUXXMzO4VRMzUSlyKU1zMX4sKn1577vx2qN5vMokfU0Q+xAb V9FDoLsEBCXjVC0ihj/ldN84F3/VjnQMj620bUdofV03kVd3v+7Mxi1xbIFAQvbRVSjtancDMQf+ 93W+dBS4Z4cEs+DVMz/G4CucGvltCQPEELG+B6Oi649eVuiazNMSYE9fRQz2VnREBoXot2QM1su8 oZBxU19bTf2+SbwndNcBbVUm/DJvc8WTi/j4Je2uLkDRdhh0b9Lb+HQ0XnmwiUx6Brv/u9K8VuzT 3Pz7bRTrfXTiRkBu3gRzYv/9eKHrNifWrWW21Fp1/58ACrbVRvvUgeRtNNY9SApPVLDW5niKA7vX C28Hhaxs1UKWSVGdyqcrAOPJ4SYjy2FCeuJypof+82R1LpKFQ3KRI85AvK/ndsoHDhxwvI1gswFu 9Nndu0qZhr6F7dSCGYzDVBqNe1kSntkMEeOi6ewl/GhCKp46sIon5z5y3QUzWYU00xjzMrj0zQWu asDD6EmTeKftOPtM4xLRvRTl3SJwGB06ekO1T6zyQ+THwd8E92Wqdiv/OnIfV2wY16bb1xLPYFZp Ltmh+DosWwY4ykT0C/lltuB/Nr0tAxrBYB9IlOOTBbtmm60K+LJJ23QXvVOoqAuJrfFOpCuZB62p CvRez7llmNi3OY7J639bXZsvMlLS8vUnT6+48JI7Vk7mcQtDikYRrZ8t1pFjs14NbTlHjKN/Shh9 bbE/t51QZtI/H4ufWmYFqDlX6lD5cttus2cqD+HNe8Pr7aVxDVusgmnaey/A37H3goiome2Y3vSq jjfI2zXWLhL7WfmxhnEAFw0/lXI4sMq2HigmyrCLL59jG7iOBzkCGJGGklrM4vFW/c8rA5z8CUb/ 1s/b0btfnOqz0pScbGvWaInasaYwcCfc1mU3TL2xhAwJKNf4CYr3mvzxYGLvkxWCuJYD3rihn5C7 5R88LGqSj1v9gS2rSn3u4vv6z8MkkdB7yW+WUE4rUsX+xU6IA1l8SM4FXE0/JDOwzRvGXKrdr8Qp gO1+srn6XiyAsMG1TjLTAQ/P8V1OC7qxChFDUPSUI5TF6CXXMJRtRgWuSbA0rO2bvo6RdTKc3XzQ d8GDEkUsBARr4dgoisIdbymKvs+FKIjgEhlUtgfHs3GcIlyn/BI/SlaNfq3n+PpWhO86Q1xHCSnC EIkrDuARAibhGgtXEYX9JFvy0WG5rRxvGi64Q+H8pvxnf6YaYKyKKSZTMj8cqDM56F/64TK96FCm R2goHEHWKkziZfRJNTDdHgOexgW4MqYjAdY3SHtF6eWkp2cIHj4GFMtl7G+mZNlgEsb4q6iwfP3u oZSQ2IEbMwvU8PmzOJo4sS8M72D1nlGBB2vgA92TTTSnFDxX3DGt7DZwcKOFE1bHVaLOqjxBYZSo c5R+hDGpJpe13SbGPK7ETD/Z0++YjcyI613bZZ/pkbb3Nc/VNrraDI1rQV3poASaA6U9/eSPOSHj /RR+gHnCwKZV8TQPsfnej4WuPMwXyWzCKaCOvgThKWxspeu5SdTweUDG09dmO34oWQMph/aJGh9h GMlZeM8OpzD3S144qxYJm267cxdO/MCMMj6+yzhREGZIo5txLXlOl2BzqNJUnRX4yjtmFEzd9Mxn O+XPVp852/uEfCyo8o5Wx42XJx/CrMPO6g6GdvEzyBkjoff8tiJPylJuz+s+qVYZ3QuA4cfXvWuO rheBB3Eg1WdiRQC1H4H9qIKgWxQNuyuBVO7i/ha63+LpdZw2naIF3Sw0Qf4wx84MbnTf4cmhE+jU Km2W9NnrAUkog1pb3iv+WXrxde7uxZSb2EoD8qktDKTLgJ1n9EgDG4+w1j/3tsCtBoSNn7frIrJF zF+SFsRv+kdL/w/NWcwulgDa6U/Mtm+/5mRQ/2WUbB7+mGZzESiN8FA8hl0q8o2foc3HoMwzglJQ hn4QPP2zG2Ai/AAprOs3bDYI/wp5J6B46kwxXM04Wkz348MEsvSz4wOXzkTXJhc7o+PzdPPJmmvJ /Iwj6OuyqZZMVlSzTNk+EDYW6zLotV9hkcGIX/pMHOsLA1It+PiQSForhs83VZjurA8xAEWOEySi vBMlYFPqtitJwY/fhJEM/ivBzC88LgJkNGOTdzIgTb0dQ3NtIjybk+s0u2BJRuBFLi3CLKYkV9Fe U+sumLVF+qJnLvfNhMiqKDq2jpzn0k+1NKO3wfEzAdsYbYUeH4mfQoIb1BiHg6k8825GGv0PlMky JdbgT3v82sqtL/YKdl4tdDnNeymu5SmP4LwkDlw6YtdMcNhVFgkA7GjcpudVQPTMcZa2M742+DSl NEnuna8aeL2aTcrAocaxqHtEgGwRpsNBdpewb9ImugmSS34iJJzSqyQpEiPvMk6Nzxk/2JrdXUUQ ElPMEbVAXCXx/ed5GHAGunRJe8YeqjCPO/ekwe6BdAO4AFyZXrRkTQusICmDIHx/9eZhKG/1tzla 3IkOZO4kHEnLbzbk3Bb1T31p7hr39inarSC3n8lQQ3QDP53oqguq2h1fcKhrjtjVUlzDK9VtBZOj XUhhUq5AHA1LXMMlQboPzZBu38FKrj4q58/fxV409Aj6VmCaosXPkyLxDruZUajXF1jzDnSPAubv EKxjCsqSehEeMVJS1KbPGd/NmosMzyJ6nFAxC+epcE6UCaYuCN0YwoFN+KWJ10XucR9piT6LfVIx 2efoTYJ0iziGwwSeQ6z+MoUuai5dPxvYvmhBlD6AuiX9LPc6owSWKxx4hQaBuKgbWXHNUYj70E1O Rm5Jscs5op8nL5AlDEhC0x0BVGZVrajjUhoATf6zXC1ESclhaVW3GVNVOmUTA1KPy1+Uy2CoDj1r 5d9k2nGEjf3oyJEvCmM4D/8+898uftcyL5VX77ZcPuRd8CG8Mczi1OYST3G5N5FamBTu2CaParEK 1o2pmb2msMahv02OOM1rAwFbuAW5/kduxrRWAAVGcM62h9fTgO2wj9KhlUVO05JL55dEMPv/OMtr ph25UhqT/V4c0AyxlJPrjc4zdH72KOS6I4AetmjWyXlZBiMfbrhmnkK6wgbaRk34sdq1FP4F53Sc jQN+1wstX38GrSZZXxw9z3L3Ehp/IR2O4+Jpwvf58+4Pa3XxWo43ZB7OO4BwwiSNYR2dHM9qOlrg mWhbBEk4oJBCQnjUPFKX4b/Apxc/h+OUQ1AEDWsogF+1zx3YWgE/ho0GITwNBvj/C5mYMO9lYq+K Tkf9tUhk5H72Z2Lm2uA+6lV2Er9TsF6IRSgPZrctyahXrdPamMoiUAICDwC2C+1GSBpSHxoJ9RJR kJw5+7DSKvO3LTbGndtaccptshIK65R0x9U8xKZ+kqS/tmkNrjGuD0bhXzdbrQlhEOHCl5rD2FWU 2E7yUQ2iuLqPiLn13Tc+zF17lSZz8YdftpwtU8mDldAhmnuPC7pNqIxDV4LMaS/iagC5w28XWV44 OJyIKtml2wrd2/uWuwP+MLY4fscq7zni1m+1TIofZWr/3EdF9FyqWNMlq6Z19/4m7UktoYs9HYPC 82lc5+TJ9nar6SGVoZZrMP6VP3/0AtRj07T1Ni5QairhVk1oh2o6axyyaF2ugGsseEbzvJ78StkQ VCi5/Ayv4edNW8tUN9bDZuPeo9j/knqURO6cH30AmFt+AsrYH3gf8B1lgbu3N4i0rMY/qAWOsZWz rzYxhjPb+0OGuVDgWziAlzJESFPm1f0pdoDAMVTs0kmjebCSR+tgEpKojxDmAfgPB4hlzC6SsdDm T9EV0tpdMNeOWuD2MtQaiblVUzTL9f/GE/DxbrgKSZSqs/ZVGarOGWQCZx+QyUYfvlCJnvwLqM// SuA08q2S0hxZwLdL8CBTfaMwi8fePY87k8XTtLcIGIVFtOqDom6Yui3GHo6uzS+6UMQiiHRg5gZB oIy9i/5jZwfcTfJWBMrQKNRY282KA+mzSagc69E5OlOrLb8KdtEyD4mOP8zJsZV3V1cRTgifvkJq gPrBFpKCRFwx0o/fqB3mxISWasyztytOpAgAfnlidzb7mgpZkKYQI+drqZDUALKUk36hHvDuOJgL dbGd1zK0av+YwgHLuY8ehFq0N0geIRtQgrap1YqW8DITrYgGeFVqWl2XP+UlgNGC9UdZ/k5FX1xg BK+uKLlGH1ZQYC2uoK+du4ZlAtrY4p8A7JouYsFibvvdTb30SxetbpwS/zx43Zfcp2A63gbQpHhE 7RonsTxB0Rf4V/RT6xtRlJTW4utUJU4j1bfeAxtEqg87sWBmiwU/qjLbXIh2zQC1qaKgAZvyglqZ onaDByzG48BjdmpHpifVE8Je9P05DRqOTSOBMQ+uJLMaBieFIUrT5Z8xwiA/HIyfwEGwGUwMBnlF twub68e0PeEW1vBUGCHruwOGn4dYOfZVPBys34ga4UI6Kh+N0OHlurbv5KRLxDz0n24SN3Hidhls cwpBl+8NXCFlpDuWJB7QAMUzc2yUl6WY56oUr2mWz3o8vCwq6v81/DAfWU0/0YRUB22FC8UOcQJH 3EA2y5GGTXmNlIUTR5wDCkY3NnwPekzQJZDtc6YrTEFD7f693JNaQJziBEFlfJcQZgKPtx52mKjR G5VqgzTVS5rTk4RxCpj5O07p4IXl6rhscKNEAXxYtKuIJ8o10UBN3eqEK+Mhu05ffVqS7ioCODal X7IpO8/eqolE+uZVjZS7NIPoAGBf5eJGoBqm5Snvta/ZPS8lSDRO51P1QxaP3xyEnfzhX08r7iPg xEzWncOAOl2aiWvv8KcI47ZC/ZLw2uUN/KUqVkEENRhEPVi6kiloomNun7tNV5SsJjJJMLpMH4D5 czjG0UalCUoXgjKQuKmFvv5yNbhbXHYjpTU8RqbhDUbAjGg9k2oGOF3nNTATdoUqYU/5FivljgH0 KT3xhRE9A7IX7N0sUHdrLLOh+dbsiJgAdikS1lIo4g+VkOQdSJ81E7Ln539nc/KaWvI7IN16fzZM WR0MW/PFlLVPrrszPJhIckf0QFDm0dF4xj5d0xD5DaGVXwb3hfoxdDeduH3b8q2DZl0xw9MyFZ8B L8lCJyckLJwgGxsMDNWw6w+QfXnhsiG/q0M0rS4dY7LZWM3RkyZWws8O9R2Oa2K1UIci/bpaW+pX /+r7myUYPU6+QgFK9rX+Udg51uX4igVN8EMUFSZcLlAsWpS1OOadVJf7gbShnNRdeJWLFrBeM551 hAS16cPD2epsE5waQYZI80y7YW3Z+tKWk//BRPd+vKvaYZzK5LExBTY3cN/s8BwW208uuIrChrp6 zJPguC1MDqAgPUltt1+If87JJGnHV8smM19aGd3R5/zy0XQO1CG7l0EkQnoLJTlu6D5i/oVFQc3h juHatXILu9LuNT/A6X5OSr0IlIvr7L7ruxCjsPn0c0NpixEQ0qcBgL55soAy5cc1fmvG+CxHYTNF 4yDNloV/U0utIeSL3ZtqnRWMAmLptM91FRc/Y+aSXOF9pdjg3zln++VoAwD2107SzCLYips3Vlb7 PQfghwQtl5vYl3UiqhTr84tZHjs5e9zMkLjH3v2VXBomQIi9+3t9x4EeOY46ZXJt/oCk7j0kG1BF G3EiP4YQZjYeybpb272oODL7c49KIMABidnwmNZVRligWb7/y0jRNq7GodjNel8p1ZkKCfGqSs31 7OmfXNeznyUja64qv3nyi49juNX535d0+VnciacBcsR0Dl3suesxU2N/jQvlZ5Q9BCeUIhzBbkrx QVCJ7YfFRxpXer74js+gKnKdx45uh6GWw9xgm5FPV0xjpfgOa1FahRJxRHUF0Sxqnq0+swUhvekt 3kq1N/LWdEc8yvkXJ1EeMfoj/BlO0qO/mAdgRXm9sBCogiQP+3lqndzdapMTiXo2O5Pqqmfrxs5Y FCRTN84L2Au9c+hvf0esWjCTVpfkfKH4IKxwNvbX/Ov8zRhKYEMiAAR7P9KaEr8lxJRhBEdkT+sC ew2ERanLMCU5pgBlftD22gzAJ1E5wxaSKTLHhXnX2QPc9zp0pcww9sZCCx3+o2HaBgUMYcHTubo7 2jNxv7wGWlFcnnjjFqntktagy8mUtFi+PJR/OZ4Fu+QQbiwiO3PUygeMfrwZHHMa8wh3bX3PmyZL wRPNEmuJtgFvtb7bwxNts2DEG5q6zLESWCaVA0OJw0hNSikIJAupVW3XJSvic4ZGHjOGHpkvLvhx xYAmTqhpJx9AnJCnq1DHN5wTgS5UOrsdPidAAyoTW5kcd5EKub5nyG1mjcQT2ptOwd/Io/bE5ZTC p8MgROwqzWu1qXukLhjsA2GKortlNXM005IPR9yj9LWoR3wEuUybaZ1r9tKbEMaox873PtEf8tK7 5uVLh3eK2jg2zd5LPk900ewV3nk71XPL83Na0z9270r48RJbWiHyy4TMHinpADvTy+QUL+RnYIjO Y3uM6SOE0gkBE/6i87tBzxwIwACVsokg4YU1oxsDZbYbDyOoWP4Hdja7CtuuzSTKUh6XAgMmAp0C Vzu/ZwMIA3Tvr0a06vcVW2BPkAgl3ZhH+GIz45sE8LhUmLORd3m9/vQKFu4j1JQfExArGcRrkBUM wyIWQqoRrp+KyiOP2rPvOIMOOxIRuPEkYjpVY1mK4nydLfYJTm4Up4PajwClZR8YE/64XlxnsOqe qDaxyLbnQ23jGKl4cm+w4LTGn1dv6cfwMDHqFR1+1OvbkVSduxqrb2/smggLTLBq4b1ZB/GsCct9 b6nzFgxPq+awp+9ahgkUdXoak4h1WwpxuH9+I5PevX48CLUNPLI8sZWfB9wSPvaCuRfOS0i6VeoV rbBdQZHyZghwmEXOJTiXJoyK+/5VVopookki4/h/o19gCUXu0xS9w5T9B2bYZW2baYvwMYv0Rn/h 3aN790z4S61a4FkppcaJP/vK6E50fj8Obu8R0BMX1PjHhs4t6WFSMUGikaS4HXgIQEv9hEljnkyN ivJFaDPC2U//JMoQORAm88C68JXV2nb2LEU+81TMyNYw+A2HxlpTjj+HGTU37qQbjE9YWNN8M2uT b2boDtFkfI24gWktId+mFhvaFzdY3GWIP948L3W3kq+3jWmfQDa0us615K8NXXY3ZKh7l2gRDIq/ aRxn5HlmQtOdY3VXz/59294xpu9dgsvRj72dkAF/iSJY48LyuNjYDp0gTVokdW6ISo/idcB8LW3d SKn0zH2E57ELX/eRvM0kun9agqtp9lt+riWtE3I+bewexqP5lGXQ/2izVNoQQrM66n7G3BQU01Qt DlazbWlolYXdjLC1RerkzJ2RJwV0sDxOVwwKFEmL/E91IgBzXcK8YOujjjUn0CHChQP9ZUkek4KM wNpwsYdYMnP323QMt3w43InoSjCCMgTOILp8kQyIagv8hhNSkeZ80BeCSyfAdlJGvRGtTtlfM9GJ qG5CYrjzGguA9YfEx6deJZmiXwLm6BSpx9N4TozWHtiHlaaU4H/sGGy44RBs/1jEYF+4/b1uoMPp ldc5xG642NAyDWeeptDTEJCp65vbegBqwhTJiB5wr1ChCXkJ66X80/1rRxkwqbplu1fvWtfmRVyF FlsdxwN1jIynqCvIkFSdEyiSUiT2iwFh+Lbu2RA75baSwfwJ7sxwy6Muv/Vazgaa7TTOveWtgjID uT0LvJHhQ9TNwEqwdoO7PnCRR0eARlHKkgWEDvT6jCqpyZjn479mpJVsvMf4tuCuudPxUmUwJtMP 1cht/mBy/wakFR59/bfwm6W1+RwaREZIbekdJe6gY/1ummjjRYD1SxF4GO4MHiW4MkaNvPfEWxgO TfHglZrX7n/xE7hLbmDQ9wpudoRqr07dYpEpM3QBz+oIbVA3sYFrrsJlVnq9qgbX4fejvwRxMC04 m3AIJ3/vc5wmUA3h3XnftmXo6dSNDxjc9s3CcrASwm7FZ7nr1eGRuQoaZInIa4+IBA9icMMgyiOf wdMiNoDGVIPjZRce/jYTyn/kvR6P/y0KosemaEmlzLIKD4PQA+hCncNjW2mB+Nr6Ywvwmm8kKXB/ 8IcMpLolQuCvSJ7Ziy1LGTTDszCYfLWvvuAC0IPMdE8n4uz3yGVex1tlIkx1sedwtHQ3ryQbBbzz IuIVQ42YIWv6tt8AxFFh9Da6PE4QEJDyK7fEtEl3AUIWiUF8wnn8ZT2WYXmWMMKu5HCRIdYdKJm+ y0ZFDujfpJsrfDMlymjeOSmocu2iBaIMte+iKBMPjLOnqaVU2zu1cx+mk2H32gc3yZWfiyqh+2pB AWT2y+BXb6wpzJhlSLAYdkkAj7VGV9Y6hEmTjeelZpczyIs2hoEPlJnd+htZ0Aysf4NFDK56Xki7 G7TSL6fkKycN5wio8moJPa2+cAGEYQoKVZT1KmQPesl/uJclPnouJnBYuhy4iV2QV/4uKAdhu6Li 74fCQKVG6SAtMxtavjgb7OhgJv50nkV4z4AGPuOVmXIUtOcG3RBqoFNtUFYXKoWwfbOcG3Ugq6Kz Wp7CUKIG0VTVuL+PCp+v+IrRo+xpIZGJykFge0wcNDNO3hbPah2ti8fy2NK7TI/Ew2bfxmP+B9Ai BGu5LmvtutZJORuQFOK/tT0UswjV7Tu3GqNqn2STr3Ua8uY8wY/JXj17h3TM7T0qVYRnceOOnuMp 8GyVQGW37ZxrWt9xGkAZcwFLr/1EahPrsVc2d5bTwOhHZeq+iGiy5iLdw5lG3FEU0vjXks7AkEnf EYiivpk615Tm6cMRCR04mYCt9lmbXI8iuZU+OAX28PisJ05KliZOYzdnebfKNEaZ1za1/13QdgUB zPD+8VaLzuwkuj0lJk2J9ylWdPLN/qNCCnSL0zggdzkEL/TrIpU4MEnPoojFz9CsyQdk5eVp6Czb 7SNRnb/fmdPfSdTwjXaagusqDsXMtUIouRyD5rz/2K0vSVnSqhcwZUeBSzisco1dkAkRV6B82Qmy iJFGxRbT/uelnGgkmQTxUNaVanlLo4TDOCqfLEbEEU/xAorRtMTvjXOSV4boOiHrqkSF9NKvaLuY +o/8aTFjiNOAPtUrp2J8vLo5fek7Zzx2dEznqKU9bcHpp3uV5hS803iq41PbzYZLa+CMEw/Hf09s dlN8qTvWlxeamQ36EOckYvDjr/S4jKMEGAsnILOeh4TTfj1c5rYYExJgB8ny5X9Pwwz8my1d/4FV R8/LhAOZOwQdmLssFfLCxqxpUTnLy/LzF1adq0/ZFeto4a5fmwRsy07tWSzuj+0WGN5kZ8JHHcQ7 B5LRGNJgE8vHfa8IqKU8P+p1jYeF9ZuZY1gwtRXHiDy0+jGaPp7G1S7S+Yrn396+gqn/zf885AKE nPWop4OTusF4WbgyWFiCXE53Irz+Qz9M1ZrZTI9DPM+/+nepVv0oJCVMXJo0IySboXbJx3Cot6rv Gmjm8lP8pOM1vxdSp8MGBLjY3uIPtvifqJ3TXJsn4SeHm5hUPs0hm0dCn006FUXeOjKOViMISARA Fr0lEZv510sbSANDV7nAb77IiuwR+Gm+GfAJ7XJfHMhfsnjyAyHMKpNsZ5D5vVECJJVk5jaK+WzX A3si+ivcyv3JSY3D+QR0Kn7h60C+RoCCTMtIybD5G4UaUdTUQDoOP3QFLMwqYJcxhx0gg7OAwXrY 7UtANLUqqhMR1MNVFQ2eOa9R7K5vbZHTX8MMoC1vqPktd0Ko+597I1N49+BV3RK58CDEKbveQT2P lwzp3DFBJhO24pORLWrw6GqNxertMdL57l7CCEBVZPMv8rpiR2tU4MhlGtGOLtZ/OYU9FP5xxhuF 2E8+jJISLEBOiBTrtpnM4nHV/TRyjTNJWcTiVpnqpmg6vh+DOgGvbHVdQrqqXGiqiwgru7bSBQdc Z6uYhBfIrsG5FHxvrSoEfyCLEaVFo6fAnEDodL9sULnQTmRU1c0xgsvOoQi0h+dG1vTpdNXRJT9k QM+FpKg4HSZIoDrhTL2W13tvLNMAVKp9mOiz3s24ZOX2pRYB5towM6/nLvNvrWhjSPFVWysw71Pu XOE6sOrzNy+CF5Fmzmn9HcC5SdtpsuFQYHAuZujdah4CYdhvLZDmBnPdJlKKkl/x8navIPVmkEuy hgepcMS+9XMUWf2waSBzaxqwxmrvjnH2qEeKBKhbvJiUJNxDAwN/LlQ4DM220fcUt/+GmiOq3DiS gi6jbzE6KGN3+b+CQ2gw5hE/BtY50ClCdnlsU9s0lZ+wwM2VfDiCOMTPcxZ8jmpS3AxUX5kYt9ML srl4E076cru/msrQDQiQzETX7rCIZKb0Y3ObJFonj3b9mfGf2to6rfrQJl0iFvL+te6KMZF3xs62 gZzt00TUogMh+pX5+5PMBil23ZYVk8Eang9pwOHth9W0dF6GHVpap/hkvh0XaSY/fPv4ThZzCHJj 7TqdUxXTjLWsxoX1oF2DibkFQhISLvdO8BLQ7GofXSm7TsoQHEfiZ4OWxwtXUJw6EtmVqYnZFpjq KNUkUsdOJU3G7hXDBlAH+E3M5c6o8NmCttk83U067f3L1z03zkST9KC5fBtH4W9xQtY/r89GN0Zt Lx/N+dK8fH9OFoiw++FQt0lkG9UbCL8UnqTiLWPe1tWIKTx9JjpcvK6EmmXFShx6+PXvlk1p+9rW ltgs6o3jOuzEgENWpCHrE9kSnTTGrvogRRv0ZG00bePg5VpuQMI6ujHStJnSUcqRwQH8a8UGU4O+ HD4ylHr3kh7dZDCxLBiXBgnXRYlGjCvRAXGXbw0MPhG685dgKUQqOEZiWHDsG2dnxztuKmw41LgG PjKexNmU8YgEgJ22ARBRP4kZ+kXG0thRc+az03sINKsJIXGAFpppkvz0Gu07jHhpvr/FbAvxVAHy H8A7IfU2FF6tAX73575+foCFtSWtH3Y2LqvgAvFnKMEnj2X5BiVd5tDWlW8GSt7vwKbn3zkfojBf vq2eEhtlgAh+TgL0e05+FegG0EwCcsn3uA5iLKB5UtvsUYeuj5kd5XlNUpXNRE/Bj3jRZdUcVEmJ WO/XqKRU8u3GomWTD/iZFpR76GwgsCe4HQjkpapUQYFcyanvYeRonX4NwjrfZSz/1xaphLdp6hPU 170FtsBgYODBeNj9Igi2f1mZ51ucH48zAETVjhR+nsDoe4dOQBLP7X0u/CQ+cCWYLjzgQHTpJ16P Z6Hem0/56CvnYzI5ZIDL/zGjkiBILQXW1FhNySHMhb9ODT9Fha4DXWr2a51oo9dMlnC2BrMi3KhL PivNfPnDiW+S4XpICaGEsFYFo8Pmhyw+lDH2513r4reu0mhTcwE2hUnzg473VDouqwuIRxpmN3eX HowwyFdkO1mfSqTiSy5XF5+16ZI9nJ4DZBLyBKbuQ3BH80Y2WtIpDf/bgVmGVhX+irWcsyFYSe49 uoshbuEdfJWeJnbT40eKmPBqVG1wCSQH/7qOw2lq0fcgWnhMh9Ni/gqpTJSQp9njfkIOnz0xl1gS aRCpN/LhOmNQkIpS+9bpbsU1Heyhxhl94S4sT/Fdw9qTmKgwNzAscICchnHVI/BAXNmOq9Ymu7yS 07hfdap4qgTV+pZND7G05RI6b05ZnI+mHULEtgMJKqWKRzYxcmBl5XMmGs0aFVW1UtJrK4K+Uf4r NCWN443r23qR3L0cAiSApLgodAa1j0/iKcSXsUK0sVzywKL3SDaMa+YC9Ty65/pzeo/u/l+y3TAG ShVyHooK/9omOh2TVY2WmjmTScaFOjs8iy9uHeQMGvU+5Qzsr2VGmKyzKx8bHDwr3eB2vrNXS1nr GOUwTGFpU4qv+7FA2Ymc9IvLhGhpiLXZOHLtnmr416tiKD1OHp+3iUU4HgB0QUSyg98ieXZlSrOS +IBoALovPudT3U0LOlaz7IsmSEw6Suy9BJNzo3Hexf6DXv3Lp4wU1kGanggyL6i8S16MPTK7Dyn4 ocrBI+gB7eJjnUYKnQzt1283I8F8E9vMANUKhX049It2drHianZdL57vZtZ8gxTJfqJcugp5RxHG eu67sptpIAfSFO4FIV62JmHTg66jryisf9n8qWiA4d0mzgzI72CpadIOKWy01kyrachuxLPOE8xf ARZacpIPqfKhPusrn+JMjmEeTllR+LO4zZvd0n/xG2zvIHCB35tjucuRJlaPx7ftO8h0ZhLVp5N8 07h4yGoPQKmhcynj57x6J3OzIatAqCVgSDHcpML/NKFKQev9SaUWclOw9Ny48gwazaotc3+TPlHt g2nhHvRMySmIL5LwZqKOb8Ou+BVaiTdBkA/kfaVYWqLzYF/gnUiLI7VGGmHdGFT5wic4ppvdQmPj KlOt8i/pRfdXOJBtKrWeVHefi/WzmCVJBgqLJzThNdBnqiHTIZi1SN8oSttSKwOyU0RV+LZa1f3z 5JAVJzNT46bm+JCJyNyfMKmIfCEaTETijwV+VzqD+MCe8AfzFDcDG3pACsGPi4j75yPimfUpg1+y ajwxLtUkNb0lXDJYzU4iBuUFAwB8eUbRatdUAB5D5jz/jUQSqmpBV3GeCF1S/dNwLb00WGDSq5ah GuAFCZLU+/8jZU951DLb1Usjm3r9oUjpsQIFZPYktzJHn++iwgoPpmoD++6cYlcPArvy2v7tk2tT 57g42N04ECkp9IrdlVErdrJtRC97AdAg45oj5lkKWUMaPxeGgCZAWZ61QfmoBRTi1R78SzgToBVd L9Ld3Xah9XpnYKSKYqpJnbEYKdFHMI7mZg6fE+M7CA/GxVUXFWIY/20OVzoL2r9GyVeCXll0naPi nhiS+NiwJ5qltnZbLUVr25z7TWIgA4whT7ccwkRknra5SNsBzSbj3zZ4Le63Ziz+BV6ENn6HaV16 kWTcvimuRqwvqtz0qX0oymfMkrZe3whxuB3unSmljz/zbyyfQzStZ/sc5k56IvNyGFYCWtFOLAbX G5aK/UDnwJ3EIbe1GJUcMYdZDCNcafCWiCDznYTyX8wIuTagedvQ1qx0PIdyhnV25izgsIdHI7Yi +CWuqb/Crb7ekYCithBIf1Muh3V7bEnnnusbIausOd2j2ASHYELwawP0QDWH/qSOADfJ3t2rbQF8 txc3Pt9nOoI4 `protect end_protected
library IEEE; use IEEE.std_logic_1164.ALL; entity A is port ( clk : in std_logic; input : in std_logic; output : out std_logic ); end entity A; architecture RTL of A is begin not_proc : process (clk) variable not_input : std_logic := '0'; begin if rising_edge(clk) then not_input := not input; end if; output <= not_input; end process; end RTL;
library ieee ; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --use IEEE.STD_LOGIC_ARITH.ALL; --use ieee.std_logic_unsigned.all; entity clk_enabler is GENERIC ( CONSTANT cnt_max : integer := 99999999); port( clock: in std_logic; reset: in std_logic; clk_en: out std_logic ); end clk_enabler; ---------------------------------------------------- architecture behv of clk_enabler is signal clk_cnt: integer range 0 to cnt_max; begin process(clock) begin if (reset = '1') then clk_cnt <= 0; clk_en <= '0'; elsif (rising_edge(clock)) then -- if (clk_cnt = 99999) then if (clk_cnt = cnt_max) then clk_cnt <= 0; clk_en <= '1'; else clk_cnt <= clk_cnt + 1; clk_en <= '0'; end if; end if; end process; end behv;
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Wed Sep 20 21:28:59 2017 -- Host : EffulgentTome running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- c:/Users/markb/Source/Repos/FPGA_Sandbox/RecComp/Lab1/my_lab_1/my_lab_1.srcs/sources_1/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_axi_gpio_1_0/zqynq_lab_1_design_axi_gpio_1_0_sim_netlist.vhdl -- Design : zqynq_lab_1_design_axi_gpio_1_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_axi_gpio_1_0_address_decoder is port ( \ip2bus_data_i_D1_reg[0]\ : out STD_LOGIC; \Not_Dual.gpio_Data_Out_reg[4]\ : out STD_LOGIC; \ip_irpt_enable_reg_reg[0]\ : out STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_wready : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 4 downto 0 ); \Not_Dual.ALLIN1_ND.READ_REG_GEN[4].GPIO_DBus_i_reg[31]\ : out STD_LOGIC; \Not_Dual.ALLIN1_ND.READ_REG_GEN[3].GPIO_DBus_i_reg[30]\ : out STD_LOGIC; \Not_Dual.ALLIN1_ND.READ_REG_GEN[2].GPIO_DBus_i_reg[29]\ : out STD_LOGIC; \Not_Dual.ALLIN1_ND.READ_REG_GEN[1].GPIO_DBus_i_reg[28]\ : out STD_LOGIC; GPIO_DBus_i : out STD_LOGIC_VECTOR ( 0 to 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \Not_Dual.gpio_Data_Out_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \ip2bus_data_i_D1_reg[0]_0\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); intr2bus_rdack0 : out STD_LOGIC; irpt_rdack : out STD_LOGIC; irpt_wrack : out STD_LOGIC; interrupt_wrce_strb : out STD_LOGIC; Read_Reg_Rst : out STD_LOGIC; \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg\ : out STD_LOGIC; intr_rd_ce_or_reduce : out STD_LOGIC; \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg\ : out STD_LOGIC; intr_wr_ce_or_reduce : out STD_LOGIC; \ip_irpt_enable_reg_reg[0]_0\ : out STD_LOGIC; ipif_glbl_irpt_enable_reg_reg : out STD_LOGIC; start2 : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); is_read : in STD_LOGIC; ip2bus_rdack_i_D1 : in STD_LOGIC; is_write_reg : in STD_LOGIC; ip2bus_wrack_i_D1 : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 9 downto 0 ); \bus2ip_addr_i_reg[8]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); gpio_io_t : in STD_LOGIC_VECTOR ( 4 downto 0 ); \Not_Dual.gpio_Data_In_reg[0]\ : in STD_LOGIC_VECTOR ( 4 downto 0 ); bus2ip_rnw_i_reg : in STD_LOGIC; bus2ip_reset : in STD_LOGIC; p_0_in : in STD_LOGIC_VECTOR ( 0 to 0 ); irpt_rdack_d1 : in STD_LOGIC; irpt_wrack_d1 : in STD_LOGIC; ip2bus_data : in STD_LOGIC_VECTOR ( 0 to 0 ); p_3_in : in STD_LOGIC_VECTOR ( 0 to 0 ); p_1_in : in STD_LOGIC_VECTOR ( 0 to 0 ); GPIO_xferAck_i : in STD_LOGIC; gpio_xferAck_Reg : in STD_LOGIC; ip2Bus_RdAck_intr_reg_hole_d1 : in STD_LOGIC; ip2Bus_WrAck_intr_reg_hole_d1 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_axi_gpio_1_0_address_decoder : entity is "address_decoder"; end zqynq_lab_1_design_axi_gpio_1_0_address_decoder; architecture STRUCTURE of zqynq_lab_1_design_axi_gpio_1_0_address_decoder is signal Bus_RNW_reg_i_1_n_0 : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[16].ce_out_i[16]_i_1_n_0\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[17].ce_out_i[17]_i_1_n_0\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[18].ce_out_i[18]_i_1_n_0\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[19].ce_out_i_reg_n_0_[19]\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[4].ce_out_i[4]_i_1_n_0\ : STD_LOGIC; signal \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_2_n_0\ : STD_LOGIC; signal \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_3_n_0\ : STD_LOGIC; signal \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_4_n_0\ : STD_LOGIC; signal \^not_dual.gpio_data_out_reg[4]\ : STD_LOGIC; signal \^ip2bus_data_i_d1_reg[0]\ : STD_LOGIC; signal \^ip_irpt_enable_reg_reg[0]\ : STD_LOGIC; signal p_10_in : STD_LOGIC; signal p_10_out : STD_LOGIC; signal p_11_in : STD_LOGIC; signal p_11_out : STD_LOGIC; signal p_12_in : STD_LOGIC; signal p_12_out : STD_LOGIC; signal p_13_in : STD_LOGIC; signal p_13_out : STD_LOGIC; signal p_14_in : STD_LOGIC; signal p_14_out : STD_LOGIC; signal p_15_in : STD_LOGIC; signal p_15_out : STD_LOGIC; signal p_16_in : STD_LOGIC; signal p_2_in : STD_LOGIC; signal p_3_in_0 : STD_LOGIC; signal p_4_in : STD_LOGIC; signal p_4_out : STD_LOGIC; signal p_5_in : STD_LOGIC; signal p_5_out : STD_LOGIC; signal p_6_in : STD_LOGIC; signal p_6_out : STD_LOGIC; signal p_7_in : STD_LOGIC; signal p_7_out : STD_LOGIC; signal p_8_out : STD_LOGIC; signal p_9_in : STD_LOGIC; signal p_9_out : STD_LOGIC; signal pselect_hit_i_1 : STD_LOGIC; signal \^s_axi_arready\ : STD_LOGIC; signal \^s_axi_wready\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_d1_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of intr2bus_rdack_i_1 : label is "soft_lutpair1"; attribute SOFT_HLUTNM of intr2bus_wrack_i_1 : label is "soft_lutpair0"; attribute SOFT_HLUTNM of irpt_rdack_d1_i_1 : label is "soft_lutpair0"; attribute SOFT_HLUTNM of irpt_wrack_d1_i_1 : label is "soft_lutpair1"; begin \Not_Dual.gpio_Data_Out_reg[4]\ <= \^not_dual.gpio_data_out_reg[4]\; \ip2bus_data_i_D1_reg[0]\ <= \^ip2bus_data_i_d1_reg[0]\; \ip_irpt_enable_reg_reg[0]\ <= \^ip_irpt_enable_reg_reg[0]\; s_axi_arready <= \^s_axi_arready\; s_axi_wready <= \^s_axi_wready\; Bus_RNW_reg_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => start2, I2 => \^ip_irpt_enable_reg_reg[0]\, O => Bus_RNW_reg_i_1_n_0 ); Bus_RNW_reg_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Bus_RNW_reg_i_1_n_0, Q => \^ip_irpt_enable_reg_reg[0]\, R => '0' ); \GEN_BKEND_CE_REGISTERS[10].ce_out_i[10]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0040000000000000" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(3), I1 => \bus2ip_addr_i_reg[8]\(1), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \bus2ip_addr_i_reg[8]\(0), I4 => \bus2ip_addr_i_reg[8]\(6), I5 => start2, O => p_9_out ); \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2, D => p_9_out, Q => p_10_in, R => \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0\ ); \GEN_BKEND_CE_REGISTERS[11].ce_out_i[11]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"4000000000000000" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(3), I1 => \bus2ip_addr_i_reg[8]\(1), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \bus2ip_addr_i_reg[8]\(0), I4 => \bus2ip_addr_i_reg[8]\(6), I5 => start2, O => p_8_out ); \GEN_BKEND_CE_REGISTERS[11].ce_out_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2, D => p_8_out, Q => p_9_in, R => \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0\ ); \GEN_BKEND_CE_REGISTERS[12].ce_out_i[12]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0004000000000000" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(1), I1 => \bus2ip_addr_i_reg[8]\(3), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \bus2ip_addr_i_reg[8]\(0), I4 => \bus2ip_addr_i_reg[8]\(6), I5 => start2, O => p_7_out ); \GEN_BKEND_CE_REGISTERS[12].ce_out_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2, D => p_7_out, Q => \^ip2bus_data_i_d1_reg[0]\, R => \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0\ ); \GEN_BKEND_CE_REGISTERS[13].ce_out_i[13]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0400000000000000" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(1), I1 => \bus2ip_addr_i_reg[8]\(3), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \bus2ip_addr_i_reg[8]\(0), I4 => \bus2ip_addr_i_reg[8]\(6), I5 => start2, O => p_6_out ); \GEN_BKEND_CE_REGISTERS[13].ce_out_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2, D => p_6_out, Q => p_7_in, R => \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0\ ); \GEN_BKEND_CE_REGISTERS[14].ce_out_i[14]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0008000000000000" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(1), I1 => \bus2ip_addr_i_reg[8]\(3), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \bus2ip_addr_i_reg[8]\(0), I4 => \bus2ip_addr_i_reg[8]\(6), I5 => start2, O => p_5_out ); \GEN_BKEND_CE_REGISTERS[14].ce_out_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2, D => p_5_out, Q => p_6_in, R => \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0\ ); \GEN_BKEND_CE_REGISTERS[15].ce_out_i[15]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0800000000000000" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(1), I1 => \bus2ip_addr_i_reg[8]\(3), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \bus2ip_addr_i_reg[8]\(0), I4 => \bus2ip_addr_i_reg[8]\(6), I5 => start2, O => p_4_out ); \GEN_BKEND_CE_REGISTERS[15].ce_out_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2, D => p_4_out, Q => p_5_in, R => \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0\ ); \GEN_BKEND_CE_REGISTERS[16].ce_out_i[16]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0008000000000000" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(3), I1 => \bus2ip_addr_i_reg[8]\(2), I2 => \bus2ip_addr_i_reg[8]\(1), I3 => \bus2ip_addr_i_reg[8]\(0), I4 => \bus2ip_addr_i_reg[8]\(6), I5 => start2, O => \GEN_BKEND_CE_REGISTERS[16].ce_out_i[16]_i_1_n_0\ ); \GEN_BKEND_CE_REGISTERS[16].ce_out_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2, D => \GEN_BKEND_CE_REGISTERS[16].ce_out_i[16]_i_1_n_0\, Q => p_4_in, R => \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0\ ); \GEN_BKEND_CE_REGISTERS[17].ce_out_i[17]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0800000000000000" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(3), I1 => \bus2ip_addr_i_reg[8]\(2), I2 => \bus2ip_addr_i_reg[8]\(1), I3 => \bus2ip_addr_i_reg[8]\(0), I4 => \bus2ip_addr_i_reg[8]\(6), I5 => start2, O => \GEN_BKEND_CE_REGISTERS[17].ce_out_i[17]_i_1_n_0\ ); \GEN_BKEND_CE_REGISTERS[17].ce_out_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2, D => \GEN_BKEND_CE_REGISTERS[17].ce_out_i[17]_i_1_n_0\, Q => p_3_in_0, R => \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0\ ); \GEN_BKEND_CE_REGISTERS[18].ce_out_i[18]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0080000000000000" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(3), I1 => \bus2ip_addr_i_reg[8]\(1), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \bus2ip_addr_i_reg[8]\(0), I4 => \bus2ip_addr_i_reg[8]\(6), I5 => start2, O => \GEN_BKEND_CE_REGISTERS[18].ce_out_i[18]_i_1_n_0\ ); \GEN_BKEND_CE_REGISTERS[18].ce_out_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2, D => \GEN_BKEND_CE_REGISTERS[18].ce_out_i[18]_i_1_n_0\, Q => p_2_in, R => \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0\ ); \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"FD" ) port map ( I0 => s_axi_aresetn, I1 => \^s_axi_arready\, I2 => \^s_axi_wready\, O => \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0\ ); \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(3), I1 => \bus2ip_addr_i_reg[8]\(1), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \bus2ip_addr_i_reg[8]\(0), I4 => \bus2ip_addr_i_reg[8]\(6), I5 => start2, O => p_15_out ); \GEN_BKEND_CE_REGISTERS[19].ce_out_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2, D => p_15_out, Q => \GEN_BKEND_CE_REGISTERS[19].ce_out_i_reg_n_0_[19]\, R => \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0\ ); \GEN_BKEND_CE_REGISTERS[4].ce_out_i[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0001000000000000" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(1), I1 => \bus2ip_addr_i_reg[8]\(2), I2 => \bus2ip_addr_i_reg[8]\(3), I3 => \bus2ip_addr_i_reg[8]\(0), I4 => \bus2ip_addr_i_reg[8]\(6), I5 => start2, O => \GEN_BKEND_CE_REGISTERS[4].ce_out_i[4]_i_1_n_0\ ); \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2, D => \GEN_BKEND_CE_REGISTERS[4].ce_out_i[4]_i_1_n_0\, Q => p_16_in, R => \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0\ ); \GEN_BKEND_CE_REGISTERS[5].ce_out_i[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0100000000000000" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(1), I1 => \bus2ip_addr_i_reg[8]\(2), I2 => \bus2ip_addr_i_reg[8]\(3), I3 => \bus2ip_addr_i_reg[8]\(0), I4 => \bus2ip_addr_i_reg[8]\(6), I5 => start2, O => p_14_out ); \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2, D => p_14_out, Q => p_15_in, R => \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0\ ); \GEN_BKEND_CE_REGISTERS[6].ce_out_i[6]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0002000000000000" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(1), I1 => \bus2ip_addr_i_reg[8]\(2), I2 => \bus2ip_addr_i_reg[8]\(3), I3 => \bus2ip_addr_i_reg[8]\(0), I4 => \bus2ip_addr_i_reg[8]\(6), I5 => start2, O => p_13_out ); \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2, D => p_13_out, Q => p_14_in, R => \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0\ ); \GEN_BKEND_CE_REGISTERS[7].ce_out_i[7]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0200000000000000" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(1), I1 => \bus2ip_addr_i_reg[8]\(2), I2 => \bus2ip_addr_i_reg[8]\(3), I3 => \bus2ip_addr_i_reg[8]\(0), I4 => \bus2ip_addr_i_reg[8]\(6), I5 => start2, O => p_12_out ); \GEN_BKEND_CE_REGISTERS[7].ce_out_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2, D => p_12_out, Q => p_13_in, R => \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0\ ); \GEN_BKEND_CE_REGISTERS[8].ce_out_i[8]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0004000000000000" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(3), I1 => \bus2ip_addr_i_reg[8]\(2), I2 => \bus2ip_addr_i_reg[8]\(1), I3 => \bus2ip_addr_i_reg[8]\(0), I4 => \bus2ip_addr_i_reg[8]\(6), I5 => start2, O => p_11_out ); \GEN_BKEND_CE_REGISTERS[8].ce_out_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2, D => p_11_out, Q => p_12_in, R => \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0\ ); \GEN_BKEND_CE_REGISTERS[9].ce_out_i[9]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0400000000000000" ) port map ( I0 => \bus2ip_addr_i_reg[8]\(3), I1 => \bus2ip_addr_i_reg[8]\(2), I2 => \bus2ip_addr_i_reg[8]\(1), I3 => \bus2ip_addr_i_reg[8]\(0), I4 => \bus2ip_addr_i_reg[8]\(6), I5 => start2, O => p_10_out ); \GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2, D => p_10_out, Q => p_11_in, R => \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0\ ); \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_d1_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FE00" ) port map ( I0 => \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_2_n_0\, I1 => \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_3_n_0\, I2 => \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_4_n_0\, I3 => \^ip_irpt_enable_reg_reg[0]\, O => intr_rd_ce_or_reduce ); \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"00FE0000" ) port map ( I0 => \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_2_n_0\, I1 => \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_3_n_0\, I2 => \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_4_n_0\, I3 => ip2Bus_RdAck_intr_reg_hole_d1, I4 => \^ip_irpt_enable_reg_reg[0]\, O => \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg\ ); \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"00FE" ) port map ( I0 => \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_2_n_0\, I1 => \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_3_n_0\, I2 => \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_4_n_0\, I3 => \^ip_irpt_enable_reg_reg[0]\, O => intr_wr_ce_or_reduce ); \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => p_16_in, I1 => p_2_in, I2 => \GEN_BKEND_CE_REGISTERS[19].ce_out_i_reg_n_0_[19]\, I3 => p_14_in, I4 => p_15_in, O => \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_2_n_0\ ); \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => p_12_in, I1 => p_13_in, I2 => p_10_in, I3 => p_11_in, O => \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_3_n_0\ ); \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => p_5_in, I1 => p_7_in, I2 => p_3_in_0, I3 => p_4_in, O => \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_4_n_0\ ); \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"000000FE" ) port map ( I0 => \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_2_n_0\, I1 => \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_3_n_0\, I2 => \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_i_4_n_0\, I3 => \^ip_irpt_enable_reg_reg[0]\, I4 => ip2Bus_WrAck_intr_reg_hole_d1, O => \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg\ ); \MEM_DECODE_GEN[0].cs_out_i[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000002" ) port map ( I0 => start2, I1 => \bus2ip_addr_i_reg[8]\(6), I2 => \bus2ip_addr_i_reg[8]\(4), I3 => \bus2ip_addr_i_reg[8]\(5), I4 => \bus2ip_addr_i_reg[8]\(3), I5 => \bus2ip_addr_i_reg[8]\(2), O => pselect_hit_i_1 ); \MEM_DECODE_GEN[0].cs_out_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2, D => pselect_hit_i_1, Q => \^not_dual.gpio_data_out_reg[4]\, R => \GEN_BKEND_CE_REGISTERS[19].ce_out_i[19]_i_1_n_0\ ); \Not_Dual.ALLIN1_ND.READ_REG_GEN[0].GPIO_DBus_i[27]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"000A0000000C0000" ) port map ( I0 => gpio_io_t(4), I1 => \Not_Dual.gpio_Data_In_reg[0]\(4), I2 => \bus2ip_addr_i_reg[8]\(6), I3 => \bus2ip_addr_i_reg[8]\(1), I4 => \^not_dual.gpio_data_out_reg[4]\, I5 => \bus2ip_addr_i_reg[8]\(0), O => GPIO_DBus_i(0) ); \Not_Dual.ALLIN1_ND.READ_REG_GEN[1].GPIO_DBus_i[28]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"000A0000000C0000" ) port map ( I0 => gpio_io_t(3), I1 => \Not_Dual.gpio_Data_In_reg[0]\(3), I2 => \bus2ip_addr_i_reg[8]\(6), I3 => \bus2ip_addr_i_reg[8]\(1), I4 => \^not_dual.gpio_data_out_reg[4]\, I5 => \bus2ip_addr_i_reg[8]\(0), O => \Not_Dual.ALLIN1_ND.READ_REG_GEN[1].GPIO_DBus_i_reg[28]\ ); \Not_Dual.ALLIN1_ND.READ_REG_GEN[2].GPIO_DBus_i[29]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"000A0000000C0000" ) port map ( I0 => gpio_io_t(2), I1 => \Not_Dual.gpio_Data_In_reg[0]\(2), I2 => \bus2ip_addr_i_reg[8]\(6), I3 => \bus2ip_addr_i_reg[8]\(1), I4 => \^not_dual.gpio_data_out_reg[4]\, I5 => \bus2ip_addr_i_reg[8]\(0), O => \Not_Dual.ALLIN1_ND.READ_REG_GEN[2].GPIO_DBus_i_reg[29]\ ); \Not_Dual.ALLIN1_ND.READ_REG_GEN[3].GPIO_DBus_i[30]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"000A0000000C0000" ) port map ( I0 => gpio_io_t(1), I1 => \Not_Dual.gpio_Data_In_reg[0]\(1), I2 => \bus2ip_addr_i_reg[8]\(6), I3 => \bus2ip_addr_i_reg[8]\(1), I4 => \^not_dual.gpio_data_out_reg[4]\, I5 => \bus2ip_addr_i_reg[8]\(0), O => \Not_Dual.ALLIN1_ND.READ_REG_GEN[3].GPIO_DBus_i_reg[30]\ ); \Not_Dual.ALLIN1_ND.READ_REG_GEN[4].GPIO_DBus_i[31]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FFDF" ) port map ( I0 => \^not_dual.gpio_data_out_reg[4]\, I1 => GPIO_xferAck_i, I2 => bus2ip_rnw_i_reg, I3 => gpio_xferAck_Reg, O => Read_Reg_Rst ); \Not_Dual.ALLIN1_ND.READ_REG_GEN[4].GPIO_DBus_i[31]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"000A0000000C0000" ) port map ( I0 => gpio_io_t(0), I1 => \Not_Dual.gpio_Data_In_reg[0]\(0), I2 => \bus2ip_addr_i_reg[8]\(6), I3 => \bus2ip_addr_i_reg[8]\(1), I4 => \^not_dual.gpio_data_out_reg[4]\, I5 => \bus2ip_addr_i_reg[8]\(0), O => \Not_Dual.ALLIN1_ND.READ_REG_GEN[4].GPIO_DBus_i_reg[31]\ ); \Not_Dual.gpio_Data_Out[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00000100" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => \bus2ip_addr_i_reg[8]\(6), I2 => \bus2ip_addr_i_reg[8]\(1), I3 => \^not_dual.gpio_data_out_reg[4]\, I4 => \bus2ip_addr_i_reg[8]\(0), I5 => bus2ip_reset, O => \Not_Dual.gpio_Data_Out_reg[0]\(0) ); \Not_Dual.gpio_Data_Out[0]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"BA8A" ) port map ( I0 => s_axi_wdata(9), I1 => \bus2ip_addr_i_reg[8]\(1), I2 => \^not_dual.gpio_data_out_reg[4]\, I3 => s_axi_wdata(4), O => D(4) ); \Not_Dual.gpio_Data_Out[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"BA8A" ) port map ( I0 => s_axi_wdata(8), I1 => \bus2ip_addr_i_reg[8]\(1), I2 => \^not_dual.gpio_data_out_reg[4]\, I3 => s_axi_wdata(3), O => D(3) ); \Not_Dual.gpio_Data_Out[2]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"BA8A" ) port map ( I0 => s_axi_wdata(7), I1 => \bus2ip_addr_i_reg[8]\(1), I2 => \^not_dual.gpio_data_out_reg[4]\, I3 => s_axi_wdata(2), O => D(2) ); \Not_Dual.gpio_Data_Out[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"BA8A" ) port map ( I0 => s_axi_wdata(6), I1 => \bus2ip_addr_i_reg[8]\(1), I2 => \^not_dual.gpio_data_out_reg[4]\, I3 => s_axi_wdata(1), O => D(1) ); \Not_Dual.gpio_Data_Out[4]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"BA8A" ) port map ( I0 => s_axi_wdata(5), I1 => \bus2ip_addr_i_reg[8]\(1), I2 => \^not_dual.gpio_data_out_reg[4]\, I3 => s_axi_wdata(0), O => D(0) ); \Not_Dual.gpio_OE[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF01000000" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => \bus2ip_addr_i_reg[8]\(6), I2 => \bus2ip_addr_i_reg[8]\(1), I3 => \^not_dual.gpio_data_out_reg[4]\, I4 => \bus2ip_addr_i_reg[8]\(0), I5 => bus2ip_reset, O => E(0) ); intr2bus_rdack_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"44444440" ) port map ( I0 => irpt_rdack_d1, I1 => \^ip_irpt_enable_reg_reg[0]\, I2 => p_9_in, I3 => \^ip2bus_data_i_d1_reg[0]\, I4 => p_6_in, O => intr2bus_rdack0 ); intr2bus_wrack_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"000000FE" ) port map ( I0 => p_9_in, I1 => \^ip2bus_data_i_d1_reg[0]\, I2 => p_6_in, I3 => \^ip_irpt_enable_reg_reg[0]\, I4 => irpt_wrack_d1, O => interrupt_wrce_strb ); \ip2bus_data_i_D1[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"00000080" ) port map ( I0 => p_0_in(0), I1 => p_9_in, I2 => \^ip_irpt_enable_reg_reg[0]\, I3 => p_6_in, I4 => \^ip2bus_data_i_d1_reg[0]\, O => \ip2bus_data_i_D1_reg[0]_0\(1) ); \ip2bus_data_i_D1[31]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"EEEEAAAAFAAAAAAA" ) port map ( I0 => ip2bus_data(0), I1 => p_3_in(0), I2 => p_1_in(0), I3 => p_6_in, I4 => \^ip_irpt_enable_reg_reg[0]\, I5 => \^ip2bus_data_i_d1_reg[0]\, O => \ip2bus_data_i_D1_reg[0]_0\(0) ); \ip_irpt_enable_reg[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(0), I1 => p_6_in, I2 => \^ip_irpt_enable_reg_reg[0]\, I3 => p_1_in(0), O => \ip_irpt_enable_reg_reg[0]_0\ ); ipif_glbl_irpt_enable_reg_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(9), I1 => p_9_in, I2 => \^ip_irpt_enable_reg_reg[0]\, I3 => p_0_in(0), O => ipif_glbl_irpt_enable_reg_reg ); irpt_rdack_d1_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"FE00" ) port map ( I0 => p_9_in, I1 => \^ip2bus_data_i_d1_reg[0]\, I2 => p_6_in, I3 => \^ip_irpt_enable_reg_reg[0]\, O => irpt_rdack ); irpt_wrack_d1_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"00FE" ) port map ( I0 => p_9_in, I1 => \^ip2bus_data_i_d1_reg[0]\, I2 => p_6_in, I3 => \^ip_irpt_enable_reg_reg[0]\, O => irpt_wrack ); s_axi_arready_INST_0: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00020000" ) port map ( I0 => Q(3), I1 => Q(2), I2 => Q(1), I3 => Q(0), I4 => is_read, I5 => ip2bus_rdack_i_D1, O => \^s_axi_arready\ ); s_axi_wready_INST_0: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00020000" ) port map ( I0 => Q(3), I1 => Q(2), I2 => Q(1), I3 => Q(0), I4 => is_write_reg, I5 => ip2bus_wrack_i_D1, O => \^s_axi_wready\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_axi_gpio_1_0_cdc_sync is port ( D : out STD_LOGIC_VECTOR ( 4 downto 0 ); scndry_vect_out : out STD_LOGIC_VECTOR ( 4 downto 0 ); Q : in STD_LOGIC_VECTOR ( 4 downto 0 ); gpio_io_i : in STD_LOGIC_VECTOR ( 4 downto 0 ); s_axi_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_axi_gpio_1_0_cdc_sync : entity is "cdc_sync"; end zqynq_lab_1_design_axi_gpio_1_0_cdc_sync; architecture STRUCTURE of zqynq_lab_1_design_axi_gpio_1_0_cdc_sync is signal s_level_out_bus_d1_cdc_to_0 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_1 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_2 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_3 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_4 : STD_LOGIC; signal s_level_out_bus_d2_0 : STD_LOGIC; signal s_level_out_bus_d2_1 : STD_LOGIC; signal s_level_out_bus_d2_2 : STD_LOGIC; signal s_level_out_bus_d2_3 : STD_LOGIC; signal s_level_out_bus_d2_4 : STD_LOGIC; signal s_level_out_bus_d3_0 : STD_LOGIC; signal s_level_out_bus_d3_1 : STD_LOGIC; signal s_level_out_bus_d3_2 : STD_LOGIC; signal s_level_out_bus_d3_3 : STD_LOGIC; signal s_level_out_bus_d3_4 : STD_LOGIC; signal \^scndry_vect_out\ : STD_LOGIC_VECTOR ( 4 downto 0 ); attribute ASYNC_REG : boolean; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type : string; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[4].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[4].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[4].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; begin scndry_vect_out(4 downto 0) <= \^scndry_vect_out\(4 downto 0); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_0, Q => s_level_out_bus_d2_0, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_1, Q => s_level_out_bus_d2_1, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_2, Q => s_level_out_bus_d2_2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_3, Q => s_level_out_bus_d2_3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_4, Q => s_level_out_bus_d2_4, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_0, Q => s_level_out_bus_d3_0, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_1, Q => s_level_out_bus_d3_1, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_2, Q => s_level_out_bus_d3_2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_3, Q => s_level_out_bus_d3_3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_4, Q => s_level_out_bus_d3_4, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_0, Q => \^scndry_vect_out\(0), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_1, Q => \^scndry_vect_out\(1), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_2, Q => \^scndry_vect_out\(2), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_3, Q => \^scndry_vect_out\(3), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_4, Q => \^scndry_vect_out\(4), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i(0), Q => s_level_out_bus_d1_cdc_to_0, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i(1), Q => s_level_out_bus_d1_cdc_to_1, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i(2), Q => s_level_out_bus_d1_cdc_to_2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i(3), Q => s_level_out_bus_d1_cdc_to_3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[4].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i(4), Q => s_level_out_bus_d1_cdc_to_4, R => '0' ); \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(4), I1 => \^scndry_vect_out\(4), O => D(4) ); \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(3), I1 => \^scndry_vect_out\(3), O => D(3) ); \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(2), I1 => \^scndry_vect_out\(2), O => D(2) ); \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(1), I1 => \^scndry_vect_out\(1), O => D(1) ); \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q(0), I1 => \^scndry_vect_out\(0), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_axi_gpio_1_0_interrupt_control is port ( irpt_wrack_d1 : out STD_LOGIC; p_3_in : out STD_LOGIC_VECTOR ( 0 to 0 ); irpt_rdack_d1 : out STD_LOGIC; p_1_in : out STD_LOGIC_VECTOR ( 0 to 0 ); p_0_in : out STD_LOGIC_VECTOR ( 0 to 0 ); IP2INTC_Irpt_i : out STD_LOGIC; ip2bus_wrack_i : out STD_LOGIC; ip2bus_rdack_i : out STD_LOGIC; bus2ip_reset : in STD_LOGIC; irpt_wrack : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; GPIO_intr : in STD_LOGIC; interrupt_wrce_strb : in STD_LOGIC; irpt_rdack : in STD_LOGIC; intr2bus_rdack0 : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[14].ce_out_i_reg[14]\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[11].ce_out_i_reg[11]\ : in STD_LOGIC; p_8_in : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 0 to 0 ); Bus_RNW_reg : in STD_LOGIC; ip2Bus_WrAck_intr_reg_hole : in STD_LOGIC; bus2ip_rnw : in STD_LOGIC; GPIO_xferAck_i : in STD_LOGIC; ip2Bus_RdAck_intr_reg_hole : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_axi_gpio_1_0_interrupt_control : entity is "interrupt_control"; end zqynq_lab_1_design_axi_gpio_1_0_interrupt_control; architecture STRUCTURE of zqynq_lab_1_design_axi_gpio_1_0_interrupt_control is signal \GEN_IP_IRPT_STATUS_REG[0].GEN_REG_STATUS.ip_irpt_status_reg[0]_i_1_n_0\ : STD_LOGIC; signal \GEN_IP_IRPT_STATUS_REG[0].GEN_REG_STATUS.ip_irpt_status_reg[0]_i_2_n_0\ : STD_LOGIC; signal intr2bus_rdack : STD_LOGIC; signal intr2bus_wrack : STD_LOGIC; signal irpt_dly1 : STD_LOGIC; signal irpt_dly2 : STD_LOGIC; signal \^irpt_wrack_d1\ : STD_LOGIC; signal \^p_0_in\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^p_1_in\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^p_3_in\ : STD_LOGIC_VECTOR ( 0 to 0 ); begin irpt_wrack_d1 <= \^irpt_wrack_d1\; p_0_in(0) <= \^p_0_in\(0); p_1_in(0) <= \^p_1_in\(0); p_3_in(0) <= \^p_3_in\(0); \DO_IRPT_INPUT[0].GEN_POS_EDGE_DETECT.irpt_dly1_reg\: unisim.vcomponents.FDSE port map ( C => s_axi_aclk, CE => '1', D => GPIO_intr, Q => irpt_dly1, S => bus2ip_reset ); \DO_IRPT_INPUT[0].GEN_POS_EDGE_DETECT.irpt_dly2_reg\: unisim.vcomponents.FDSE port map ( C => s_axi_aclk, CE => '1', D => irpt_dly1, Q => irpt_dly2, S => bus2ip_reset ); \GEN_IP_IRPT_STATUS_REG[0].GEN_REG_STATUS.ip_irpt_status_reg[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F4F4F4F44FF4F4F4" ) port map ( I0 => irpt_dly2, I1 => irpt_dly1, I2 => \^p_3_in\(0), I3 => p_8_in, I4 => s_axi_wdata(0), I5 => \GEN_IP_IRPT_STATUS_REG[0].GEN_REG_STATUS.ip_irpt_status_reg[0]_i_2_n_0\, O => \GEN_IP_IRPT_STATUS_REG[0].GEN_REG_STATUS.ip_irpt_status_reg[0]_i_1_n_0\ ); \GEN_IP_IRPT_STATUS_REG[0].GEN_REG_STATUS.ip_irpt_status_reg[0]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \^irpt_wrack_d1\, I1 => Bus_RNW_reg, O => \GEN_IP_IRPT_STATUS_REG[0].GEN_REG_STATUS.ip_irpt_status_reg[0]_i_2_n_0\ ); \GEN_IP_IRPT_STATUS_REG[0].GEN_REG_STATUS.ip_irpt_status_reg_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \GEN_IP_IRPT_STATUS_REG[0].GEN_REG_STATUS.ip_irpt_status_reg[0]_i_1_n_0\, Q => \^p_3_in\(0), R => bus2ip_reset ); \INTR_CTRLR_GEN.ip2intc_irpt_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \^p_3_in\(0), I1 => \^p_1_in\(0), I2 => \^p_0_in\(0), O => IP2INTC_Irpt_i ); intr2bus_rdack_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => intr2bus_rdack0, Q => intr2bus_rdack, R => bus2ip_reset ); intr2bus_wrack_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => interrupt_wrce_strb, Q => intr2bus_wrack, R => bus2ip_reset ); ip2bus_rdack_i_D1_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"FEEE" ) port map ( I0 => ip2Bus_RdAck_intr_reg_hole, I1 => intr2bus_rdack, I2 => bus2ip_rnw, I3 => GPIO_xferAck_i, O => ip2bus_rdack_i ); ip2bus_wrack_i_D1_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"EFEE" ) port map ( I0 => ip2Bus_WrAck_intr_reg_hole, I1 => intr2bus_wrack, I2 => bus2ip_rnw, I3 => GPIO_xferAck_i, O => ip2bus_wrack_i ); \ip_irpt_enable_reg_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \GEN_BKEND_CE_REGISTERS[14].ce_out_i_reg[14]\, Q => \^p_1_in\(0), R => bus2ip_reset ); ipif_glbl_irpt_enable_reg_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \GEN_BKEND_CE_REGISTERS[11].ce_out_i_reg[11]\, Q => \^p_0_in\(0), R => bus2ip_reset ); irpt_rdack_d1_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => irpt_rdack, Q => irpt_rdack_d1, R => bus2ip_reset ); irpt_wrack_d1_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => irpt_wrack, Q => \^irpt_wrack_d1\, R => bus2ip_reset ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_axi_gpio_1_0_GPIO_Core is port ( ip2bus_data : out STD_LOGIC_VECTOR ( 4 downto 0 ); GPIO_xferAck_i : out STD_LOGIC; gpio_xferAck_Reg : out STD_LOGIC; GPIO_intr : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 4 downto 0 ); gpio_io_o : out STD_LOGIC_VECTOR ( 4 downto 0 ); gpio_io_t : out STD_LOGIC_VECTOR ( 4 downto 0 ); Read_Reg_Rst : in STD_LOGIC; \Not_Dual.gpio_OE_reg[4]_0\ : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; \Not_Dual.gpio_OE_reg[3]_0\ : in STD_LOGIC; \Not_Dual.gpio_OE_reg[2]_0\ : in STD_LOGIC; \Not_Dual.gpio_OE_reg[1]_0\ : in STD_LOGIC; GPIO_DBus_i : in STD_LOGIC_VECTOR ( 0 to 0 ); bus2ip_reset : in STD_LOGIC; bus2ip_cs : in STD_LOGIC_VECTOR ( 0 to 0 ); gpio_io_i : in STD_LOGIC_VECTOR ( 4 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 4 downto 0 ); bus2ip_rnw_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_axi_gpio_1_0_GPIO_Core : entity is "GPIO_Core"; end zqynq_lab_1_design_axi_gpio_1_0_GPIO_Core; architecture STRUCTURE of zqynq_lab_1_design_axi_gpio_1_0_GPIO_Core is signal \^gpio_xferack_i\ : STD_LOGIC; signal \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg_n_0_[0]\ : STD_LOGIC; signal \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg_n_0_[1]\ : STD_LOGIC; signal \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg_n_0_[4]\ : STD_LOGIC; signal \^q\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal gpio_data_in_xor : STD_LOGIC_VECTOR ( 0 to 4 ); signal gpio_io_i_d2 : STD_LOGIC_VECTOR ( 0 to 4 ); signal \^gpio_xferack_reg\ : STD_LOGIC; signal iGPIO_xferAck : STD_LOGIC; signal or_ints : STD_LOGIC; signal p_1_in : STD_LOGIC; signal p_2_in : STD_LOGIC; begin GPIO_xferAck_i <= \^gpio_xferack_i\; Q(4 downto 0) <= \^q\(4 downto 0); gpio_xferAck_Reg <= \^gpio_xferack_reg\; \Not_Dual.ALLIN1_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => GPIO_DBus_i(0), Q => ip2bus_data(4), R => Read_Reg_Rst ); \Not_Dual.ALLIN1_ND.READ_REG_GEN[1].GPIO_DBus_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \Not_Dual.gpio_OE_reg[1]_0\, Q => ip2bus_data(3), R => Read_Reg_Rst ); \Not_Dual.ALLIN1_ND.READ_REG_GEN[2].GPIO_DBus_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \Not_Dual.gpio_OE_reg[2]_0\, Q => ip2bus_data(2), R => Read_Reg_Rst ); \Not_Dual.ALLIN1_ND.READ_REG_GEN[3].GPIO_DBus_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \Not_Dual.gpio_OE_reg[3]_0\, Q => ip2bus_data(1), R => Read_Reg_Rst ); \Not_Dual.ALLIN1_ND.READ_REG_GEN[4].GPIO_DBus_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \Not_Dual.gpio_OE_reg[4]_0\, Q => ip2bus_data(0), R => Read_Reg_Rst ); \Not_Dual.GEN_INTERRUPT.GPIO_intr_reg\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => or_ints, Q => GPIO_intr, R => bus2ip_reset ); \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_data_in_xor(0), Q => \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg_n_0_[0]\, R => bus2ip_reset ); \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_data_in_xor(1), Q => \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg_n_0_[1]\, R => bus2ip_reset ); \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_data_in_xor(2), Q => p_1_in, R => bus2ip_reset ); \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_data_in_xor(3), Q => p_2_in, R => bus2ip_reset ); \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg[4]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_data_in_xor(4), Q => \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg_n_0_[4]\, R => bus2ip_reset ); \Not_Dual.INPUT_DOUBLE_REGS3\: entity work.zqynq_lab_1_design_axi_gpio_1_0_cdc_sync port map ( D(4) => gpio_data_in_xor(0), D(3) => gpio_data_in_xor(1), D(2) => gpio_data_in_xor(2), D(1) => gpio_data_in_xor(3), D(0) => gpio_data_in_xor(4), Q(4 downto 0) => \^q\(4 downto 0), gpio_io_i(4 downto 0) => gpio_io_i(4 downto 0), s_axi_aclk => s_axi_aclk, scndry_vect_out(4) => gpio_io_i_d2(0), scndry_vect_out(3) => gpio_io_i_d2(1), scndry_vect_out(2) => gpio_io_i_d2(2), scndry_vect_out(1) => gpio_io_i_d2(3), scndry_vect_out(0) => gpio_io_i_d2(4) ); \Not_Dual.gpio_Data_In_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i_d2(0), Q => \^q\(4), R => '0' ); \Not_Dual.gpio_Data_In_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i_d2(1), Q => \^q\(3), R => '0' ); \Not_Dual.gpio_Data_In_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i_d2(2), Q => \^q\(2), R => '0' ); \Not_Dual.gpio_Data_In_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i_d2(3), Q => \^q\(1), R => '0' ); \Not_Dual.gpio_Data_In_reg[4]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i_d2(4), Q => \^q\(0), R => '0' ); \Not_Dual.gpio_Data_Out_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => E(0), D => D(4), Q => gpio_io_o(4), R => bus2ip_reset ); \Not_Dual.gpio_Data_Out_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => E(0), D => D(3), Q => gpio_io_o(3), R => bus2ip_reset ); \Not_Dual.gpio_Data_Out_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => E(0), D => D(2), Q => gpio_io_o(2), R => bus2ip_reset ); \Not_Dual.gpio_Data_Out_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => E(0), D => D(1), Q => gpio_io_o(1), R => bus2ip_reset ); \Not_Dual.gpio_Data_Out_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => E(0), D => D(0), Q => gpio_io_o(0), R => bus2ip_reset ); \Not_Dual.gpio_OE_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg(0), D => D(4), Q => gpio_io_t(4), S => bus2ip_reset ); \Not_Dual.gpio_OE_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg(0), D => D(3), Q => gpio_io_t(3), S => bus2ip_reset ); \Not_Dual.gpio_OE_reg[2]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg(0), D => D(2), Q => gpio_io_t(2), S => bus2ip_reset ); \Not_Dual.gpio_OE_reg[3]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg(0), D => D(1), Q => gpio_io_t(1), S => bus2ip_reset ); \Not_Dual.gpio_OE_reg[4]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg(0), D => D(0), Q => gpio_io_t(0), S => bus2ip_reset ); gpio_xferAck_Reg_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \^gpio_xferack_i\, Q => \^gpio_xferack_reg\, R => bus2ip_reset ); iGPIO_xferAck_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"10" ) port map ( I0 => \^gpio_xferack_reg\, I1 => \^gpio_xferack_i\, I2 => bus2ip_cs(0), O => iGPIO_xferAck ); iGPIO_xferAck_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => iGPIO_xferAck, Q => \^gpio_xferack_i\, R => bus2ip_reset ); or_reduce: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => p_1_in, I1 => \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg_n_0_[4]\, I2 => \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg_n_0_[0]\, I3 => \Not_Dual.GEN_INTERRUPT.gpio_data_in_xor_reg_reg_n_0_[1]\, I4 => p_2_in, O => or_ints ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_axi_gpio_1_0_slave_attachment is port ( \ip2bus_data_i_D1_reg[0]\ : out STD_LOGIC; \Not_Dual.gpio_OE_reg[0]\ : out STD_LOGIC; \Not_Dual.gpio_Data_Out_reg[4]\ : out STD_LOGIC; \ip_irpt_enable_reg_reg[0]\ : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_wready : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 4 downto 0 ); \Not_Dual.ALLIN1_ND.READ_REG_GEN[4].GPIO_DBus_i_reg[31]\ : out STD_LOGIC; \Not_Dual.ALLIN1_ND.READ_REG_GEN[3].GPIO_DBus_i_reg[30]\ : out STD_LOGIC; \Not_Dual.ALLIN1_ND.READ_REG_GEN[2].GPIO_DBus_i_reg[29]\ : out STD_LOGIC; \Not_Dual.ALLIN1_ND.READ_REG_GEN[1].GPIO_DBus_i_reg[28]\ : out STD_LOGIC; GPIO_DBus_i : out STD_LOGIC_VECTOR ( 0 to 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \Not_Dual.gpio_Data_Out_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \ip2bus_data_i_D1_reg[0]_0\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); intr2bus_rdack0 : out STD_LOGIC; irpt_rdack : out STD_LOGIC; irpt_wrack : out STD_LOGIC; interrupt_wrce_strb : out STD_LOGIC; Read_Reg_Rst : out STD_LOGIC; \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg\ : out STD_LOGIC; intr_rd_ce_or_reduce : out STD_LOGIC; \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg\ : out STD_LOGIC; intr_wr_ce_or_reduce : out STD_LOGIC; \ip_irpt_enable_reg_reg[0]_0\ : out STD_LOGIC; ipif_glbl_irpt_enable_reg_reg : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 5 downto 0 ); bus2ip_reset : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; ip2bus_rdack_i_D1 : in STD_LOGIC; ip2bus_wrack_i_D1 : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 6 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 6 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 9 downto 0 ); gpio_io_t : in STD_LOGIC_VECTOR ( 4 downto 0 ); Q : in STD_LOGIC_VECTOR ( 4 downto 0 ); p_0_in : in STD_LOGIC_VECTOR ( 0 to 0 ); irpt_rdack_d1 : in STD_LOGIC; irpt_wrack_d1 : in STD_LOGIC; ip2bus_data : in STD_LOGIC_VECTOR ( 0 to 0 ); p_3_in : in STD_LOGIC_VECTOR ( 0 to 0 ); p_1_in : in STD_LOGIC_VECTOR ( 0 to 0 ); GPIO_xferAck_i : in STD_LOGIC; gpio_xferAck_Reg : in STD_LOGIC; ip2Bus_RdAck_intr_reg_hole_d1 : in STD_LOGIC; ip2Bus_WrAck_intr_reg_hole_d1 : in STD_LOGIC; \ip2bus_data_i_D1_reg[0]_1\ : in STD_LOGIC_VECTOR ( 5 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_axi_gpio_1_0_slave_attachment : entity is "slave_attachment"; end zqynq_lab_1_design_axi_gpio_1_0_slave_attachment; architecture STRUCTURE of zqynq_lab_1_design_axi_gpio_1_0_slave_attachment is signal \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^not_dual.gpio_oe_reg[0]\ : STD_LOGIC; signal bus2ip_addr : STD_LOGIC_VECTOR ( 0 to 6 ); signal bus2ip_rnw_i06_out : STD_LOGIC; signal clear : STD_LOGIC; signal is_read : STD_LOGIC; signal is_read_i_1_n_0 : STD_LOGIC; signal is_write : STD_LOGIC; signal is_write_i_1_n_0 : STD_LOGIC; signal is_write_reg_n_0 : STD_LOGIC; signal \p_0_out__0\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \p_1_in__0\ : STD_LOGIC_VECTOR ( 8 downto 2 ); signal plusOp : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_arready\ : STD_LOGIC; signal \^s_axi_bvalid\ : STD_LOGIC; signal s_axi_bvalid_i_i_1_n_0 : STD_LOGIC; signal s_axi_rdata_i : STD_LOGIC; signal \^s_axi_rvalid\ : STD_LOGIC; signal s_axi_rvalid_i_i_1_n_0 : STD_LOGIC; signal \^s_axi_wready\ : STD_LOGIC; signal start2 : STD_LOGIC; signal start2_i_1_n_0 : STD_LOGIC; signal state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \state[1]_i_2_n_0\ : STD_LOGIC; signal \state[1]_i_3_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[0]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[1]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[2]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_2\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \bus2ip_addr_i[4]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of bus2ip_rnw_i_i_1 : label is "soft_lutpair4"; begin \Not_Dual.gpio_OE_reg[0]\ <= \^not_dual.gpio_oe_reg[0]\; s_axi_arready <= \^s_axi_arready\; s_axi_bvalid <= \^s_axi_bvalid\; s_axi_rvalid <= \^s_axi_rvalid\; s_axi_wready <= \^s_axi_wready\; \INCLUDE_DPHASE_TIMER.dpto_cnt[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), O => plusOp(0) ); \INCLUDE_DPHASE_TIMER.dpto_cnt[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), O => plusOp(1) ); \INCLUDE_DPHASE_TIMER.dpto_cnt[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2), O => plusOp(2) ); \INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => state(1), I1 => state(0), O => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2), I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), I3 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3), O => plusOp(3) ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(0), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), R => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(1), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), R => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(2), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2), R => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(3), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3), R => clear ); I_DECODER: entity work.zqynq_lab_1_design_axi_gpio_1_0_address_decoder port map ( D(4 downto 0) => D(4 downto 0), E(0) => E(0), GPIO_DBus_i(0) => GPIO_DBus_i(0), GPIO_xferAck_i => GPIO_xferAck_i, \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg\ => \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg\, \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg\ => \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg\, \Not_Dual.ALLIN1_ND.READ_REG_GEN[1].GPIO_DBus_i_reg[28]\ => \Not_Dual.ALLIN1_ND.READ_REG_GEN[1].GPIO_DBus_i_reg[28]\, \Not_Dual.ALLIN1_ND.READ_REG_GEN[2].GPIO_DBus_i_reg[29]\ => \Not_Dual.ALLIN1_ND.READ_REG_GEN[2].GPIO_DBus_i_reg[29]\, \Not_Dual.ALLIN1_ND.READ_REG_GEN[3].GPIO_DBus_i_reg[30]\ => \Not_Dual.ALLIN1_ND.READ_REG_GEN[3].GPIO_DBus_i_reg[30]\, \Not_Dual.ALLIN1_ND.READ_REG_GEN[4].GPIO_DBus_i_reg[31]\ => \Not_Dual.ALLIN1_ND.READ_REG_GEN[4].GPIO_DBus_i_reg[31]\, \Not_Dual.gpio_Data_In_reg[0]\(4 downto 0) => Q(4 downto 0), \Not_Dual.gpio_Data_Out_reg[0]\(0) => \Not_Dual.gpio_Data_Out_reg[0]\(0), \Not_Dual.gpio_Data_Out_reg[4]\ => \Not_Dual.gpio_Data_Out_reg[4]\, Q(3 downto 0) => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3 downto 0), Read_Reg_Rst => Read_Reg_Rst, \bus2ip_addr_i_reg[8]\(6) => bus2ip_addr(0), \bus2ip_addr_i_reg[8]\(5) => bus2ip_addr(1), \bus2ip_addr_i_reg[8]\(4) => bus2ip_addr(2), \bus2ip_addr_i_reg[8]\(3) => bus2ip_addr(3), \bus2ip_addr_i_reg[8]\(2) => bus2ip_addr(4), \bus2ip_addr_i_reg[8]\(1) => bus2ip_addr(5), \bus2ip_addr_i_reg[8]\(0) => bus2ip_addr(6), bus2ip_reset => bus2ip_reset, bus2ip_rnw_i_reg => \^not_dual.gpio_oe_reg[0]\, gpio_io_t(4 downto 0) => gpio_io_t(4 downto 0), gpio_xferAck_Reg => gpio_xferAck_Reg, interrupt_wrce_strb => interrupt_wrce_strb, intr2bus_rdack0 => intr2bus_rdack0, intr_rd_ce_or_reduce => intr_rd_ce_or_reduce, intr_wr_ce_or_reduce => intr_wr_ce_or_reduce, ip2Bus_RdAck_intr_reg_hole_d1 => ip2Bus_RdAck_intr_reg_hole_d1, ip2Bus_WrAck_intr_reg_hole_d1 => ip2Bus_WrAck_intr_reg_hole_d1, ip2bus_data(0) => ip2bus_data(0), \ip2bus_data_i_D1_reg[0]\ => \ip2bus_data_i_D1_reg[0]\, \ip2bus_data_i_D1_reg[0]_0\(1 downto 0) => \ip2bus_data_i_D1_reg[0]_0\(1 downto 0), ip2bus_rdack_i_D1 => ip2bus_rdack_i_D1, ip2bus_wrack_i_D1 => ip2bus_wrack_i_D1, \ip_irpt_enable_reg_reg[0]\ => \ip_irpt_enable_reg_reg[0]\, \ip_irpt_enable_reg_reg[0]_0\ => \ip_irpt_enable_reg_reg[0]_0\, ipif_glbl_irpt_enable_reg_reg => ipif_glbl_irpt_enable_reg_reg, irpt_rdack => irpt_rdack, irpt_rdack_d1 => irpt_rdack_d1, irpt_wrack => irpt_wrack, irpt_wrack_d1 => irpt_wrack_d1, is_read => is_read, is_write_reg => is_write_reg_n_0, p_0_in(0) => p_0_in(0), p_1_in(0) => p_1_in(0), p_3_in(0) => p_3_in(0), s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_arready => \^s_axi_arready\, s_axi_wdata(9 downto 0) => s_axi_wdata(9 downto 0), s_axi_wready => \^s_axi_wready\, start2 => start2 ); \bus2ip_addr_i[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"ABAAA8AA" ) port map ( I0 => s_axi_awaddr(0), I1 => state(1), I2 => state(0), I3 => s_axi_arvalid, I4 => s_axi_araddr(0), O => \p_1_in__0\(2) ); \bus2ip_addr_i[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"ABAAA8AA" ) port map ( I0 => s_axi_awaddr(1), I1 => state(1), I2 => state(0), I3 => s_axi_arvalid, I4 => s_axi_araddr(1), O => \p_1_in__0\(3) ); \bus2ip_addr_i[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"ABAAA8AA" ) port map ( I0 => s_axi_awaddr(2), I1 => state(1), I2 => state(0), I3 => s_axi_arvalid, I4 => s_axi_araddr(2), O => \p_1_in__0\(4) ); \bus2ip_addr_i[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"ABAAA8AA" ) port map ( I0 => s_axi_awaddr(3), I1 => state(1), I2 => state(0), I3 => s_axi_arvalid, I4 => s_axi_araddr(3), O => \p_1_in__0\(5) ); \bus2ip_addr_i[6]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"ABAAA8AA" ) port map ( I0 => s_axi_awaddr(4), I1 => state(1), I2 => state(0), I3 => s_axi_arvalid, I4 => s_axi_araddr(4), O => \p_1_in__0\(6) ); \bus2ip_addr_i[7]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"ABAAA8AA" ) port map ( I0 => s_axi_awaddr(5), I1 => state(1), I2 => state(0), I3 => s_axi_arvalid, I4 => s_axi_araddr(5), O => \p_1_in__0\(7) ); \bus2ip_addr_i[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"ABAAA8AA" ) port map ( I0 => s_axi_awaddr(6), I1 => state(1), I2 => state(0), I3 => s_axi_arvalid, I4 => s_axi_araddr(6), O => \p_1_in__0\(8) ); \bus2ip_addr_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2_i_1_n_0, D => \p_1_in__0\(2), Q => bus2ip_addr(6), R => bus2ip_reset ); \bus2ip_addr_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2_i_1_n_0, D => \p_1_in__0\(3), Q => bus2ip_addr(5), R => bus2ip_reset ); \bus2ip_addr_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2_i_1_n_0, D => \p_1_in__0\(4), Q => bus2ip_addr(4), R => bus2ip_reset ); \bus2ip_addr_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2_i_1_n_0, D => \p_1_in__0\(5), Q => bus2ip_addr(3), R => bus2ip_reset ); \bus2ip_addr_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2_i_1_n_0, D => \p_1_in__0\(6), Q => bus2ip_addr(2), R => bus2ip_reset ); \bus2ip_addr_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2_i_1_n_0, D => \p_1_in__0\(7), Q => bus2ip_addr(1), R => bus2ip_reset ); \bus2ip_addr_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2_i_1_n_0, D => \p_1_in__0\(8), Q => bus2ip_addr(0), R => bus2ip_reset ); bus2ip_rnw_i_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => s_axi_arvalid, I1 => state(0), I2 => state(1), O => bus2ip_rnw_i06_out ); bus2ip_rnw_i_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2_i_1_n_0, D => bus2ip_rnw_i06_out, Q => \^not_dual.gpio_oe_reg[0]\, R => bus2ip_reset ); is_read_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"3FFA000A" ) port map ( I0 => s_axi_arvalid, I1 => \state[1]_i_2_n_0\, I2 => state(1), I3 => state(0), I4 => is_read, O => is_read_i_1_n_0 ); is_read_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => is_read_i_1_n_0, Q => is_read, R => bus2ip_reset ); is_write_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"1000FFFF10000000" ) port map ( I0 => state(1), I1 => s_axi_arvalid, I2 => s_axi_wvalid, I3 => s_axi_awvalid, I4 => is_write, I5 => is_write_reg_n_0, O => is_write_i_1_n_0 ); is_write_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"F88800000000FFFF" ) port map ( I0 => s_axi_bready, I1 => \^s_axi_bvalid\, I2 => s_axi_rready, I3 => \^s_axi_rvalid\, I4 => state(1), I5 => state(0), O => is_write ); is_write_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => is_write_i_1_n_0, Q => is_write_reg_n_0, R => bus2ip_reset ); s_axi_bvalid_i_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"08FF0808" ) port map ( I0 => \^s_axi_wready\, I1 => state(1), I2 => state(0), I3 => s_axi_bready, I4 => \^s_axi_bvalid\, O => s_axi_bvalid_i_i_1_n_0 ); s_axi_bvalid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_axi_bvalid_i_i_1_n_0, Q => \^s_axi_bvalid\, R => bus2ip_reset ); \s_axi_rdata_i[31]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => state(0), I1 => state(1), O => s_axi_rdata_i ); \s_axi_rdata_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => s_axi_rdata_i, D => \ip2bus_data_i_D1_reg[0]_1\(0), Q => s_axi_rdata(0), R => bus2ip_reset ); \s_axi_rdata_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => s_axi_rdata_i, D => \ip2bus_data_i_D1_reg[0]_1\(1), Q => s_axi_rdata(1), R => bus2ip_reset ); \s_axi_rdata_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => s_axi_rdata_i, D => \ip2bus_data_i_D1_reg[0]_1\(2), Q => s_axi_rdata(2), R => bus2ip_reset ); \s_axi_rdata_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => s_axi_rdata_i, D => \ip2bus_data_i_D1_reg[0]_1\(5), Q => s_axi_rdata(5), R => bus2ip_reset ); \s_axi_rdata_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => s_axi_rdata_i, D => \ip2bus_data_i_D1_reg[0]_1\(3), Q => s_axi_rdata(3), R => bus2ip_reset ); \s_axi_rdata_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => s_axi_rdata_i, D => \ip2bus_data_i_D1_reg[0]_1\(4), Q => s_axi_rdata(4), R => bus2ip_reset ); s_axi_rvalid_i_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"08FF0808" ) port map ( I0 => \^s_axi_arready\, I1 => state(0), I2 => state(1), I3 => s_axi_rready, I4 => \^s_axi_rvalid\, O => s_axi_rvalid_i_i_1_n_0 ); s_axi_rvalid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_axi_rvalid_i_i_1_n_0, Q => \^s_axi_rvalid\, R => bus2ip_reset ); start2_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"000000F8" ) port map ( I0 => s_axi_awvalid, I1 => s_axi_wvalid, I2 => s_axi_arvalid, I3 => state(0), I4 => state(1), O => start2_i_1_n_0 ); start2_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => start2_i_1_n_0, Q => start2, R => bus2ip_reset ); \state[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0FFFAACC" ) port map ( I0 => \^s_axi_wready\, I1 => s_axi_arvalid, I2 => \state[1]_i_2_n_0\, I3 => state(1), I4 => state(0), O => \p_0_out__0\(0) ); \state[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"2E2E2E2ECCCCFFCC" ) port map ( I0 => \^s_axi_arready\, I1 => state(1), I2 => \state[1]_i_2_n_0\, I3 => \state[1]_i_3_n_0\, I4 => s_axi_arvalid, I5 => state(0), O => \p_0_out__0\(1) ); \state[1]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => s_axi_bready, I1 => \^s_axi_bvalid\, I2 => s_axi_rready, I3 => \^s_axi_rvalid\, O => \state[1]_i_2_n_0\ ); \state[1]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => s_axi_awvalid, I1 => s_axi_wvalid, O => \state[1]_i_3_n_0\ ); \state_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \p_0_out__0\(0), Q => state(0), R => bus2ip_reset ); \state_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \p_0_out__0\(1), Q => state(1), R => bus2ip_reset ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_axi_gpio_1_0_axi_lite_ipif is port ( p_8_in : out STD_LOGIC; bus2ip_rnw : out STD_LOGIC; bus2ip_cs : out STD_LOGIC_VECTOR ( 0 to 0 ); Bus_RNW_reg : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_wready : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 4 downto 0 ); \Not_Dual.ALLIN1_ND.READ_REG_GEN[4].GPIO_DBus_i_reg[31]\ : out STD_LOGIC; \Not_Dual.ALLIN1_ND.READ_REG_GEN[3].GPIO_DBus_i_reg[30]\ : out STD_LOGIC; \Not_Dual.ALLIN1_ND.READ_REG_GEN[2].GPIO_DBus_i_reg[29]\ : out STD_LOGIC; \Not_Dual.ALLIN1_ND.READ_REG_GEN[1].GPIO_DBus_i_reg[28]\ : out STD_LOGIC; GPIO_DBus_i : out STD_LOGIC_VECTOR ( 0 to 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \Not_Dual.gpio_Data_Out_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \ip2bus_data_i_D1_reg[0]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); intr2bus_rdack0 : out STD_LOGIC; irpt_rdack : out STD_LOGIC; irpt_wrack : out STD_LOGIC; interrupt_wrce_strb : out STD_LOGIC; Read_Reg_Rst : out STD_LOGIC; \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg\ : out STD_LOGIC; intr_rd_ce_or_reduce : out STD_LOGIC; \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg\ : out STD_LOGIC; intr_wr_ce_or_reduce : out STD_LOGIC; \ip_irpt_enable_reg_reg[0]\ : out STD_LOGIC; ipif_glbl_irpt_enable_reg_reg : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 5 downto 0 ); bus2ip_reset : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; ip2bus_rdack_i_D1 : in STD_LOGIC; ip2bus_wrack_i_D1 : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 6 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 6 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 9 downto 0 ); gpio_io_t : in STD_LOGIC_VECTOR ( 4 downto 0 ); Q : in STD_LOGIC_VECTOR ( 4 downto 0 ); p_0_in : in STD_LOGIC_VECTOR ( 0 to 0 ); irpt_rdack_d1 : in STD_LOGIC; irpt_wrack_d1 : in STD_LOGIC; ip2bus_data : in STD_LOGIC_VECTOR ( 0 to 0 ); p_3_in : in STD_LOGIC_VECTOR ( 0 to 0 ); p_1_in : in STD_LOGIC_VECTOR ( 0 to 0 ); GPIO_xferAck_i : in STD_LOGIC; gpio_xferAck_Reg : in STD_LOGIC; ip2Bus_RdAck_intr_reg_hole_d1 : in STD_LOGIC; ip2Bus_WrAck_intr_reg_hole_d1 : in STD_LOGIC; \ip2bus_data_i_D1_reg[0]_0\ : in STD_LOGIC_VECTOR ( 5 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_axi_gpio_1_0_axi_lite_ipif : entity is "axi_lite_ipif"; end zqynq_lab_1_design_axi_gpio_1_0_axi_lite_ipif; architecture STRUCTURE of zqynq_lab_1_design_axi_gpio_1_0_axi_lite_ipif is begin I_SLAVE_ATTACHMENT: entity work.zqynq_lab_1_design_axi_gpio_1_0_slave_attachment port map ( D(4 downto 0) => D(4 downto 0), E(0) => E(0), GPIO_DBus_i(0) => GPIO_DBus_i(0), GPIO_xferAck_i => GPIO_xferAck_i, \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg\ => \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg\, \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg\ => \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg\, \Not_Dual.ALLIN1_ND.READ_REG_GEN[1].GPIO_DBus_i_reg[28]\ => \Not_Dual.ALLIN1_ND.READ_REG_GEN[1].GPIO_DBus_i_reg[28]\, \Not_Dual.ALLIN1_ND.READ_REG_GEN[2].GPIO_DBus_i_reg[29]\ => \Not_Dual.ALLIN1_ND.READ_REG_GEN[2].GPIO_DBus_i_reg[29]\, \Not_Dual.ALLIN1_ND.READ_REG_GEN[3].GPIO_DBus_i_reg[30]\ => \Not_Dual.ALLIN1_ND.READ_REG_GEN[3].GPIO_DBus_i_reg[30]\, \Not_Dual.ALLIN1_ND.READ_REG_GEN[4].GPIO_DBus_i_reg[31]\ => \Not_Dual.ALLIN1_ND.READ_REG_GEN[4].GPIO_DBus_i_reg[31]\, \Not_Dual.gpio_Data_Out_reg[0]\(0) => \Not_Dual.gpio_Data_Out_reg[0]\(0), \Not_Dual.gpio_Data_Out_reg[4]\ => bus2ip_cs(0), \Not_Dual.gpio_OE_reg[0]\ => bus2ip_rnw, Q(4 downto 0) => Q(4 downto 0), Read_Reg_Rst => Read_Reg_Rst, bus2ip_reset => bus2ip_reset, gpio_io_t(4 downto 0) => gpio_io_t(4 downto 0), gpio_xferAck_Reg => gpio_xferAck_Reg, interrupt_wrce_strb => interrupt_wrce_strb, intr2bus_rdack0 => intr2bus_rdack0, intr_rd_ce_or_reduce => intr_rd_ce_or_reduce, intr_wr_ce_or_reduce => intr_wr_ce_or_reduce, ip2Bus_RdAck_intr_reg_hole_d1 => ip2Bus_RdAck_intr_reg_hole_d1, ip2Bus_WrAck_intr_reg_hole_d1 => ip2Bus_WrAck_intr_reg_hole_d1, ip2bus_data(0) => ip2bus_data(0), \ip2bus_data_i_D1_reg[0]\ => p_8_in, \ip2bus_data_i_D1_reg[0]_0\(1 downto 0) => \ip2bus_data_i_D1_reg[0]\(1 downto 0), \ip2bus_data_i_D1_reg[0]_1\(5 downto 0) => \ip2bus_data_i_D1_reg[0]_0\(5 downto 0), ip2bus_rdack_i_D1 => ip2bus_rdack_i_D1, ip2bus_wrack_i_D1 => ip2bus_wrack_i_D1, \ip_irpt_enable_reg_reg[0]\ => Bus_RNW_reg, \ip_irpt_enable_reg_reg[0]_0\ => \ip_irpt_enable_reg_reg[0]\, ipif_glbl_irpt_enable_reg_reg => ipif_glbl_irpt_enable_reg_reg, irpt_rdack => irpt_rdack, irpt_rdack_d1 => irpt_rdack_d1, irpt_wrack => irpt_wrack, irpt_wrack_d1 => irpt_wrack_d1, p_0_in(0) => p_0_in(0), p_1_in(0) => p_1_in(0), p_3_in(0) => p_3_in(0), s_axi_aclk => s_axi_aclk, s_axi_araddr(6 downto 0) => s_axi_araddr(6 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(6 downto 0) => s_axi_awaddr(6 downto 0), s_axi_awvalid => s_axi_awvalid, s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, s_axi_rdata(5 downto 0) => s_axi_rdata(5 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, s_axi_wdata(9 downto 0) => s_axi_wdata(9 downto 0), s_axi_wready => s_axi_wready, s_axi_wvalid => s_axi_wvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_axi_gpio_1_0_axi_gpio is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; ip2intc_irpt : out STD_LOGIC; gpio_io_i : in STD_LOGIC_VECTOR ( 4 downto 0 ); gpio_io_o : out STD_LOGIC_VECTOR ( 4 downto 0 ); gpio_io_t : out STD_LOGIC_VECTOR ( 4 downto 0 ); gpio2_io_i : in STD_LOGIC_VECTOR ( 31 downto 0 ); gpio2_io_o : out STD_LOGIC_VECTOR ( 31 downto 0 ); gpio2_io_t : out STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute C_ALL_INPUTS : integer; attribute C_ALL_INPUTS of zqynq_lab_1_design_axi_gpio_1_0_axi_gpio : entity is 1; attribute C_ALL_INPUTS_2 : integer; attribute C_ALL_INPUTS_2 of zqynq_lab_1_design_axi_gpio_1_0_axi_gpio : entity is 0; attribute C_ALL_OUTPUTS : integer; attribute C_ALL_OUTPUTS of zqynq_lab_1_design_axi_gpio_1_0_axi_gpio : entity is 0; attribute C_ALL_OUTPUTS_2 : integer; attribute C_ALL_OUTPUTS_2 of zqynq_lab_1_design_axi_gpio_1_0_axi_gpio : entity is 0; attribute C_DOUT_DEFAULT : integer; attribute C_DOUT_DEFAULT of zqynq_lab_1_design_axi_gpio_1_0_axi_gpio : entity is 0; attribute C_DOUT_DEFAULT_2 : integer; attribute C_DOUT_DEFAULT_2 of zqynq_lab_1_design_axi_gpio_1_0_axi_gpio : entity is 0; attribute C_FAMILY : string; attribute C_FAMILY of zqynq_lab_1_design_axi_gpio_1_0_axi_gpio : entity is "zynq"; attribute C_GPIO2_WIDTH : integer; attribute C_GPIO2_WIDTH of zqynq_lab_1_design_axi_gpio_1_0_axi_gpio : entity is 32; attribute C_GPIO_WIDTH : integer; attribute C_GPIO_WIDTH of zqynq_lab_1_design_axi_gpio_1_0_axi_gpio : entity is 5; attribute C_INTERRUPT_PRESENT : integer; attribute C_INTERRUPT_PRESENT of zqynq_lab_1_design_axi_gpio_1_0_axi_gpio : entity is 1; attribute C_IS_DUAL : integer; attribute C_IS_DUAL of zqynq_lab_1_design_axi_gpio_1_0_axi_gpio : entity is 0; attribute C_S_AXI_ADDR_WIDTH : integer; attribute C_S_AXI_ADDR_WIDTH of zqynq_lab_1_design_axi_gpio_1_0_axi_gpio : entity is 9; attribute C_S_AXI_DATA_WIDTH : integer; attribute C_S_AXI_DATA_WIDTH of zqynq_lab_1_design_axi_gpio_1_0_axi_gpio : entity is 32; attribute C_TRI_DEFAULT : integer; attribute C_TRI_DEFAULT of zqynq_lab_1_design_axi_gpio_1_0_axi_gpio : entity is -1; attribute C_TRI_DEFAULT_2 : integer; attribute C_TRI_DEFAULT_2 of zqynq_lab_1_design_axi_gpio_1_0_axi_gpio : entity is -1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_axi_gpio_1_0_axi_gpio : entity is "axi_gpio"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of zqynq_lab_1_design_axi_gpio_1_0_axi_gpio : entity is "yes"; attribute ip_group : string; attribute ip_group of zqynq_lab_1_design_axi_gpio_1_0_axi_gpio : entity is "LOGICORE"; end zqynq_lab_1_design_axi_gpio_1_0_axi_gpio; architecture STRUCTURE of zqynq_lab_1_design_axi_gpio_1_0_axi_gpio is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; signal AXI_LITE_IPIF_I_n_13 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_14 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_15 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_16 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_18 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_19 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_27 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_29 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_31 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_32 : STD_LOGIC; signal DBus_Reg : STD_LOGIC_VECTOR ( 0 to 4 ); signal GPIO_DBus_i : STD_LOGIC_VECTOR ( 27 to 27 ); signal GPIO_intr : STD_LOGIC; signal GPIO_xferAck_i : STD_LOGIC; signal IP2INTC_Irpt_i : STD_LOGIC; signal \I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg\ : STD_LOGIC; signal \I_SLAVE_ATTACHMENT/I_DECODER/p_8_in\ : STD_LOGIC; signal Read_Reg_Rst : STD_LOGIC; signal bus2ip_cs : STD_LOGIC_VECTOR ( 1 to 1 ); signal bus2ip_reset : STD_LOGIC; signal bus2ip_reset_i_1_n_0 : STD_LOGIC; signal bus2ip_rnw : STD_LOGIC; signal gpio_Data_In : STD_LOGIC_VECTOR ( 0 to 4 ); signal \^gpio_io_t\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal gpio_xferAck_Reg : STD_LOGIC; signal interrupt_wrce_strb : STD_LOGIC; signal intr2bus_rdack0 : STD_LOGIC; signal intr_rd_ce_or_reduce : STD_LOGIC; signal intr_wr_ce_or_reduce : STD_LOGIC; signal ip2Bus_RdAck_intr_reg_hole : STD_LOGIC; signal ip2Bus_RdAck_intr_reg_hole_d1 : STD_LOGIC; signal ip2Bus_WrAck_intr_reg_hole : STD_LOGIC; signal ip2Bus_WrAck_intr_reg_hole_d1 : STD_LOGIC; signal ip2bus_data : STD_LOGIC_VECTOR ( 27 to 31 ); signal ip2bus_data_i : STD_LOGIC_VECTOR ( 31 to 31 ); signal ip2bus_data_i_D1 : STD_LOGIC_VECTOR ( 0 to 31 ); signal ip2bus_rdack_i : STD_LOGIC; signal ip2bus_rdack_i_D1 : STD_LOGIC; signal ip2bus_wrack_i : STD_LOGIC; signal ip2bus_wrack_i_D1 : STD_LOGIC; signal irpt_rdack : STD_LOGIC; signal irpt_rdack_d1 : STD_LOGIC; signal irpt_wrack : STD_LOGIC; signal irpt_wrack_d1 : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 31 to 31 ); signal p_0_out : STD_LOGIC_VECTOR ( 0 to 0 ); signal p_1_in : STD_LOGIC_VECTOR ( 0 to 0 ); signal p_3_in : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^s_axi_rdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_wready\ : STD_LOGIC; attribute sigis : string; attribute sigis of \INTR_CTRLR_GEN.ip2intc_irpt_reg\ : label is "INTR_LEVEL_HIGH"; begin gpio2_io_o(31) <= \<const0>\; gpio2_io_o(30) <= \<const0>\; gpio2_io_o(29) <= \<const0>\; gpio2_io_o(28) <= \<const0>\; gpio2_io_o(27) <= \<const0>\; gpio2_io_o(26) <= \<const0>\; gpio2_io_o(25) <= \<const0>\; gpio2_io_o(24) <= \<const0>\; gpio2_io_o(23) <= \<const0>\; gpio2_io_o(22) <= \<const0>\; gpio2_io_o(21) <= \<const0>\; gpio2_io_o(20) <= \<const0>\; gpio2_io_o(19) <= \<const0>\; gpio2_io_o(18) <= \<const0>\; gpio2_io_o(17) <= \<const0>\; gpio2_io_o(16) <= \<const0>\; gpio2_io_o(15) <= \<const0>\; gpio2_io_o(14) <= \<const0>\; gpio2_io_o(13) <= \<const0>\; gpio2_io_o(12) <= \<const0>\; gpio2_io_o(11) <= \<const0>\; gpio2_io_o(10) <= \<const0>\; gpio2_io_o(9) <= \<const0>\; gpio2_io_o(8) <= \<const0>\; gpio2_io_o(7) <= \<const0>\; gpio2_io_o(6) <= \<const0>\; gpio2_io_o(5) <= \<const0>\; gpio2_io_o(4) <= \<const0>\; gpio2_io_o(3) <= \<const0>\; gpio2_io_o(2) <= \<const0>\; gpio2_io_o(1) <= \<const0>\; gpio2_io_o(0) <= \<const0>\; gpio2_io_t(31) <= \<const1>\; gpio2_io_t(30) <= \<const1>\; gpio2_io_t(29) <= \<const1>\; gpio2_io_t(28) <= \<const1>\; gpio2_io_t(27) <= \<const1>\; gpio2_io_t(26) <= \<const1>\; gpio2_io_t(25) <= \<const1>\; gpio2_io_t(24) <= \<const1>\; gpio2_io_t(23) <= \<const1>\; gpio2_io_t(22) <= \<const1>\; gpio2_io_t(21) <= \<const1>\; gpio2_io_t(20) <= \<const1>\; gpio2_io_t(19) <= \<const1>\; gpio2_io_t(18) <= \<const1>\; gpio2_io_t(17) <= \<const1>\; gpio2_io_t(16) <= \<const1>\; gpio2_io_t(15) <= \<const1>\; gpio2_io_t(14) <= \<const1>\; gpio2_io_t(13) <= \<const1>\; gpio2_io_t(12) <= \<const1>\; gpio2_io_t(11) <= \<const1>\; gpio2_io_t(10) <= \<const1>\; gpio2_io_t(9) <= \<const1>\; gpio2_io_t(8) <= \<const1>\; gpio2_io_t(7) <= \<const1>\; gpio2_io_t(6) <= \<const1>\; gpio2_io_t(5) <= \<const1>\; gpio2_io_t(4) <= \<const1>\; gpio2_io_t(3) <= \<const1>\; gpio2_io_t(2) <= \<const1>\; gpio2_io_t(1) <= \<const1>\; gpio2_io_t(0) <= \<const1>\; gpio_io_t(4 downto 0) <= \^gpio_io_t\(4 downto 0); s_axi_awready <= \^s_axi_wready\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_rdata(31) <= \^s_axi_rdata\(31); s_axi_rdata(30) <= \<const0>\; s_axi_rdata(29) <= \<const0>\; s_axi_rdata(28) <= \<const0>\; s_axi_rdata(27) <= \<const0>\; s_axi_rdata(26) <= \<const0>\; s_axi_rdata(25) <= \<const0>\; s_axi_rdata(24) <= \<const0>\; s_axi_rdata(23) <= \<const0>\; s_axi_rdata(22) <= \<const0>\; s_axi_rdata(21) <= \<const0>\; s_axi_rdata(20) <= \<const0>\; s_axi_rdata(19) <= \<const0>\; s_axi_rdata(18) <= \<const0>\; s_axi_rdata(17) <= \<const0>\; s_axi_rdata(16) <= \<const0>\; s_axi_rdata(15) <= \<const0>\; s_axi_rdata(14) <= \<const0>\; s_axi_rdata(13) <= \<const0>\; s_axi_rdata(12) <= \<const0>\; s_axi_rdata(11) <= \<const0>\; s_axi_rdata(10) <= \<const0>\; s_axi_rdata(9) <= \<const0>\; s_axi_rdata(8) <= \<const0>\; s_axi_rdata(7) <= \<const0>\; s_axi_rdata(6) <= \<const0>\; s_axi_rdata(5) <= \<const0>\; s_axi_rdata(4 downto 0) <= \^s_axi_rdata\(4 downto 0); s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; s_axi_wready <= \^s_axi_wready\; AXI_LITE_IPIF_I: entity work.zqynq_lab_1_design_axi_gpio_1_0_axi_lite_ipif port map ( Bus_RNW_reg => \I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg\, D(4) => DBus_Reg(0), D(3) => DBus_Reg(1), D(2) => DBus_Reg(2), D(1) => DBus_Reg(3), D(0) => DBus_Reg(4), E(0) => AXI_LITE_IPIF_I_n_18, GPIO_DBus_i(0) => GPIO_DBus_i(27), GPIO_xferAck_i => GPIO_xferAck_i, \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg\ => AXI_LITE_IPIF_I_n_27, \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg\ => AXI_LITE_IPIF_I_n_29, \Not_Dual.ALLIN1_ND.READ_REG_GEN[1].GPIO_DBus_i_reg[28]\ => AXI_LITE_IPIF_I_n_16, \Not_Dual.ALLIN1_ND.READ_REG_GEN[2].GPIO_DBus_i_reg[29]\ => AXI_LITE_IPIF_I_n_15, \Not_Dual.ALLIN1_ND.READ_REG_GEN[3].GPIO_DBus_i_reg[30]\ => AXI_LITE_IPIF_I_n_14, \Not_Dual.ALLIN1_ND.READ_REG_GEN[4].GPIO_DBus_i_reg[31]\ => AXI_LITE_IPIF_I_n_13, \Not_Dual.gpio_Data_Out_reg[0]\(0) => AXI_LITE_IPIF_I_n_19, Q(4) => gpio_Data_In(0), Q(3) => gpio_Data_In(1), Q(2) => gpio_Data_In(2), Q(1) => gpio_Data_In(3), Q(0) => gpio_Data_In(4), Read_Reg_Rst => Read_Reg_Rst, bus2ip_cs(0) => bus2ip_cs(1), bus2ip_reset => bus2ip_reset, bus2ip_rnw => bus2ip_rnw, gpio_io_t(4 downto 0) => \^gpio_io_t\(4 downto 0), gpio_xferAck_Reg => gpio_xferAck_Reg, interrupt_wrce_strb => interrupt_wrce_strb, intr2bus_rdack0 => intr2bus_rdack0, intr_rd_ce_or_reduce => intr_rd_ce_or_reduce, intr_wr_ce_or_reduce => intr_wr_ce_or_reduce, ip2Bus_RdAck_intr_reg_hole_d1 => ip2Bus_RdAck_intr_reg_hole_d1, ip2Bus_WrAck_intr_reg_hole_d1 => ip2Bus_WrAck_intr_reg_hole_d1, ip2bus_data(0) => ip2bus_data(31), \ip2bus_data_i_D1_reg[0]\(1) => p_0_out(0), \ip2bus_data_i_D1_reg[0]\(0) => ip2bus_data_i(31), \ip2bus_data_i_D1_reg[0]_0\(5) => ip2bus_data_i_D1(0), \ip2bus_data_i_D1_reg[0]_0\(4) => ip2bus_data_i_D1(27), \ip2bus_data_i_D1_reg[0]_0\(3) => ip2bus_data_i_D1(28), \ip2bus_data_i_D1_reg[0]_0\(2) => ip2bus_data_i_D1(29), \ip2bus_data_i_D1_reg[0]_0\(1) => ip2bus_data_i_D1(30), \ip2bus_data_i_D1_reg[0]_0\(0) => ip2bus_data_i_D1(31), ip2bus_rdack_i_D1 => ip2bus_rdack_i_D1, ip2bus_wrack_i_D1 => ip2bus_wrack_i_D1, \ip_irpt_enable_reg_reg[0]\ => AXI_LITE_IPIF_I_n_31, ipif_glbl_irpt_enable_reg_reg => AXI_LITE_IPIF_I_n_32, irpt_rdack => irpt_rdack, irpt_rdack_d1 => irpt_rdack_d1, irpt_wrack => irpt_wrack, irpt_wrack_d1 => irpt_wrack_d1, p_0_in(0) => p_0_in(31), p_1_in(0) => p_1_in(0), p_3_in(0) => p_3_in(0), p_8_in => \I_SLAVE_ATTACHMENT/I_DECODER/p_8_in\, s_axi_aclk => s_axi_aclk, s_axi_araddr(6 downto 0) => s_axi_araddr(8 downto 2), s_axi_aresetn => s_axi_aresetn, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(6 downto 0) => s_axi_awaddr(8 downto 2), s_axi_awvalid => s_axi_awvalid, s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, s_axi_rdata(5) => \^s_axi_rdata\(31), s_axi_rdata(4 downto 0) => \^s_axi_rdata\(4 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, s_axi_wdata(9 downto 5) => s_axi_wdata(31 downto 27), s_axi_wdata(4 downto 0) => s_axi_wdata(4 downto 0), s_axi_wready => \^s_axi_wready\, s_axi_wvalid => s_axi_wvalid ); GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); \INTR_CTRLR_GEN.INTERRUPT_CONTROL_I\: entity work.zqynq_lab_1_design_axi_gpio_1_0_interrupt_control port map ( Bus_RNW_reg => \I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg\, \GEN_BKEND_CE_REGISTERS[11].ce_out_i_reg[11]\ => AXI_LITE_IPIF_I_n_32, \GEN_BKEND_CE_REGISTERS[14].ce_out_i_reg[14]\ => AXI_LITE_IPIF_I_n_31, GPIO_intr => GPIO_intr, GPIO_xferAck_i => GPIO_xferAck_i, IP2INTC_Irpt_i => IP2INTC_Irpt_i, bus2ip_reset => bus2ip_reset, bus2ip_rnw => bus2ip_rnw, interrupt_wrce_strb => interrupt_wrce_strb, intr2bus_rdack0 => intr2bus_rdack0, ip2Bus_RdAck_intr_reg_hole => ip2Bus_RdAck_intr_reg_hole, ip2Bus_WrAck_intr_reg_hole => ip2Bus_WrAck_intr_reg_hole, ip2bus_rdack_i => ip2bus_rdack_i, ip2bus_wrack_i => ip2bus_wrack_i, irpt_rdack => irpt_rdack, irpt_rdack_d1 => irpt_rdack_d1, irpt_wrack => irpt_wrack, irpt_wrack_d1 => irpt_wrack_d1, p_0_in(0) => p_0_in(31), p_1_in(0) => p_1_in(0), p_3_in(0) => p_3_in(0), p_8_in => \I_SLAVE_ATTACHMENT/I_DECODER/p_8_in\, s_axi_aclk => s_axi_aclk, s_axi_wdata(0) => s_axi_wdata(0) ); \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_d1_reg\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => intr_rd_ce_or_reduce, Q => ip2Bus_RdAck_intr_reg_hole_d1, R => bus2ip_reset ); \INTR_CTRLR_GEN.ip2Bus_RdAck_intr_reg_hole_reg\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => AXI_LITE_IPIF_I_n_27, Q => ip2Bus_RdAck_intr_reg_hole, R => bus2ip_reset ); \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_d1_reg\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => intr_wr_ce_or_reduce, Q => ip2Bus_WrAck_intr_reg_hole_d1, R => bus2ip_reset ); \INTR_CTRLR_GEN.ip2Bus_WrAck_intr_reg_hole_reg\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => AXI_LITE_IPIF_I_n_29, Q => ip2Bus_WrAck_intr_reg_hole, R => bus2ip_reset ); \INTR_CTRLR_GEN.ip2intc_irpt_reg\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => IP2INTC_Irpt_i, Q => ip2intc_irpt, R => bus2ip_reset ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); bus2ip_reset_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => s_axi_aresetn, O => bus2ip_reset_i_1_n_0 ); bus2ip_reset_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => bus2ip_reset_i_1_n_0, Q => bus2ip_reset, R => '0' ); gpio_core_1: entity work.zqynq_lab_1_design_axi_gpio_1_0_GPIO_Core port map ( D(4) => DBus_Reg(0), D(3) => DBus_Reg(1), D(2) => DBus_Reg(2), D(1) => DBus_Reg(3), D(0) => DBus_Reg(4), E(0) => AXI_LITE_IPIF_I_n_19, GPIO_DBus_i(0) => GPIO_DBus_i(27), GPIO_intr => GPIO_intr, GPIO_xferAck_i => GPIO_xferAck_i, \Not_Dual.gpio_OE_reg[1]_0\ => AXI_LITE_IPIF_I_n_16, \Not_Dual.gpio_OE_reg[2]_0\ => AXI_LITE_IPIF_I_n_15, \Not_Dual.gpio_OE_reg[3]_0\ => AXI_LITE_IPIF_I_n_14, \Not_Dual.gpio_OE_reg[4]_0\ => AXI_LITE_IPIF_I_n_13, Q(4) => gpio_Data_In(0), Q(3) => gpio_Data_In(1), Q(2) => gpio_Data_In(2), Q(1) => gpio_Data_In(3), Q(0) => gpio_Data_In(4), Read_Reg_Rst => Read_Reg_Rst, bus2ip_cs(0) => bus2ip_cs(1), bus2ip_reset => bus2ip_reset, bus2ip_rnw_i_reg(0) => AXI_LITE_IPIF_I_n_18, gpio_io_i(4 downto 0) => gpio_io_i(4 downto 0), gpio_io_o(4 downto 0) => gpio_io_o(4 downto 0), gpio_io_t(4 downto 0) => \^gpio_io_t\(4 downto 0), gpio_xferAck_Reg => gpio_xferAck_Reg, ip2bus_data(4) => ip2bus_data(27), ip2bus_data(3) => ip2bus_data(28), ip2bus_data(2) => ip2bus_data(29), ip2bus_data(1) => ip2bus_data(30), ip2bus_data(0) => ip2bus_data(31), s_axi_aclk => s_axi_aclk ); \ip2bus_data_i_D1_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => p_0_out(0), Q => ip2bus_data_i_D1(0), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[27]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_data(27), Q => ip2bus_data_i_D1(27), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[28]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_data(28), Q => ip2bus_data_i_D1(28), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[29]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_data(29), Q => ip2bus_data_i_D1(29), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[30]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_data(30), Q => ip2bus_data_i_D1(30), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[31]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_data_i(31), Q => ip2bus_data_i_D1(31), R => bus2ip_reset ); ip2bus_rdack_i_D1_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_rdack_i, Q => ip2bus_rdack_i_D1, R => bus2ip_reset ); ip2bus_wrack_i_D1_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_wrack_i, Q => ip2bus_wrack_i_D1, R => bus2ip_reset ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_axi_gpio_1_0 is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; ip2intc_irpt : out STD_LOGIC; gpio_io_i : in STD_LOGIC_VECTOR ( 4 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of zqynq_lab_1_design_axi_gpio_1_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of zqynq_lab_1_design_axi_gpio_1_0 : entity is "zqynq_lab_1_design_axi_gpio_1_0,axi_gpio,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of zqynq_lab_1_design_axi_gpio_1_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of zqynq_lab_1_design_axi_gpio_1_0 : entity is "axi_gpio,Vivado 2017.2"; end zqynq_lab_1_design_axi_gpio_1_0; architecture STRUCTURE of zqynq_lab_1_design_axi_gpio_1_0 is signal NLW_U0_gpio2_io_o_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_gpio2_io_t_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_gpio_io_o_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_gpio_io_t_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); attribute C_ALL_INPUTS : integer; attribute C_ALL_INPUTS of U0 : label is 1; attribute C_ALL_INPUTS_2 : integer; attribute C_ALL_INPUTS_2 of U0 : label is 0; attribute C_ALL_OUTPUTS : integer; attribute C_ALL_OUTPUTS of U0 : label is 0; attribute C_ALL_OUTPUTS_2 : integer; attribute C_ALL_OUTPUTS_2 of U0 : label is 0; attribute C_DOUT_DEFAULT : integer; attribute C_DOUT_DEFAULT of U0 : label is 0; attribute C_DOUT_DEFAULT_2 : integer; attribute C_DOUT_DEFAULT_2 of U0 : label is 0; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "zynq"; attribute C_GPIO2_WIDTH : integer; attribute C_GPIO2_WIDTH of U0 : label is 32; attribute C_GPIO_WIDTH : integer; attribute C_GPIO_WIDTH of U0 : label is 5; attribute C_INTERRUPT_PRESENT : integer; attribute C_INTERRUPT_PRESENT of U0 : label is 1; attribute C_IS_DUAL : integer; attribute C_IS_DUAL of U0 : label is 0; attribute C_S_AXI_ADDR_WIDTH : integer; attribute C_S_AXI_ADDR_WIDTH of U0 : label is 9; attribute C_S_AXI_DATA_WIDTH : integer; attribute C_S_AXI_DATA_WIDTH of U0 : label is 32; attribute C_TRI_DEFAULT : integer; attribute C_TRI_DEFAULT of U0 : label is -1; attribute C_TRI_DEFAULT_2 : integer; attribute C_TRI_DEFAULT_2 of U0 : label is -1; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; attribute ip_group : string; attribute ip_group of U0 : label is "LOGICORE"; begin U0: entity work.zqynq_lab_1_design_axi_gpio_1_0_axi_gpio port map ( gpio2_io_i(31 downto 0) => B"00000000000000000000000000000000", gpio2_io_o(31 downto 0) => NLW_U0_gpio2_io_o_UNCONNECTED(31 downto 0), gpio2_io_t(31 downto 0) => NLW_U0_gpio2_io_t_UNCONNECTED(31 downto 0), gpio_io_i(4 downto 0) => gpio_io_i(4 downto 0), gpio_io_o(4 downto 0) => NLW_U0_gpio_io_o_UNCONNECTED(4 downto 0), gpio_io_t(4 downto 0) => NLW_U0_gpio_io_t_UNCONNECTED(4 downto 0), ip2intc_irpt => ip2intc_irpt, s_axi_aclk => s_axi_aclk, s_axi_araddr(8 downto 0) => s_axi_araddr(8 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(8 downto 0) => s_axi_awaddr(8 downto 0), s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid, s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lEjtec+yQ+BsOeZaorCQNjSnT5VvjsN34IAapT79nRp1v0xRLg/7/9/nM5/6xlFYQyOo3a9N2svj 8BhSY/3Qcg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Qzi6aL1Me7aFnzGlh7F80U3zYcPzjrwn/d47Av4mteEwWT17Q97MkPzwvinTYbY/Oqh6K4luNBk3 FLub041NNAIcWwlzqzOI4V05YhQQbHFh8C3CNtlk6ijtqoa1UQEMdHLZktxRcLDPk4Ium+l2k1CQ RcruwvXJz7fQ3Gs1BLQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XrTP3W2m0yNqS2F0XF4uLxttSKaHwd+vvk8xlnO1mcFfBQ6uD+dzbayM9Z98dnO72TGxxQMAsbMz CRKbp3SZQNvxmVJJAb0Cd5rjH2vamjclGFi5HUpI6LOamovLcIxH6+lQlrPDUOYhzdrTdg1LFXVh 2U9JfJQe2hSZ1xxuxWvfq7YRwN11wN6YdQJL2cT+EeEbRiEgXlr8483x1vDRwet+NVsuD+eLSU95 3raKafr/aiFHuaQipr8UHs9ap0+65HY+DYXf729t/UGpKIQxn456T+07LfWn3oRukVUs/9Ki4NRA Hg5sFYix+/BTFA10wYbuZnSWDJ9FP2AIYwjrFQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block zqZneAbXxG7wdQureBRjWafTCK9a4yMfUDcAovF8SWIWL0CpJ6uECZ31xSkg51fjOw3UroSpd/6e PRNVnxHQzgWTL/PcPkvOiuGvcclUe2qLLGjjLs0rOdTRsngGoTYrro2CvX9Hgwv4PtYnkMdVoDW2 5cvsbm8/LXghot9PurU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Rbm3+Mx88Bno4UiYSRyEzjyY89Oax1bKeDgXJ1ogoAtGMX9OVVpBHzykmX2a+8OEa25lGCkAHJJT XfksIDEzmOAxC3HUne9NqYo2UTfQXMH0EwSNqSiOd0rZRn4r8WN1LhP56taxOBFT9y80YlxBbt13 xWGl956t2AfD2bLY2CabrLui6dr833xanHQau3enWgbUtkP3jm/Z1w5FszRBfu0gejkJlPxggL/Q 6Ut0F/m17P/YafitrLkUOv4+4tdG0SwMjLFwkQExyBvCMiCJsJvAjdE/P07xjB+L2WCqI2q9r85O qg6cYi+Tqgjqq9wLCArmFkybEBSzIHaKlrciRw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15520) `protect data_block BmlnGKA7kIXJK+GB7IRm3nLgCZHmsKJtNkv2yR03SEcsVr7/BzNfJPt4OHIEOXOLtlYBF3Ho3Wr3 Lnyw3hfO2VaEjh7Geu987/OhLhRO7495cd9f1tn1I21RHRHAoINHPDBvrhTLmqyeE6AV34ysxbu2 ZgXgk5h0KoiAq4MWXW2D/+HJA+oEPfdg0Gul7jlHSUjwbEq36k+ihCMIYsT24Xwye+f4MypPhQu+ npXI2rz2wGWdtK6hFUsABlXWxv62c00zPo2RjqIxZ33oZKXnZZEgAF8p5mbnnXu96I0np/ZRc370 Io8lPwBm3YqiZYiNzqzjISkHbgeBjC6TxutSmcp9Jozn4Luc/SB/Zcg4p7BCzT8k5ig9lzCEsn4n KcDfrRXLfQWBAmahhsdmloSgza72catqST/hq2UUEvARZj4meXHpwvYHxSoTX65im/X2JSsUfsIS P6p7OvLC/zhTaxO1VTOEaDgtuWId/3IUmacF9KKIBtGrFXL+OO1FMuugBbL+FYhojbs7E/n04oj6 U9hARK8t7nsGLuNfHzRV+u+wlugDiNk8jF/BZtowHClLOeavgELkPpKZhn/qaNYeFUpA5FbTAJM5 OeQJDev29DFIt7J+ZpB3TaLwpKJRwlKPNvh6iGMZdkaKUIQDUApm9aqjTlNIDXTclKMNzdQrZkcf oncq4PsiUlelTfMRPFvQ9q3n5l7YTXF0usbkyqD5VDMR3pvt4om0fTwvtAQ2DqOvMenkiQboGbeG 4zNA9bCtAhRUZkw3KGx1559/kwUb8E2rdERjNQueafD4ZPthW6c95C4WW9stujUGSTuWZ8qysF7D zfMkGQ/Jt1H+lOo/4NnNC1JGQfKRuweFzwCIfgRRfoovsnY/cyeOC62QCaoYoJw5j8JNV1qAFZxi QmpM9dcuQ2CMPiLC6ue82rGC1OjZZ9IWBU6FeNrlAPxg6nkf5VxGKIFXFr6V93lF0eVe+tpDQTRJ VVJeTjT70/SDc/dgKfoczAM+QWhBxod5BEzgopIfoivhmDRtqcGSP6Ql5VytKWih/LG+QupL9d/O ZbirCS7pPRbfrokTIF1J/V3qtBO4iFJyLrRNy6KiAQzkpULza9cHmyPIhqN931edbM1zvI/u9cdh QSxeNVNxEW9RaIHbd+RQMHvlFJGqV4668uQdWBTy+f9PhlEWkmnZ3FfWQ1kakCs2ocOXOU63YbFz PaYSBf591GOEo37QB241QEXNXTOYWwMso+vL5z1MuUFvRJ8xrAfNDgs+LaDcMa51/WRMGK2XIR60 Gf9butY3XoXzc5f2GlIUhA4Lhrf+WbE/bpCpmHHQl185Gf6va9jpO7dAoF8n6D9+W2C5WHtOlZsJ PnGCctHPbKgFr3aq/IzHQCiI1a55/AJfPbegPlI0JQsGaRz7dB/Y53DeaydKhaIznqcaimT6EW2v x6MoqngJPWUOS9bAH7FBa25VXgYCNzt/xFIOZjx65n7SYcI9J8gTdkNsN834BesL3gxr0gnbA5Iz EryCSLcgPTYiEqsbdedUK9xJ9l+xi5iwcJzVExT9ZnF4aif6wnIavz+GndUSNpjX20gWQA4eAQ/Z GIuhH6fSkwCUeLeqkRgo9cEk17LKAQSsNviN3Sa6RkN0nw/+afEuHPPXxJyiXZoxfOhsC0QyA2GX cS9fLF+FLDbrT47FYptLT/+fAvqCOY7C6HCnAmAVykvlO17MPVTdfCUhA55dqay9q3O+jbPdk6zy eVYiFWfyLdmoffwjzhD8y2soVidGw5Kx1+0u3UL2VK/+grn5nY9zTsAmyomnIY4teAJKw6kT8zWw cx3OQqYsuajD16JbZ3zxmORwtkiN0uvA+Wo0urkoNvTIrFvPl00yZbJgEJtNcLVA/Mfh+c7m5oPt wdDgjCE9HmEAnlm8x2joBgu1OFpMXhYUC9YDyS8F+KRvTenSErq/EbNpN30DXmJQHG0ulLGBvb4F 72/TAff2p/Tr1Kbdp+HxFchLhTb2h+tWavnZGb+eJLnwkQa/UzzeXenj5eyiVa7WtWWeZMql6H+f BXEpEadNLqTV4DJ81aXP12zGh6SWonv7BcEvnr9z4xkdJYFjWB503a2KoCTgYc3mpIUy+RvutAZz OX0hP5+IFCyATDIfll/n0NIPLUMAArFul5CEJj2kwAJM7b+md5qHIcsIIgscq5WxDlr0zdi3EV5T T4Fom6dGxMDd6GhtQs65EWjROtZQKfZJG6ordgU5UUvLsTz6t9LAcJgvutfpN1JJJb1qptXAFo2k jI1Oxy9f7SwQGHe7KB0v++DvY/DzTPWoi5V+sj3e3iUb/l7HNBafKuGq5teqd0hiE2m13lj2ECC6 TgdRDZdTjX2UzQxqGDBM/m1yY9zvT9RM3Jfw4ipf8FSL4GaoxzOJHi/gYDpf7TKVjIn/flR/3Hnd asl4SyGbLk75PbEySE+Cix5yfHbB2ZEgBUNLJiB9/uncJYsA84YIPVtspSG5lzn6GOXMpbAVWUOf GUiwz9Nyz74qhFeOpjVkDJ8yvYe1iClLYr2aM2UktNZtnfGfCBSpDVJ2Z1kYItBxI63w1a8V6Wl6 ExkzU+yeozUKw11otTKLOq63cIqs4/MTO/DqjBzcfN19YfBemHLUpRxmtJedSAhmHKPW2BLOzhtU TyAkHSZ63hspKZfSTbrPrZomZcRcp6fqQM3Pnz4BFUiyXBoIaMiKef0a4wPrmN/qoTd45skGenUC fKG8MU0iBpKvi0AZ6zOWj2Xt60gpGtf/Fz/iniW4+deF9qls1NTLLVNPWZTxvseacl86H9KCD/dy 6Ui/55tz3730Orq1GYz0oZAGZfZ2eKE8pWeTPa+FHFDF47lmAFVOoO/hsiwaw2TLwlRQOJlWutYw iUfwQndvUwU+ck1GE+xazsKYj+w+2QA5POLYUdw6btPDe8bLctLi1IELNAkaCpO5OXFendAu3M03 EhVwWkzxnxrdvyN6tllOqsNpN/LMbFFuqejPYMGSDlcz4iSpbgl7q2aN3lNI60GUxtrASo0LP1Xq PmgfOyC2tm/jaVbMHn2vRn9ATFxeR9DpD3VtlBCgWiuwic8lKAynPnLG67b7OeetSH0jCxMoNvkx 0ZPGWxVeHjJ9M8ZOUpVzOu019NKGWzSSNfnCFFDxGZgYG8B9jlB7m76F3pDZu6X6xxU87eLXBbmw 7jU90ae5fZ4KYyWC8iQJSAsniPAXVNQuVci5PBd3+D6WCDLGUntQP5cpoENzwHrp68pNei39sn+y oz3NUcMZy61EB9gPNMfHFZ4xt1QNto0zmz+bEk/SHtQZX+KTTyZrSSFd+4hzOVCSAJ31vc48BOWR yWZw5iG4UqGcluPO+IlUB4e9eJFc/WTpNTacBaUR9WvD5352FVkCbIMn2YIFliDYp/aHMGrRl9Fj pTh0iPPz3iw6fsyjXW5tqYySvXc1HM2YZ6kZ3uJ0oQkcWIzwVbckPG0MhB+Gc0PA9dmHZdMTjwYA qvvNRasNaO18eOtP+D4orp1J9jXTC7L0Vlm/WuTrbStnfquFCxBnoicWJ4ubriJSR1jjgm9J1MWP QfYKhvXDtd3hGhdeiV3JsroJkql5iTONLsfjlV+aAWYlP1YlzApTcA1WyN47fJ7BxRpz9i8hAQKs pJ2v3mu7VpHWytiKKxzlZ2NZmTn3Lc/wCHlzAp/thCpRCb2N6ihuQ3taKOxB70OCXQXwoo/XBQwg Rdn6VArqhnYItF3WewgwRwMhgMKkTsjnJ4b6bFRzJe4t6dk3ON6PQP3nARQsU1pvxYY2gBx7cV+G CBGAXZYrCiVOCNbN2UfmxkrpVt5ZE1jFcn8law3nqR1gPMFhX6/pZQUDXRJzd02pwHdW9nPseMQY FrYvCC43ZE7K1fJfUBM/A4vXNJv0KvGeDVjFxRkeJJn82DdesWzct19NeTM8e0DD7f/0DGjNxQxE j6gwtOt0sl3OxWfkRwRI7QEZAAy5OC1cXLcS5O+d3f1otyyq1cntsgn+uTwGenKh3ipDM9XW1mBB U6DiG75Ijzu7efi4O5iddP3z/nJRBQl1ybHoetw04DrZpXGyitZ+FZCH2W3XeBn6Ppwi6/Q5PI4f SFOOtXw80uJjzI+CrNJrdywA65SH+HWDeh7ww3ohCjes3l4e68ahLv7VCqNNjIRWPxxzSpW8J4lT OMNDWEt3ThZrZWfjWU4RX81tTbCXeBsVFWRGInoSrB0Ay+qTlVBuLewQMCMimst5jUyJhah7WcQF wNOTz3RktNPuvhN6YSyVKT9uGQZMjnhAMIF30QKIVWSoj33jgnie+W9qyZVkygEnXcHMZHwvPUdr NNuoexaUZKQAFNoC+B9lWhiOpdzFBFXslzPK5W7J4m9iF0P6IWrKi7SYXugrpDbNqmx2qc91l3XM 00ZdDRM2C+Ulf7wxmd7dPaSlUiXN63A6i3cZb9SqdXi0NiF7bxZcZSTSwFb1AnILc74XZtNkLz2B Y2shWty73klKFpJbRnF+dyJabvcYQN5rqAt78rRWUaq61zj2SKHVufCFuNSqzo6vlFNw0JqYK0uY RRGLlP58elAnUN1S7ggo2x6ofM0ZjidRFLssWEAAXbNb5UrE0odiRCQJZ0TTxuLZsmrTcc9M9BpZ 9YEHHEMCPjtWGe+ZM7lkw+7017kK1Sh8Ll0dSGxnA2ZEhZ+4V/CeKBqP1dKsPx8FA5NL/q1B0Sg9 KsW6lYkW+B6tUtjywMvRwVIMP2yfH+iMnGik3OZdZW5u2pikn6JMo1eMCNVSSttELYUHpsSOPb/N 9NltTyEoZnweV002gih0FEVsgHHBXVjkRn4obGh2o3m6Hv1ifYWAVp8MLxvZwgxk9TQUZNowLAxQ yX5NLr8YdwjfOniy5TdJtP9Pq8p3jD0BI3mzXQhP4hKeTpEY3LUe4fEGyR8AEnwrj8z1eSjWnb30 +Stj2TS/JkZ0+ulzdH+CvHNEPrG8tILZd+uWC+JOf5jJ32QRVeGC2SXlIowZmDs6Pqi5rJOl/Mb8 QVWYzjnZLDkDBuMgNDiDCC9gg+RymJyTA3cvJhUdWqMF2qAqggGhhRr9a+8ZO1qbvdfPsBuD1bJS Ag++9HLYy9ZIugh6RGAyqBhTe/vrfRdAdV/ccPDYIDPiqRXskoWdfsBZqKk2ooNf0q+ftrjXYfgb vhPTqucfINyUqnxEEgPn1hX8fj3yg09QAHlfcgJtUyhnPdWcYlf9krikXs0vl0S7jT+G+Hpvpafw bJ5idYEzhvfUHxhe864JNa8pH0q6mKiIb5ZT1wXiboO5Z7H4oXoPwCAjIwvjZ3MylGUGOXG7ByjU B4QV1jpwPFb8rEKuEBKiZfKKddzvLz+wb0XIvtNzrIXrYv6EQRtBlYO4jg08N0w7gwW7Ys0McWHD +kOkSiy0dwHA/5m9KLd4z29s8SsGgEkcMYIhSJUwUbe+eGRjGig/1bF8antKK7NTWGA6zvx5X98O WALb7ekMs9HjcZOxLnZx3msdBNMIJ8bpCklskPLKAwgc1E+STA0Om+5QTxB/N9PBqJuyedMJnleG mSkBxAYmFzocp50bI6UshbsWbkfInlm1Zqla6LjtydpxPsWOYEWOaL47NTVTEh34Ua/WRcTGDG4j AKou4jA2duxRYPBfKJ67MnGCLDyi5Tqaf4CtuZAZdBrAmDWWha14knfMMO8dRcUGmfHP/I3+ytY6 s2AijI4yzMreupACTkZuDtlJdSvHvdfKMMW5t3UweukMnARJ2V/IkbFbmGZfh6XnpDqOgK9CnyEk NKpOfpKZSzjpeOoH79ngJgEvCZbV3p0gV32vaGJzNgAAquhdANqFxe/msQwcK3mM/ZebcXoD2Vpx z/sKY4XHmYRxKZVfxYB4wOkMwqJOwS0muByXfLcjkrbs0FYq8HM9UWY9/50EtVBa4g4bjF7SNKDf oriH5vPM5Csb1Z8I5oiSxRCzQ0GEDyxrabhBPNcsaAv3kKxeQnmDA+vy5KEvDsQwvDdiBsei6w6/ 1Uk1Qjdt8ibu7wmLDYEr7Yn5DVntt+fedC0dr/S4gF9psKezeULLO+vIvedfkyTV9xTdLMVcgYZ1 mPKl03YQP/e/0r73htgiIjB1rXYuZsMu++SaT9DVGzkd0EZfwXXiPyzwdZSOXS48JWfxrsq6015M hUTH4GBYO7Att8N+NwFp4ozqjPKl6r077rGL20nMrYdukicAqBUxUeOhCrRlLZyOIOJvr9Da2yjg sAJwih1orqjDk+Bi/Rpw7ABRcaPP78FKLfjBwC4Pl7kXP8poaPocm86cT0RIVV9QBHXOnq/5YPdy +JySs4nYV7TOUomljkQ8IBNesu8+TOfPBWsG4ACS92pJT4bdt3X23HwcOOylDUn3qE/GsEv79KBV 97jR3wPelsR7S5G3e2nIE39i5ykf4SvecQCf4QgM90q+jyI9gJQT68nCOVnGkrIZy/s9CP20XBMu YqGCleycloFFC9wDJE36AsJ3YpQW0j48unOyRsGV9gjES0r3DA7TD+BmFQ2TDu8JXl2HQPlS4LiK JZToU1Vxshr84IHr7WP7eUhhaQUYiHIf+gZryBcPUovy/NeZzAxZbB54RajnAi8dbGwnu3JW9ax1 s+JY0SM6mf/4L8XGQA9F4fSkUfQ4PiqYSB/QM1l5yuwCDVqfojcksDrAhDz9nKboTltvd2nhzGRd jiFA7c7hD9r/Skekk0MIJ7Vw2kU/7xe9PY3GHVK4fMyMiYZEPykKXKMB1Q5ruD1CQCwC/8mK+qvs yEzzZKuyY9NA9bD61eetlX2YWXF8Slspkz/YUEayqsrqGBO9USZVi1Qd1stHizbd+AyGYCrsBZ1V eg5VhS44CHKTf7pKPXOyGgaedlTdTLOBU504mnux1BT4uEsASren8DZmhEajUcdotLiK9Xl13AZf MZuMRWLnmg86V6xDW4IqWDlRjwIxRODx+YynUDXS0VQI76Y9lXxtRx2JfX4Vj+BXOKa2VR2yBG6W zo6HpP7j7ZIA7yhFZdAxmrF5g86Tf/xAUCrDQeGPcj5Nd/vPVc7hkt8OFK6wQYwMIHSrS5uJRwnA EfKW6ELBWir9q/Zz0rdj53TCq0RDbiwAf2bm1qlzMHvmQRUY2xa0jL0iPFrdorS0Er3vhNvB0iWy hiVRwTP5Z9AKiirWYUA9fEcXDLsrvTTWqHS6ASsI6bUxoBrmxMQmC/Fu2OjkAb8z5o/RNRsnGU1C ZAWXQ4Y9dMW8jMESD7WtM2+4ia0DRiKAgicyvQA3nrl5rO6ggsfTDAavP0yTcMDokqYGxG5RPAKR fYAAc6FpIKtvTznJ0FHkJE95O5uNMK2VviEgrZ6xO8JIXNvHzR4YN/QiQRrz3Fi/Zx2HFL8YjejU i2J7+2MLWesL5zwhSLO2i3OZjuNNYMrfKWJ81AhnvFYiQERPHHwCJ2Dur1sh4N+FKJq+wpYjue2/ KhpmesmTg2CO+cw3RguAmemXI1L5k4qvpm1I3Ic1PCUoxxN+RqU5K2fUMbpc1xi2cdHPI4+VGwU6 mUfwMzj9gjYuHOCfOQeYTOSrm+scQUX9uLAQ9dxCXTy8yAm6hSBqdF5I2gklkkexdZjmBSm0du8Z HSBN/QdWfpkDa8RacBykQUMhaWYs5crQTJhSLgbzRw6zxiwjYywqSJfdfCXR/Mw3HtzKYLz7FItE ZOaq/3NlfQzDv1K67hoQlM1HaEEADifEBSNEKnzzWZob2YkSPqGP0T2ozq4ymo0bRywCZv9FROuQ 8xj8cBA7wJRX5TtZm7FG5HpqYaTc/aFs89mysX44zZ60/5bc1X8/u8bpj5LKocp0bs/uSbNo3yqF FPibYueoBfVYgCM1JUo5sCbYO/MjX0HydxAw/E36Ccv6xL8LDfeVH98F76QLMYVrnuWrmvL0e2Pg vnBrP9X+aH8nIBN3AWNkxNrtu2RkxiYc9ix0mnQhZmGOvBEWWZl3wEpgUz7OE87yPyZhJzFlPgmb V1bTvtI+wnD37EGf7bdtv1u07hiIsAsYfe4MGk1WJgOEd8w10f/tjHvnTrLg9WKBFO1YHOaAu0bo 1lkAPl732vvwPk8BKUQTsJ5DwZnXsKbQyiZgnxFkes7O2qvoq7XXPjTlo6oHdkMUWuV2PhLhpGO+ SbZaxRKuHWWmxD5owg21YWkDYlWPXBYTlJ3Sqo8Y3x4uBGaP6++WG5/Q+nhO2uOuyVSbm+XmK8lJ PyCfCVwq77grarkTDSt6pwRYizQn6hX/cOyUqN0KwR4GNk1gZ5Ih0QZPYCSbuWNE+wFAwqTG1VMr mQlViQovZf0IpNx52IF9ujVIg5+pnAbUIUbjyKAxASLeVkYuI+oX6FtiP8BJChwm9aWD+XqIG0jL xirkTL+ZjwYyIqx+3q3CxsnP4LqtF/NLucRIYXLc6ApJTP+VPc5P2dILl4Ru5nKhySpUsC6AGPzf gG/ns53UIGMt5XaBFks66tYIFJ4RE2Z2b75ATryWpcPgdEck/du/Hf6+WQPrvqXr5tntzIIBxL2t 1dpD9LgUgJNX7HjWfWopzK4YrCAnLSEUs7E21Wxk0FGM1ZPWAWcE2z1KiCA/YB5hPFo4nAZ9s3bU A5WPCI8ku6u2LBgq5Qdd7RiEnl5+qc65v46TdenCsCGUBHVd2HceE93OfUuuRewbZT3oNOhrD+dz MhlBFmRwTpptUgusaqZV6ExhO7pPrJb6pBAmegpLD5/chbRqEc/LszoOl1kcipxt5/+uJGzeak8I gkxSI+s9ahYZmCEzjzcIbtW314t8OYwy5aNuZkIXVmQ7BehkcjSeuurcBu0Xk0QZZjipOLLPvgw2 QRJ/Z1dPCaW8FYkMXHLF4L/nyjSQaJ2UO5hdLx7KS7hoP7g/bzCvVT5xzwsOT09ta9RFcm9YnJnF ma6npukqDxObBAFqqhfIWzhD3WDfdY/OpHBzt5aGCnQQ/wmcWGYNva0P/jZwUXDgtIeTBAodwiM+ DRIKK9eP8mwDaxs9DDQAvkGvG6GjQtZ3EakjdQDF6yzUwpUbVcr88vtk5qHjU++kuk1q6ldjtOg2 Yj9C9yn5x/28Y2cJD2ksH+qnvS9XKFV+tMEliI0+Qtx1EKqA2085sYoV3Fe0kg0d0YysvqiswP0n ZUfjM4s5tNyB7nbP2G905vgeTofhR1bexJZEbW+bvisOQM2F2zY5tONlc/EEWA4em5fGED2jltWM TW+6zRPZdIfxMuZS26gRSNcvaYeL+j+hNNkfbrOzhIGxNMIfEMz1On/ZDXEdUhvy4kvSVA5E9OPF 4jOZlm3cIfQRiHJpMHu2RSR/VQTZXT2SicEGs9EAMslI1jBDlJs7/alMj6i8rH7DL6CJfkcw29C0 LLtY8oq9mhN3z/3SYlGruOFwpwzPknZRXeOlvsqQun1lbtKScLG2UBj52y3RafOXpkv94kyO+o1r CRa0cqmICu3/OXpdz4eok8lizWedh+S83o5O9eOEpvsgIHG5uoaQfmdddeRRomvAHRbzLMZv/A2/ +1d8HHm2i2FGOmdFvezlkgJvAQujmv/8DWDUR9iZ4gbYla72VTBHUabOg0MyxY5AUvD9hcx687Kb kXN41cqyido3W5Bh+YUG0cZUrpLkMUxL49J/UABpGh0qDh2fPgfIAm8MFztqxHNjX5jUHUG6Ldcq n6rFXCIgK9D1AhNUXqOwyZNpG00UrstwZjaTf+/pkKMW4fHZxYh+uq58czBH7R2zwr4+Y/9X1pFq ky7eHA9H48Gz9tB8bsm7/9Cqm2K0glGD6djX95Ve1f5FeTWgsf+PnyodKn+JrQudinw6LEdxQ6Dw Lbn5FjBhqpbwpIhlHRKj1JX0lUrIWnWAw45WJtk91vBF0JXmRb5vWKniESyC/C309qxc5/tKBu4U UVqnTUf4kjxGU3n9p13Fpff5WLmD2cVjmdduZyWCpq8qRsdzKiCQYwRdEM+vLiU6XqvUMEcGre2y 29YjiouZZ4izMzoBhdI5wR/jcLeu+Lq3gB1qkVjIpWiENpZsrJ1bSyfCBBrpYYTRq1ShDp1kg9Gp tShKqAeC0xodlwg1Siwy3Rlv6R1cAaDor8tr6y909quXRp25navkOEoVRdYBh3TSGxWJgNt0+cfS abhoC9Cc8Z4z8pVjjWpeY36Tn6Nd52TdSjSpxjtTqfaOAkIhdgrJOJPrLtPFstgPMmyHdgfjHFWs kMxHy1tW2Ect7tgpbpxfL24cPtGVKX6/wGO46QXyFXzqN+Nrf4DI1ZbFZ9CkyYhmBds0Rp9AtdYD PkXpQHVKCpUrZDWBWN0zRZGcx2QUl6pims76aL6jxSxtet04FC5+wTPpROPFMOZdRsUHTJJBY/YP EasniJKF+jq2Md0b638RTU0UQ89qSe6SOL2RSwYSaE5OkZ7jM855835wBROdoZzn4ZjIRV6011jQ GX4pyfp5rHHTJiNtsINXDMChuASf/NkcNzg6GB7s7/GbELkSUcnRtsIAUQiJPG33hwu4/2/1WOsg F2AEPpoQAf/GkSiRABqn6Usl/4nS6nnP914xOoRygnp0q7MNIry+6BPfFK/TB5yNgUZZJQJ4K+Au JxIMJIvy3zYo8i1HC15QFE92WyRM0Rrld92TqxrcocwxSjPcTn0n8SPf2jj7YOgw7KaP5kQqM82O LRxMgPM+uky2CtjyvlD5wmdkaujmnhiScsdz4hHeJyRXe4HDd0iClhOL11FFHbilhyIb43mBmggp HmMgX06oPSyNzpXifeVeCehdi/iDMeXcjWdPns8qwIrEUf5QIwzxyFdNS80G3AyePy+BYeG7Lwg6 n5lqkUk8wB7m8eNk2v4Qks5oqFiIglbhn/P5WHBq+4kXBSS3+lp7hPOAbpPkK3E06hcDaRyiig2s 33ps9p3IFwg11Kjza0OXpFWtKWlMEjzo6N8RAQwKd37U4gR3HOMnvhnwQHOO+2rChJmqF5d84epN GPjr0U7X42tfU5MfbER+m7b/djA5ySESV/JIhjbE9WGJnsJh6Cu1FOuz4LPW07MFJhzcn8nGx0+C Ytt1xcEV+aAtBfxANE/u4Yu5koIuZ/lHkqLetBPgK/jlHRr3uXWKRRM014FIX5jPUZiwNW2E5S8v mFIUOoiiHZIOlTx29sUyrCoRBzldTEnsB33ziT8zmmivboE7ow+kXCuC8LKpe2xRLQ3qxfPRYCkq JC5O60lamlD+poYaL8i3wy3BbJzm39U6RZUrF1hXrcnkZv17QCy9XvkMIh3YRR61madsQlNDm5ea C5L4/wJ1tjZHRIQaWFD03aS/E6+cEQszmm9ZunIQfHclMMBCICTBgbUst6zVflw8FXnOn2R+9azS hlI9XRTTMibhmqmAqsqwDM+GAykKRCiuYNjwlGuVCzeWpaAYH9ESJ2qQrG/wYsxFIeSSjjer3/8J Szq2yCS+NWyWN5jJeS+nORvxE239wt/rs2Xh8sD/RotenkUECK2/X8g/QbPEHkck0L76ZJcfdiUy /ayhJ9TCwU5lq5oCleZZ4ByqOgEyX1Jole2rC0WeSedwRhUvQQyPv0vnDARSO+wr0KFlt/a2R13o 3Wb6IOei/+3IKrExOqPpKhdfJuBq+tZxSv4hQLVGZ4+Aj7ubSuWSrkoZhTX68kFJKmcPgQrEKjRD Wx736a/V1goyRr9lBm/YXn+/2Pw18+6Xe0g2Tv1GXrUiF1u09C7ifx46f8U9y0X2p0CmVtnOyHUD upTX56XaL7S31Bvq4XapZTPHBwUUCfazjgktL3OBRvVsrIT4fykJEqNqAMGili+Uj81pyVOk05+B fFT7DYmRUC7ehee2Dsm42z8JI8aW5lmks9KPFagkJcjXHOHnVFecoCXvjvNOhhaSbVf2KU+P/EVS Y/Bo8nOqlJShM4SaCiyHvAa5Venmv3Ce/gFE0LSNrN968z/mY0TbQdHw35kwY/intDbE7oPBGuaT /HRRSleCJ1nQNcgw82j+ayod91zbJxlpun/JFSqxdlEX9u3eEdji/oAcTT0MZBY3qXVsmd8q93SK X/6DcnPuSP3n93QDWTTB8ybH2tovAZ7pQZmScgXUw7O4CzfNjoC/5VuWB2kdPoTUyNpD7RtMSPHV 1jqLWsnkf3vHfWobwWY8JrXp8uMzInXGBBYcG3URQ+gl7L+mW6oSdSs328XJKLugVYyzfbOlbGCf +1qTK8Accbvzww/vvchr4qK4mVcyX0td9NO3VR1/2ilN/d069usZCM2+evve+qYKZRzRicy05jtK gtZ09IjHS5M+9MwItIfcxHxK0rmroF/F/HaqzuDJdAIOXdM9B7rmzC9VhYdKbVBngu8VOZ84MeiG AOoeHf75Y5ulRkrZdRCGlCSL9Nt/jrRNf/R36Nsbfxoulkcjke/CYeNFMA1NdtAxwH735dQ7CIBL CMjhp1XdRWOMkhjfJPh1mZ//858x50kgesD2jr2nf35nIsUhTd5Q3D+i8HLlupq7t08rwS5PWWn6 mHj1qa6qlKMrLk1IzDJ2Z5hwtCmC5NTod1VxmWAGd8WegrZGI2Ag5aQPnkb6ccijZa/hBEYUaIfy lw44xacsVjpMhQ80LjexrEA6/WcaUJeBW0ZmqJUUOvjLRDXWV09FfGmGpiE+D4RifWikwNDZ6ecC kvhhcu/y4l+8dK1p6dWGTjVjxynlOeGGBKnydlkzQeYdi9U9gHtfAiriTZcHFPmsY79z32ewLdac AAtrT1b6JbnblY7vXT7ShQnPPDROf3mKMvrdtDLtNXGvG7GEODRaWeu4nCaJNjT9eVnTiWhluCen b4bIlF+fJUY2NcYl8UHOmtSW87Xc9EtmWxzJIiKUaNpTTf2DlD3X6Xz6YGxL0zQLoGpDLc4Fpw3R /Lvhp2fzFDmUSHSpjLaKyM9IET5A0AD2zMX66M0wZMrV/y/u+Rc7rdqLialdG7E2Dz0EqvE6XrAL 4/R1vl72I0rhZyWiXhC9yOfDQc9c4bk7uX40UaoFTUulp9XDAtcBPPvwqNotd8M9PRoYHCsxlDH3 pjo/YXnvICBBN1GG6XtDcpDGfN4NMtUWgcMIyinWceo0au+tYHbnhkiFR6xLbhRUrPAsxeJXDYv3 Z6+xe6cTX2PxiPpZpnrqcdaUqKiFvGpctZKOGmk5FbyM9K9rdEI70SgRX5G989puyU6Mzpy6+sSM fOhOIdwX3cOseORqkGbRZqZ9DRcYpFRtgdFxuLgCb3HKJrdtrUl1sExfKo/f+DqJ5MIF/512oE/r H9qYWvfNzWJWGDnAzZO+x3Kmhyi3E5NpjZLGLws4zvrKdHuZuSSANg+MfV66xC2nc0R1a0vxsmwP 1pZ9GpwzG6BYYj6+J3JaG0TaSiTFP0+gEvlVog5PVckPidrLyNA7Fi6gu63DZ7OTYhzmBgFLFCAs shuQEmX2HemeG8iPIljjR+obSJuII+FNI9QX38bLfZ0YwAd7yPeSTMYa2tNdbYboBPw1W19KWEaX ffLZjaMwBT1G74QwehRZiNAf8SYpRIc2fzXsC+rHjeaPFG+F/y7vOXxsODVLPeQUH7nx+q7KB9ya gg2rOxjhGZZOdx7Sm+Wg9vx9Pan4K9Si8tdYpu5drPGIUkdfpw/mNJvK5wfw+8u+Xzx0EDZKDJ4B 9tWKAfkKyZfnzIzpFo3Qi5KvB3UieJZ6HYpd7+GiT/8o6+TDeLyYy8VKf7TRyIBNWtwQGPP3JYx3 56D99BoBPXqXCSFSuoKB3WLR0zQmVyt/miXaIG7ZFECohy5Parv+sDfcge8H0FJJ4a+ypMMvlceU EZTOHsPdO6/G8t+sKzfNG3Og+OSk/dsnFJth8bSjajq925xF/oqCKfQtTxtT9reQTU4NGc7CWVpD elEWoIyqStvO98M84WTkYXmePGa1BGr9oE5XlW7uplc5pDpD8CUwGR2L59lBXMkUpW9LCrcD9FpB cCgFPZ+HpQZ43TiZnKPy8LSsWx7QGzyCCU0LxvrxODsqiLEKzfr9F5dWE7ep63PijkrM/dj2UGO8 GjGP4jgqWwL6gkQpxCp1j+5PztadQ3swtssSgbSHWiDX4YjmFQk2r7O796bnOt8XQWM9Nx4l2hnb hkcOiNuGU5beAq5s/nC3t+EIeT9Z9LQO8qWH/vyzu6+RYLIpZiOKMz5q1XsUpW3zCQsixEmuXpV3 LZl0+lvplXkudQlLN2AEVCJK29/vD2Dj4DqK1Qt77tnedXhJ2G7/kTvdi09A/tcxHq2guHrc2LQT 1rbQRi1c5MLnj1bUfH/MkDJVrPQ3r3RMg4Zi/KW+x6hdH/FwXVGT5JXEcFY0szfuSd+VDWtRmGIo 1Oq6R/oVVTW0HE53dWb81XrRJiYaqRMwmYnHkkJNYiBUhkIwsY1wcKN4QwfLdbMdWLIjM8L7ecMn W5rAJHufB7VmXxb+7+qX8230qQ8JpMxdefSb99MK5NigPrsFZARPXXSCFa54UJOINDNUsRyrfqgx xS7poOwm6wZSzScZVZnjDVCv3zqM3bJ/l5pMP3nCzqn1/vTrC/Raih0rdXr2HBiQXeNBoNekfEvs t56VG6hoW3V0K5gjeKw0ksvRxyVJXx3/aMZ2h7Tc9alwWs+9S9Dp0Ap0jB/vlN7E9SBvs4viiQ9Q 25IZE1rAx0g6LlKKjDFPtYwsiSEBde5l0asNFxbqWSokhfTZisqu4sNPqmHkN6xr63OZhWY8KWRT Xutt8wsjuW50xAboiqvEF0o8r8hmMUEZaIM7Jm9U6cRPHlpzKo06OcZE5BUFkdcFVEUHUbR9FR+a kuM/qehp6yHxXcLC2rDAQRLHvP4/HvUxsGAwim2fxS0afbh96INw7ysfl3Owd0hGd8ucgntJImiD I5Iy2dgJQSejSfYXZNc/yMnRol0xQYeIDBuim6Tt0C1LjWKaElW0Fv/YqgiVctCvgye8xf+0v1+S DlA96oKS/8v+eQ70ApfNxnfS/3sXOjBWeT1yBx9YpObX0SATHgMuC4TNXb4dHq3JMVNdaTPwB+hx UYlHIbo/uU+2CAHFb5llwlIjTh5f3FGOkJJRYzm8fOhD4PHiqWkYo6e2iGuIaMU1LpdBqAnonLML OCsyx1y0+7Ivyw8BYhhPSbitUFDXdiUBUTyJ2poYQoTRO8QPvwxU/sJ+dbaGxgDtSz+M6XFVL+xl cC3YxIItb3LHGoMYothbLaVZjKceQrMjmxBHXDJ+5F+MBZJKK445pEmj+4w9o2Xn27YFFgd0KQ6r JWtaqQUZKN7j9JpUX4uIgydJlbj0+TZFwtXU3jDwHF7pxxz/zKyJA9a2Q7N6TjxrU3D0j+MGqMU0 iDHiR7Qs8IduiWRU7pM5pFAH64EjD1AAnU0EBD/arMCskCytrIFb4dPzURCBnEYmMzXkqrKjjKcd IGIbiW1N4ghRsI5yX1gb1XQADflPZSL2nlNyP7dKbLvmH86wY4Q99WwH1W50RDwOaJW8HP91Loc0 d3mXlvuDKnTBKiSohcI19/mP9wNbIP0ozcLJIm0H8821U+U62kcV16hzyyEZjZ+MGTvx13Sghglm njoD1hmUcESEaCmAQpW42K1u7ccBHeFwc545HmVSpBOwPXz3h0PWg9keThAUw1iXlFJ+H/Kd547E ycM/u9rr5KAD5rKNpzj8R8Y8iR4wPHdy+poYkbRFoeawe/OvAQWX/iZWpiODGtEePcP4MzQT/mgS jko8gEWWQZslpplH2EXlZ7MF0qH+zHP/t1PZmQTX621o8CyRIo3EKUj6jr+qt6S8gMrkzGEG6zdf TiSVnMrbOtwRZZoptqMQdULMTKN2ZTPS+hhY11G8Sex2KlXZI0UTMy58CdsQD1ZgcCGuRRh5ooeA vI3GQJx4SNhpQU0WoH577lhhXZBV0WpVi1XxiQ2cro4tVJyIZ15tbV4vpygW5efBRI7V+IdPkr3A 4IhkNScDzAcVtLzls/JvE2a4w0Sos2rt6D2AdgIYJHEU+axSrEWhk2FW6Bfr7DT/J5ARM4sEcrIv phrCx73XmvmL+nwtHdfnovC9SdD7AWe15KvM5c6Nf9GNRLBnQM20Zbj82RI0rKAML1g8Ljf4jY0G jPUoxWtU6xffeSA9RAzGpDJObk8nqTGct0kFruZM3zNep75yoF/SZdexBkrK+0TBc1N8sD99jHzO 9BjchggyoPhCSQ81SHJ9wcZcMrZXxzbJybpO9VQ55DaspkRG618Hi1KrA8mawtrNaB6+Yu5oQOLl hG5/ollsUcSAKAuJAu6ant7s5hNsnxH18qs1rA+bRwbZqAQe3/Px3zASxOJRUj7ChAZ8wgCpsQmK 5a+61PYpzi4aNz8P32xAS7wKaxR/49lZwP8IW/bQrGOC6duv7zKNB4ajLoFqUtrkVhJpDpcBDqzW 8vPxiB/YI9hal+MPRpolsROQj9j2SmR0Q9NYrOjLwHLE+zw7nWLY2sv1iODbi0V2ustcsfpmzHwz 02+TIbg5v+D4UGZs60KSheVaCPDNzFqrccpFCMnT5xTjcitW45dJ45MsXaCrhXAwUIminyo4n1Lz DL7009PS1ul+qchLhva5IZZmNCDpxHTsHMFjBz03qHjNJbgu6zIDDCfNvEvgPlnm2IsrJ+8xAdD5 7Tnf71m9gLsL+8j33HeX32wplVZNXZLqeatC6C3jLf7q4fdTbtjZN94tHHqKBDzB8PeDvlnwOFSi 0brvywD0uB71o/3RzVUGrKJYDSkUD0Av+YLZe0Vc07SJG56rPLPBFPKekD/HrfZRUB9fpSPHdPph VO2AhxWoew4WWIoLW/YtPuEb1Gk1t8pdyi44BkKgDQ/vCNBU3HW9IExcAQNKK5OsrYYdzotSUROm wsA4GUkoSvm/iphEaRfiymiwXwvr2WI2Z/x7FT5MB74YMdhpx0ViY+sEebq7/dC79XctqAt817X0 PsPYgTI8KZgw+WoKz7RjbM1upboXRxY8uD7nxZV0gzyNdO+WMWQfGgJ1IdS4AvBi/sZTflvCO0nH dBd+346bCD6Bg0j+wVolhxaMXNlmmyM/6lLNTL2JjO88OsZ/HFllfxZQyA0GWAi8qg4TIe14ceAR IcPX/kT2ZQL33aqUnltklXjth7yzT2/xAUz4VTPhvA51kqC/jFjriOdStqg4qKhaO8HgxwoVsujL whOKl+5SIccuepiYA+icBsK+1YsLfRvr/Gq22GnwWkL6CAWqubaVACr3D9ZD+bDv813/yBF8M5I9 PmHmfA3Gqo9FZzeWtaQyDquE44BqeGQCQsNNsxfWHnzyKbaxhNQ2q6me4vp0f5Cr+zX9ez+d+xC9 CMEjMBy0soLFgzBObqUml9D7yzoz17P1g2DY66B19NH+2OI+eP7NbWmgcTcNpBiPUg98X83m/8n3 wx6kU2MTAMJHsKxkrKhPw1Oo0uoM0dPPHK2Hf2Um3Oegrw3IdEZULq11f8gQkhrCBMVHalUr9EC5 6GxQCdnQckGCX6MNzfZbZ+Oo3hx4MJjKhZNfJeDOa9QA6cMnMuyHqr8sQ4NZEjTs80m0aww8WkIf dU0HjWTBVJtqAyiyh50hrVv6iwPJFuNClLZJ3LkLHGLt61YhhkwuobiKZzrXzDNpOw8UYZE3hNdK bRvOuyA2MGCASr5L8DlEUuDdQitiS8YKFM+iFjV9WwdjCfy29TLqZCr7BDOK5Ug+z7HzP0Y7hnjF Je47gY39NyhifFfEzxlNNYAxibJcys5K9MNkxMGs9ebJR9oO/6W32Jz4PaH/QGGh09briNKxOo+V Bvv3iDtRkO/AW0J7qZkvPc6Q2dCOr7BjH5MB216DMZj96ixgmVxM6BcBwRB4/39P0B8bPjpke/45 rI9qMeg0b4nqthQgD0x2cNcoYcDsRq0U1OhNF3LhljtI9pKzWB9MHiNZZFj1iHNw6xdtFlcKfLJ0 hGdhGjZvG3/NBp8RVQ+LbP2LteKkUDFKzrIubtHq+kKeiUCoi4uXdnKIUoaBtaZ41MLStBksD67w hjukpMNQ0noZccAupZHlrpjD2hoFdAUI3LC7lglBkqCoYX4BEoU4T8zDVNXliHvftVNQeQw/u0uY VnTRPdeOOashKd3MHE66cEvRAQGFiD/yrp6n+VmZgOaHdX1xVKnB6bVpdXhA+hmvFZj5EkeQBn1D EmPepfpFhF+4S+DulDn9zwaq0J7bM0J0UrJFlhT4UYnM9JHI9iI+FhnjnApJ4taRnN5zO/ijWxmh HP7x3IZ6c+ecvZc4utXInLRR/CTSqRvamBraHXugngnPifLciAjkYBsMWBTDXlZ8UdoR0yyhXqIH M7ZW5DRhD4R8AuCR4My05pi63R0AePLK8pelOlbwiyAnB7v+ORK2RuKuWNYaimrCDqveqsCE6xuL UqP6daH7pK+cqIEAKY3r3Sj4EGc1o+lOV88ylyZZUMPYWXi28VqOSzAd9a/W2caf5kl/ia9vhFt3 t56CjBhM4Z9mlGt3qcO9P5v4xJfmmljHamccmDv0To2tgXTm5Z2A9tD1OmNRptNwaBUirTeffsXB ZY9DEb7mnTFEVUTVBLXcSzvF15kG+fbLl/UlgCecizZMtGcmkFa58SXSkBagxzFqLwjl6mptXxXk QT0ipWTAUQsKR+mBZ1AmBLKd0IU4H+CGY+dG8nzrP28bfqTbF0NhMogAv6KfmQJsjKPDaZabEnPh o6CBy+/mC1EDyah8J5FTi0TEEA5fM5LGZBZmh3EYNVDJL32OaNRZXMG7DQE58oMdOXxKE6MYV6YT cUrJm4WF8bF0BFdqRrnk34xvP94hk8ES6Sym/11BhmLt4mLJ/uY6TkezcVP4tsqZtgvRYiAPIWIC ndG2w7S3wT2/k+bxjeKWJMNkMRgxq+61+irHIBiANdq2renXnIAh2kb4pUI6UGaKZUY50jbLouqV 1Qe/Ym52mwjQFqJ7l4LHEbzsdxedTGc8y3yhpkXWNuYhP2uG6eBULAJSeJcHrJFGNdIoJgkRifGQ a59WGtAaSsQ1XOMH+79OgxUApDgn5qZ+TKZxqcW0M9oKG3tbqW6+5fOsEDnqpk390uJpSaAm4M6K WHlYkvKZ3rXm94xhHW9tyWMxmCYQvv3Vsckt1RD0//lPS+pwM2WdK0IElDz6YGr67KUZwrgNBqJs TVYD2+WL4ilmb3I81MZe4E5qcs8ldImav282L+SbwKjOdRmX1Kt9h8J2uZ6AjNGqFnZ7xD/TkKTQ MbpL4zywzSXaIkpKtPwr0hnHeHAVbiUsX5rbzYdgbCJ1A0lJSulV4WD2AY5b1l66Tffvkrf36pgL xIbDG69NEOMZuCbc1fefg/uD27mDDIYvfV+BoWw51qKWi3+fMy2AlwXm+BF2M9cMrPu5PYPigUKz EhH7NHPlCPmCIhYWFVBOisrgKhdjZT0wq5b816sbkrvbfBoWg7wcPxAm2U+oDb6MIcfafOD0eVXE OVhPpYeHWW4RXm1lRGyM50dcTe31GzLURuDrFr3SdOAhukqA0xm0AJdJnOCssG1bbdBtvpAxsYbw Y5wZEv1yy2mYubMV7hN7HL0xqQlJTS7sxqSXPT3Xtj5fu7hp76JVqfrKWTaVI/oDjMO9wb37cfFu GywlRcukgFoSCC7HMUqfq+nlrYHtGLxhCzEzXskN6lECXwXvRRF4ZyerJzKNs8OaOohAV8Y0j+Vq +UZ7QcAo8eVJiUovQA7Nh+H0iY9/rJsKrVzrtkw6ZZMC3gQUX2+23zUins0ReeQ78DbtSGagqVpy 1zzdcL6Atq0Jy+Z2vdmr8TfMKGxmkUQ25Qhz+DS+xrfTk7DpryKx5eBX++Ya2GIdQUYERIEaoxLL 6IPtaZcZC/AEHpe7+2SWnFt+eNGtads3GeZ1VqIK3TbXNjhBiP2sAeQJg5hMU20TGrp22BUCelkp xgtm+fx/9J76KeC8xsIh1XqLaDyclyJrfnzspQdTwNFHJrXN357T5ftWeNcgfsTXbYVF0XUcuTX3 k8iSUhhRBg2cXtEU0z6eS7iFwXGKTyDPK/Rvc8w3tu7twnnkqvOrmKKYaCHk+jTjkMdbmUxt9l81 WXaPlWaYvwfh+K+7EHOyHXGVNf53D155loj/w/Q9wTC48XqeccisXhsop30mLtOKYOg1tZlWDa0t SUT3qFt1rgh4Zb8AxLOplGnObLRrbk/LWZsOjc6UKa5Fw1Tc9uWisu5eA9FIVrl7/GzAyTxrVcWW VaY2x8759TEkz4lWLuHbAmUxITM2fecwRfGqzRlJhg60Vjs86KuZJuReQ3Kra0g6uWVi3RayhQMx 3gvUjN0q9S3HEsxtcSryCPU6NdXuK3fNM8j2TdvsaKxLAAarKS/QDwt9qbmQBSEfKikkoPe4oF3v waKhkkVj9FBXVkwVhdRxLk4vEQEeu7w/Q44wU1m2AzJUs+uAWbkmmO61fKEXVbLzemEeLBA2onM8 ipc0fW2zEdxiQAWVt4KC74jcUKalch/LL8HMqezCpH/j5GjDh5ZIH0MVb8eY2zlgADGQgkr51fKF E0s1BJWsDCJJqyeh/kbsC31KckB4PVH93zk8hwKCtVtePKSdqf74Ds6Ux0AG3YHCYn2PZkRTlmDw JFu9yLIAgm9TMeproxQF86NvbJflaYJrjPOopPKuU/QzcWerJ0FMCsKX5E/IGpaLFHFgVbPVAmQa +SOpCI4MsjkhdlsOWP1bZo8z033Ned8MhL76CAGxjvB/BEJnFbG8vpEOXdP+g1sRlSbH3C/u/HH+ bdghcEKbOVQ16MY3JEQlcg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lEjtec+yQ+BsOeZaorCQNjSnT5VvjsN34IAapT79nRp1v0xRLg/7/9/nM5/6xlFYQyOo3a9N2svj 8BhSY/3Qcg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Qzi6aL1Me7aFnzGlh7F80U3zYcPzjrwn/d47Av4mteEwWT17Q97MkPzwvinTYbY/Oqh6K4luNBk3 FLub041NNAIcWwlzqzOI4V05YhQQbHFh8C3CNtlk6ijtqoa1UQEMdHLZktxRcLDPk4Ium+l2k1CQ RcruwvXJz7fQ3Gs1BLQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XrTP3W2m0yNqS2F0XF4uLxttSKaHwd+vvk8xlnO1mcFfBQ6uD+dzbayM9Z98dnO72TGxxQMAsbMz CRKbp3SZQNvxmVJJAb0Cd5rjH2vamjclGFi5HUpI6LOamovLcIxH6+lQlrPDUOYhzdrTdg1LFXVh 2U9JfJQe2hSZ1xxuxWvfq7YRwN11wN6YdQJL2cT+EeEbRiEgXlr8483x1vDRwet+NVsuD+eLSU95 3raKafr/aiFHuaQipr8UHs9ap0+65HY+DYXf729t/UGpKIQxn456T+07LfWn3oRukVUs/9Ki4NRA Hg5sFYix+/BTFA10wYbuZnSWDJ9FP2AIYwjrFQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block zqZneAbXxG7wdQureBRjWafTCK9a4yMfUDcAovF8SWIWL0CpJ6uECZ31xSkg51fjOw3UroSpd/6e PRNVnxHQzgWTL/PcPkvOiuGvcclUe2qLLGjjLs0rOdTRsngGoTYrro2CvX9Hgwv4PtYnkMdVoDW2 5cvsbm8/LXghot9PurU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Rbm3+Mx88Bno4UiYSRyEzjyY89Oax1bKeDgXJ1ogoAtGMX9OVVpBHzykmX2a+8OEa25lGCkAHJJT XfksIDEzmOAxC3HUne9NqYo2UTfQXMH0EwSNqSiOd0rZRn4r8WN1LhP56taxOBFT9y80YlxBbt13 xWGl956t2AfD2bLY2CabrLui6dr833xanHQau3enWgbUtkP3jm/Z1w5FszRBfu0gejkJlPxggL/Q 6Ut0F/m17P/YafitrLkUOv4+4tdG0SwMjLFwkQExyBvCMiCJsJvAjdE/P07xjB+L2WCqI2q9r85O qg6cYi+Tqgjqq9wLCArmFkybEBSzIHaKlrciRw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15520) `protect data_block BmlnGKA7kIXJK+GB7IRm3nLgCZHmsKJtNkv2yR03SEcsVr7/BzNfJPt4OHIEOXOLtlYBF3Ho3Wr3 Lnyw3hfO2VaEjh7Geu987/OhLhRO7495cd9f1tn1I21RHRHAoINHPDBvrhTLmqyeE6AV34ysxbu2 ZgXgk5h0KoiAq4MWXW2D/+HJA+oEPfdg0Gul7jlHSUjwbEq36k+ihCMIYsT24Xwye+f4MypPhQu+ npXI2rz2wGWdtK6hFUsABlXWxv62c00zPo2RjqIxZ33oZKXnZZEgAF8p5mbnnXu96I0np/ZRc370 Io8lPwBm3YqiZYiNzqzjISkHbgeBjC6TxutSmcp9Jozn4Luc/SB/Zcg4p7BCzT8k5ig9lzCEsn4n KcDfrRXLfQWBAmahhsdmloSgza72catqST/hq2UUEvARZj4meXHpwvYHxSoTX65im/X2JSsUfsIS P6p7OvLC/zhTaxO1VTOEaDgtuWId/3IUmacF9KKIBtGrFXL+OO1FMuugBbL+FYhojbs7E/n04oj6 U9hARK8t7nsGLuNfHzRV+u+wlugDiNk8jF/BZtowHClLOeavgELkPpKZhn/qaNYeFUpA5FbTAJM5 OeQJDev29DFIt7J+ZpB3TaLwpKJRwlKPNvh6iGMZdkaKUIQDUApm9aqjTlNIDXTclKMNzdQrZkcf oncq4PsiUlelTfMRPFvQ9q3n5l7YTXF0usbkyqD5VDMR3pvt4om0fTwvtAQ2DqOvMenkiQboGbeG 4zNA9bCtAhRUZkw3KGx1559/kwUb8E2rdERjNQueafD4ZPthW6c95C4WW9stujUGSTuWZ8qysF7D zfMkGQ/Jt1H+lOo/4NnNC1JGQfKRuweFzwCIfgRRfoovsnY/cyeOC62QCaoYoJw5j8JNV1qAFZxi QmpM9dcuQ2CMPiLC6ue82rGC1OjZZ9IWBU6FeNrlAPxg6nkf5VxGKIFXFr6V93lF0eVe+tpDQTRJ VVJeTjT70/SDc/dgKfoczAM+QWhBxod5BEzgopIfoivhmDRtqcGSP6Ql5VytKWih/LG+QupL9d/O ZbirCS7pPRbfrokTIF1J/V3qtBO4iFJyLrRNy6KiAQzkpULza9cHmyPIhqN931edbM1zvI/u9cdh QSxeNVNxEW9RaIHbd+RQMHvlFJGqV4668uQdWBTy+f9PhlEWkmnZ3FfWQ1kakCs2ocOXOU63YbFz PaYSBf591GOEo37QB241QEXNXTOYWwMso+vL5z1MuUFvRJ8xrAfNDgs+LaDcMa51/WRMGK2XIR60 Gf9butY3XoXzc5f2GlIUhA4Lhrf+WbE/bpCpmHHQl185Gf6va9jpO7dAoF8n6D9+W2C5WHtOlZsJ PnGCctHPbKgFr3aq/IzHQCiI1a55/AJfPbegPlI0JQsGaRz7dB/Y53DeaydKhaIznqcaimT6EW2v x6MoqngJPWUOS9bAH7FBa25VXgYCNzt/xFIOZjx65n7SYcI9J8gTdkNsN834BesL3gxr0gnbA5Iz EryCSLcgPTYiEqsbdedUK9xJ9l+xi5iwcJzVExT9ZnF4aif6wnIavz+GndUSNpjX20gWQA4eAQ/Z GIuhH6fSkwCUeLeqkRgo9cEk17LKAQSsNviN3Sa6RkN0nw/+afEuHPPXxJyiXZoxfOhsC0QyA2GX cS9fLF+FLDbrT47FYptLT/+fAvqCOY7C6HCnAmAVykvlO17MPVTdfCUhA55dqay9q3O+jbPdk6zy eVYiFWfyLdmoffwjzhD8y2soVidGw5Kx1+0u3UL2VK/+grn5nY9zTsAmyomnIY4teAJKw6kT8zWw cx3OQqYsuajD16JbZ3zxmORwtkiN0uvA+Wo0urkoNvTIrFvPl00yZbJgEJtNcLVA/Mfh+c7m5oPt wdDgjCE9HmEAnlm8x2joBgu1OFpMXhYUC9YDyS8F+KRvTenSErq/EbNpN30DXmJQHG0ulLGBvb4F 72/TAff2p/Tr1Kbdp+HxFchLhTb2h+tWavnZGb+eJLnwkQa/UzzeXenj5eyiVa7WtWWeZMql6H+f BXEpEadNLqTV4DJ81aXP12zGh6SWonv7BcEvnr9z4xkdJYFjWB503a2KoCTgYc3mpIUy+RvutAZz OX0hP5+IFCyATDIfll/n0NIPLUMAArFul5CEJj2kwAJM7b+md5qHIcsIIgscq5WxDlr0zdi3EV5T T4Fom6dGxMDd6GhtQs65EWjROtZQKfZJG6ordgU5UUvLsTz6t9LAcJgvutfpN1JJJb1qptXAFo2k jI1Oxy9f7SwQGHe7KB0v++DvY/DzTPWoi5V+sj3e3iUb/l7HNBafKuGq5teqd0hiE2m13lj2ECC6 TgdRDZdTjX2UzQxqGDBM/m1yY9zvT9RM3Jfw4ipf8FSL4GaoxzOJHi/gYDpf7TKVjIn/flR/3Hnd asl4SyGbLk75PbEySE+Cix5yfHbB2ZEgBUNLJiB9/uncJYsA84YIPVtspSG5lzn6GOXMpbAVWUOf GUiwz9Nyz74qhFeOpjVkDJ8yvYe1iClLYr2aM2UktNZtnfGfCBSpDVJ2Z1kYItBxI63w1a8V6Wl6 ExkzU+yeozUKw11otTKLOq63cIqs4/MTO/DqjBzcfN19YfBemHLUpRxmtJedSAhmHKPW2BLOzhtU TyAkHSZ63hspKZfSTbrPrZomZcRcp6fqQM3Pnz4BFUiyXBoIaMiKef0a4wPrmN/qoTd45skGenUC fKG8MU0iBpKvi0AZ6zOWj2Xt60gpGtf/Fz/iniW4+deF9qls1NTLLVNPWZTxvseacl86H9KCD/dy 6Ui/55tz3730Orq1GYz0oZAGZfZ2eKE8pWeTPa+FHFDF47lmAFVOoO/hsiwaw2TLwlRQOJlWutYw iUfwQndvUwU+ck1GE+xazsKYj+w+2QA5POLYUdw6btPDe8bLctLi1IELNAkaCpO5OXFendAu3M03 EhVwWkzxnxrdvyN6tllOqsNpN/LMbFFuqejPYMGSDlcz4iSpbgl7q2aN3lNI60GUxtrASo0LP1Xq PmgfOyC2tm/jaVbMHn2vRn9ATFxeR9DpD3VtlBCgWiuwic8lKAynPnLG67b7OeetSH0jCxMoNvkx 0ZPGWxVeHjJ9M8ZOUpVzOu019NKGWzSSNfnCFFDxGZgYG8B9jlB7m76F3pDZu6X6xxU87eLXBbmw 7jU90ae5fZ4KYyWC8iQJSAsniPAXVNQuVci5PBd3+D6WCDLGUntQP5cpoENzwHrp68pNei39sn+y oz3NUcMZy61EB9gPNMfHFZ4xt1QNto0zmz+bEk/SHtQZX+KTTyZrSSFd+4hzOVCSAJ31vc48BOWR yWZw5iG4UqGcluPO+IlUB4e9eJFc/WTpNTacBaUR9WvD5352FVkCbIMn2YIFliDYp/aHMGrRl9Fj pTh0iPPz3iw6fsyjXW5tqYySvXc1HM2YZ6kZ3uJ0oQkcWIzwVbckPG0MhB+Gc0PA9dmHZdMTjwYA qvvNRasNaO18eOtP+D4orp1J9jXTC7L0Vlm/WuTrbStnfquFCxBnoicWJ4ubriJSR1jjgm9J1MWP QfYKhvXDtd3hGhdeiV3JsroJkql5iTONLsfjlV+aAWYlP1YlzApTcA1WyN47fJ7BxRpz9i8hAQKs pJ2v3mu7VpHWytiKKxzlZ2NZmTn3Lc/wCHlzAp/thCpRCb2N6ihuQ3taKOxB70OCXQXwoo/XBQwg Rdn6VArqhnYItF3WewgwRwMhgMKkTsjnJ4b6bFRzJe4t6dk3ON6PQP3nARQsU1pvxYY2gBx7cV+G CBGAXZYrCiVOCNbN2UfmxkrpVt5ZE1jFcn8law3nqR1gPMFhX6/pZQUDXRJzd02pwHdW9nPseMQY FrYvCC43ZE7K1fJfUBM/A4vXNJv0KvGeDVjFxRkeJJn82DdesWzct19NeTM8e0DD7f/0DGjNxQxE j6gwtOt0sl3OxWfkRwRI7QEZAAy5OC1cXLcS5O+d3f1otyyq1cntsgn+uTwGenKh3ipDM9XW1mBB U6DiG75Ijzu7efi4O5iddP3z/nJRBQl1ybHoetw04DrZpXGyitZ+FZCH2W3XeBn6Ppwi6/Q5PI4f SFOOtXw80uJjzI+CrNJrdywA65SH+HWDeh7ww3ohCjes3l4e68ahLv7VCqNNjIRWPxxzSpW8J4lT OMNDWEt3ThZrZWfjWU4RX81tTbCXeBsVFWRGInoSrB0Ay+qTlVBuLewQMCMimst5jUyJhah7WcQF wNOTz3RktNPuvhN6YSyVKT9uGQZMjnhAMIF30QKIVWSoj33jgnie+W9qyZVkygEnXcHMZHwvPUdr NNuoexaUZKQAFNoC+B9lWhiOpdzFBFXslzPK5W7J4m9iF0P6IWrKi7SYXugrpDbNqmx2qc91l3XM 00ZdDRM2C+Ulf7wxmd7dPaSlUiXN63A6i3cZb9SqdXi0NiF7bxZcZSTSwFb1AnILc74XZtNkLz2B Y2shWty73klKFpJbRnF+dyJabvcYQN5rqAt78rRWUaq61zj2SKHVufCFuNSqzo6vlFNw0JqYK0uY RRGLlP58elAnUN1S7ggo2x6ofM0ZjidRFLssWEAAXbNb5UrE0odiRCQJZ0TTxuLZsmrTcc9M9BpZ 9YEHHEMCPjtWGe+ZM7lkw+7017kK1Sh8Ll0dSGxnA2ZEhZ+4V/CeKBqP1dKsPx8FA5NL/q1B0Sg9 KsW6lYkW+B6tUtjywMvRwVIMP2yfH+iMnGik3OZdZW5u2pikn6JMo1eMCNVSSttELYUHpsSOPb/N 9NltTyEoZnweV002gih0FEVsgHHBXVjkRn4obGh2o3m6Hv1ifYWAVp8MLxvZwgxk9TQUZNowLAxQ yX5NLr8YdwjfOniy5TdJtP9Pq8p3jD0BI3mzXQhP4hKeTpEY3LUe4fEGyR8AEnwrj8z1eSjWnb30 +Stj2TS/JkZ0+ulzdH+CvHNEPrG8tILZd+uWC+JOf5jJ32QRVeGC2SXlIowZmDs6Pqi5rJOl/Mb8 QVWYzjnZLDkDBuMgNDiDCC9gg+RymJyTA3cvJhUdWqMF2qAqggGhhRr9a+8ZO1qbvdfPsBuD1bJS Ag++9HLYy9ZIugh6RGAyqBhTe/vrfRdAdV/ccPDYIDPiqRXskoWdfsBZqKk2ooNf0q+ftrjXYfgb vhPTqucfINyUqnxEEgPn1hX8fj3yg09QAHlfcgJtUyhnPdWcYlf9krikXs0vl0S7jT+G+Hpvpafw bJ5idYEzhvfUHxhe864JNa8pH0q6mKiIb5ZT1wXiboO5Z7H4oXoPwCAjIwvjZ3MylGUGOXG7ByjU B4QV1jpwPFb8rEKuEBKiZfKKddzvLz+wb0XIvtNzrIXrYv6EQRtBlYO4jg08N0w7gwW7Ys0McWHD +kOkSiy0dwHA/5m9KLd4z29s8SsGgEkcMYIhSJUwUbe+eGRjGig/1bF8antKK7NTWGA6zvx5X98O WALb7ekMs9HjcZOxLnZx3msdBNMIJ8bpCklskPLKAwgc1E+STA0Om+5QTxB/N9PBqJuyedMJnleG mSkBxAYmFzocp50bI6UshbsWbkfInlm1Zqla6LjtydpxPsWOYEWOaL47NTVTEh34Ua/WRcTGDG4j AKou4jA2duxRYPBfKJ67MnGCLDyi5Tqaf4CtuZAZdBrAmDWWha14knfMMO8dRcUGmfHP/I3+ytY6 s2AijI4yzMreupACTkZuDtlJdSvHvdfKMMW5t3UweukMnARJ2V/IkbFbmGZfh6XnpDqOgK9CnyEk NKpOfpKZSzjpeOoH79ngJgEvCZbV3p0gV32vaGJzNgAAquhdANqFxe/msQwcK3mM/ZebcXoD2Vpx z/sKY4XHmYRxKZVfxYB4wOkMwqJOwS0muByXfLcjkrbs0FYq8HM9UWY9/50EtVBa4g4bjF7SNKDf oriH5vPM5Csb1Z8I5oiSxRCzQ0GEDyxrabhBPNcsaAv3kKxeQnmDA+vy5KEvDsQwvDdiBsei6w6/ 1Uk1Qjdt8ibu7wmLDYEr7Yn5DVntt+fedC0dr/S4gF9psKezeULLO+vIvedfkyTV9xTdLMVcgYZ1 mPKl03YQP/e/0r73htgiIjB1rXYuZsMu++SaT9DVGzkd0EZfwXXiPyzwdZSOXS48JWfxrsq6015M hUTH4GBYO7Att8N+NwFp4ozqjPKl6r077rGL20nMrYdukicAqBUxUeOhCrRlLZyOIOJvr9Da2yjg sAJwih1orqjDk+Bi/Rpw7ABRcaPP78FKLfjBwC4Pl7kXP8poaPocm86cT0RIVV9QBHXOnq/5YPdy +JySs4nYV7TOUomljkQ8IBNesu8+TOfPBWsG4ACS92pJT4bdt3X23HwcOOylDUn3qE/GsEv79KBV 97jR3wPelsR7S5G3e2nIE39i5ykf4SvecQCf4QgM90q+jyI9gJQT68nCOVnGkrIZy/s9CP20XBMu YqGCleycloFFC9wDJE36AsJ3YpQW0j48unOyRsGV9gjES0r3DA7TD+BmFQ2TDu8JXl2HQPlS4LiK JZToU1Vxshr84IHr7WP7eUhhaQUYiHIf+gZryBcPUovy/NeZzAxZbB54RajnAi8dbGwnu3JW9ax1 s+JY0SM6mf/4L8XGQA9F4fSkUfQ4PiqYSB/QM1l5yuwCDVqfojcksDrAhDz9nKboTltvd2nhzGRd jiFA7c7hD9r/Skekk0MIJ7Vw2kU/7xe9PY3GHVK4fMyMiYZEPykKXKMB1Q5ruD1CQCwC/8mK+qvs yEzzZKuyY9NA9bD61eetlX2YWXF8Slspkz/YUEayqsrqGBO9USZVi1Qd1stHizbd+AyGYCrsBZ1V eg5VhS44CHKTf7pKPXOyGgaedlTdTLOBU504mnux1BT4uEsASren8DZmhEajUcdotLiK9Xl13AZf MZuMRWLnmg86V6xDW4IqWDlRjwIxRODx+YynUDXS0VQI76Y9lXxtRx2JfX4Vj+BXOKa2VR2yBG6W zo6HpP7j7ZIA7yhFZdAxmrF5g86Tf/xAUCrDQeGPcj5Nd/vPVc7hkt8OFK6wQYwMIHSrS5uJRwnA EfKW6ELBWir9q/Zz0rdj53TCq0RDbiwAf2bm1qlzMHvmQRUY2xa0jL0iPFrdorS0Er3vhNvB0iWy hiVRwTP5Z9AKiirWYUA9fEcXDLsrvTTWqHS6ASsI6bUxoBrmxMQmC/Fu2OjkAb8z5o/RNRsnGU1C ZAWXQ4Y9dMW8jMESD7WtM2+4ia0DRiKAgicyvQA3nrl5rO6ggsfTDAavP0yTcMDokqYGxG5RPAKR fYAAc6FpIKtvTznJ0FHkJE95O5uNMK2VviEgrZ6xO8JIXNvHzR4YN/QiQRrz3Fi/Zx2HFL8YjejU i2J7+2MLWesL5zwhSLO2i3OZjuNNYMrfKWJ81AhnvFYiQERPHHwCJ2Dur1sh4N+FKJq+wpYjue2/ KhpmesmTg2CO+cw3RguAmemXI1L5k4qvpm1I3Ic1PCUoxxN+RqU5K2fUMbpc1xi2cdHPI4+VGwU6 mUfwMzj9gjYuHOCfOQeYTOSrm+scQUX9uLAQ9dxCXTy8yAm6hSBqdF5I2gklkkexdZjmBSm0du8Z HSBN/QdWfpkDa8RacBykQUMhaWYs5crQTJhSLgbzRw6zxiwjYywqSJfdfCXR/Mw3HtzKYLz7FItE ZOaq/3NlfQzDv1K67hoQlM1HaEEADifEBSNEKnzzWZob2YkSPqGP0T2ozq4ymo0bRywCZv9FROuQ 8xj8cBA7wJRX5TtZm7FG5HpqYaTc/aFs89mysX44zZ60/5bc1X8/u8bpj5LKocp0bs/uSbNo3yqF FPibYueoBfVYgCM1JUo5sCbYO/MjX0HydxAw/E36Ccv6xL8LDfeVH98F76QLMYVrnuWrmvL0e2Pg vnBrP9X+aH8nIBN3AWNkxNrtu2RkxiYc9ix0mnQhZmGOvBEWWZl3wEpgUz7OE87yPyZhJzFlPgmb V1bTvtI+wnD37EGf7bdtv1u07hiIsAsYfe4MGk1WJgOEd8w10f/tjHvnTrLg9WKBFO1YHOaAu0bo 1lkAPl732vvwPk8BKUQTsJ5DwZnXsKbQyiZgnxFkes7O2qvoq7XXPjTlo6oHdkMUWuV2PhLhpGO+ SbZaxRKuHWWmxD5owg21YWkDYlWPXBYTlJ3Sqo8Y3x4uBGaP6++WG5/Q+nhO2uOuyVSbm+XmK8lJ PyCfCVwq77grarkTDSt6pwRYizQn6hX/cOyUqN0KwR4GNk1gZ5Ih0QZPYCSbuWNE+wFAwqTG1VMr mQlViQovZf0IpNx52IF9ujVIg5+pnAbUIUbjyKAxASLeVkYuI+oX6FtiP8BJChwm9aWD+XqIG0jL xirkTL+ZjwYyIqx+3q3CxsnP4LqtF/NLucRIYXLc6ApJTP+VPc5P2dILl4Ru5nKhySpUsC6AGPzf gG/ns53UIGMt5XaBFks66tYIFJ4RE2Z2b75ATryWpcPgdEck/du/Hf6+WQPrvqXr5tntzIIBxL2t 1dpD9LgUgJNX7HjWfWopzK4YrCAnLSEUs7E21Wxk0FGM1ZPWAWcE2z1KiCA/YB5hPFo4nAZ9s3bU A5WPCI8ku6u2LBgq5Qdd7RiEnl5+qc65v46TdenCsCGUBHVd2HceE93OfUuuRewbZT3oNOhrD+dz MhlBFmRwTpptUgusaqZV6ExhO7pPrJb6pBAmegpLD5/chbRqEc/LszoOl1kcipxt5/+uJGzeak8I gkxSI+s9ahYZmCEzjzcIbtW314t8OYwy5aNuZkIXVmQ7BehkcjSeuurcBu0Xk0QZZjipOLLPvgw2 QRJ/Z1dPCaW8FYkMXHLF4L/nyjSQaJ2UO5hdLx7KS7hoP7g/bzCvVT5xzwsOT09ta9RFcm9YnJnF ma6npukqDxObBAFqqhfIWzhD3WDfdY/OpHBzt5aGCnQQ/wmcWGYNva0P/jZwUXDgtIeTBAodwiM+ DRIKK9eP8mwDaxs9DDQAvkGvG6GjQtZ3EakjdQDF6yzUwpUbVcr88vtk5qHjU++kuk1q6ldjtOg2 Yj9C9yn5x/28Y2cJD2ksH+qnvS9XKFV+tMEliI0+Qtx1EKqA2085sYoV3Fe0kg0d0YysvqiswP0n ZUfjM4s5tNyB7nbP2G905vgeTofhR1bexJZEbW+bvisOQM2F2zY5tONlc/EEWA4em5fGED2jltWM TW+6zRPZdIfxMuZS26gRSNcvaYeL+j+hNNkfbrOzhIGxNMIfEMz1On/ZDXEdUhvy4kvSVA5E9OPF 4jOZlm3cIfQRiHJpMHu2RSR/VQTZXT2SicEGs9EAMslI1jBDlJs7/alMj6i8rH7DL6CJfkcw29C0 LLtY8oq9mhN3z/3SYlGruOFwpwzPknZRXeOlvsqQun1lbtKScLG2UBj52y3RafOXpkv94kyO+o1r CRa0cqmICu3/OXpdz4eok8lizWedh+S83o5O9eOEpvsgIHG5uoaQfmdddeRRomvAHRbzLMZv/A2/ +1d8HHm2i2FGOmdFvezlkgJvAQujmv/8DWDUR9iZ4gbYla72VTBHUabOg0MyxY5AUvD9hcx687Kb kXN41cqyido3W5Bh+YUG0cZUrpLkMUxL49J/UABpGh0qDh2fPgfIAm8MFztqxHNjX5jUHUG6Ldcq n6rFXCIgK9D1AhNUXqOwyZNpG00UrstwZjaTf+/pkKMW4fHZxYh+uq58czBH7R2zwr4+Y/9X1pFq ky7eHA9H48Gz9tB8bsm7/9Cqm2K0glGD6djX95Ve1f5FeTWgsf+PnyodKn+JrQudinw6LEdxQ6Dw Lbn5FjBhqpbwpIhlHRKj1JX0lUrIWnWAw45WJtk91vBF0JXmRb5vWKniESyC/C309qxc5/tKBu4U UVqnTUf4kjxGU3n9p13Fpff5WLmD2cVjmdduZyWCpq8qRsdzKiCQYwRdEM+vLiU6XqvUMEcGre2y 29YjiouZZ4izMzoBhdI5wR/jcLeu+Lq3gB1qkVjIpWiENpZsrJ1bSyfCBBrpYYTRq1ShDp1kg9Gp tShKqAeC0xodlwg1Siwy3Rlv6R1cAaDor8tr6y909quXRp25navkOEoVRdYBh3TSGxWJgNt0+cfS abhoC9Cc8Z4z8pVjjWpeY36Tn6Nd52TdSjSpxjtTqfaOAkIhdgrJOJPrLtPFstgPMmyHdgfjHFWs kMxHy1tW2Ect7tgpbpxfL24cPtGVKX6/wGO46QXyFXzqN+Nrf4DI1ZbFZ9CkyYhmBds0Rp9AtdYD PkXpQHVKCpUrZDWBWN0zRZGcx2QUl6pims76aL6jxSxtet04FC5+wTPpROPFMOZdRsUHTJJBY/YP EasniJKF+jq2Md0b638RTU0UQ89qSe6SOL2RSwYSaE5OkZ7jM855835wBROdoZzn4ZjIRV6011jQ GX4pyfp5rHHTJiNtsINXDMChuASf/NkcNzg6GB7s7/GbELkSUcnRtsIAUQiJPG33hwu4/2/1WOsg F2AEPpoQAf/GkSiRABqn6Usl/4nS6nnP914xOoRygnp0q7MNIry+6BPfFK/TB5yNgUZZJQJ4K+Au JxIMJIvy3zYo8i1HC15QFE92WyRM0Rrld92TqxrcocwxSjPcTn0n8SPf2jj7YOgw7KaP5kQqM82O LRxMgPM+uky2CtjyvlD5wmdkaujmnhiScsdz4hHeJyRXe4HDd0iClhOL11FFHbilhyIb43mBmggp HmMgX06oPSyNzpXifeVeCehdi/iDMeXcjWdPns8qwIrEUf5QIwzxyFdNS80G3AyePy+BYeG7Lwg6 n5lqkUk8wB7m8eNk2v4Qks5oqFiIglbhn/P5WHBq+4kXBSS3+lp7hPOAbpPkK3E06hcDaRyiig2s 33ps9p3IFwg11Kjza0OXpFWtKWlMEjzo6N8RAQwKd37U4gR3HOMnvhnwQHOO+2rChJmqF5d84epN GPjr0U7X42tfU5MfbER+m7b/djA5ySESV/JIhjbE9WGJnsJh6Cu1FOuz4LPW07MFJhzcn8nGx0+C Ytt1xcEV+aAtBfxANE/u4Yu5koIuZ/lHkqLetBPgK/jlHRr3uXWKRRM014FIX5jPUZiwNW2E5S8v mFIUOoiiHZIOlTx29sUyrCoRBzldTEnsB33ziT8zmmivboE7ow+kXCuC8LKpe2xRLQ3qxfPRYCkq JC5O60lamlD+poYaL8i3wy3BbJzm39U6RZUrF1hXrcnkZv17QCy9XvkMIh3YRR61madsQlNDm5ea C5L4/wJ1tjZHRIQaWFD03aS/E6+cEQszmm9ZunIQfHclMMBCICTBgbUst6zVflw8FXnOn2R+9azS hlI9XRTTMibhmqmAqsqwDM+GAykKRCiuYNjwlGuVCzeWpaAYH9ESJ2qQrG/wYsxFIeSSjjer3/8J Szq2yCS+NWyWN5jJeS+nORvxE239wt/rs2Xh8sD/RotenkUECK2/X8g/QbPEHkck0L76ZJcfdiUy /ayhJ9TCwU5lq5oCleZZ4ByqOgEyX1Jole2rC0WeSedwRhUvQQyPv0vnDARSO+wr0KFlt/a2R13o 3Wb6IOei/+3IKrExOqPpKhdfJuBq+tZxSv4hQLVGZ4+Aj7ubSuWSrkoZhTX68kFJKmcPgQrEKjRD Wx736a/V1goyRr9lBm/YXn+/2Pw18+6Xe0g2Tv1GXrUiF1u09C7ifx46f8U9y0X2p0CmVtnOyHUD upTX56XaL7S31Bvq4XapZTPHBwUUCfazjgktL3OBRvVsrIT4fykJEqNqAMGili+Uj81pyVOk05+B fFT7DYmRUC7ehee2Dsm42z8JI8aW5lmks9KPFagkJcjXHOHnVFecoCXvjvNOhhaSbVf2KU+P/EVS Y/Bo8nOqlJShM4SaCiyHvAa5Venmv3Ce/gFE0LSNrN968z/mY0TbQdHw35kwY/intDbE7oPBGuaT /HRRSleCJ1nQNcgw82j+ayod91zbJxlpun/JFSqxdlEX9u3eEdji/oAcTT0MZBY3qXVsmd8q93SK X/6DcnPuSP3n93QDWTTB8ybH2tovAZ7pQZmScgXUw7O4CzfNjoC/5VuWB2kdPoTUyNpD7RtMSPHV 1jqLWsnkf3vHfWobwWY8JrXp8uMzInXGBBYcG3URQ+gl7L+mW6oSdSs328XJKLugVYyzfbOlbGCf +1qTK8Accbvzww/vvchr4qK4mVcyX0td9NO3VR1/2ilN/d069usZCM2+evve+qYKZRzRicy05jtK gtZ09IjHS5M+9MwItIfcxHxK0rmroF/F/HaqzuDJdAIOXdM9B7rmzC9VhYdKbVBngu8VOZ84MeiG AOoeHf75Y5ulRkrZdRCGlCSL9Nt/jrRNf/R36Nsbfxoulkcjke/CYeNFMA1NdtAxwH735dQ7CIBL CMjhp1XdRWOMkhjfJPh1mZ//858x50kgesD2jr2nf35nIsUhTd5Q3D+i8HLlupq7t08rwS5PWWn6 mHj1qa6qlKMrLk1IzDJ2Z5hwtCmC5NTod1VxmWAGd8WegrZGI2Ag5aQPnkb6ccijZa/hBEYUaIfy lw44xacsVjpMhQ80LjexrEA6/WcaUJeBW0ZmqJUUOvjLRDXWV09FfGmGpiE+D4RifWikwNDZ6ecC kvhhcu/y4l+8dK1p6dWGTjVjxynlOeGGBKnydlkzQeYdi9U9gHtfAiriTZcHFPmsY79z32ewLdac AAtrT1b6JbnblY7vXT7ShQnPPDROf3mKMvrdtDLtNXGvG7GEODRaWeu4nCaJNjT9eVnTiWhluCen b4bIlF+fJUY2NcYl8UHOmtSW87Xc9EtmWxzJIiKUaNpTTf2DlD3X6Xz6YGxL0zQLoGpDLc4Fpw3R /Lvhp2fzFDmUSHSpjLaKyM9IET5A0AD2zMX66M0wZMrV/y/u+Rc7rdqLialdG7E2Dz0EqvE6XrAL 4/R1vl72I0rhZyWiXhC9yOfDQc9c4bk7uX40UaoFTUulp9XDAtcBPPvwqNotd8M9PRoYHCsxlDH3 pjo/YXnvICBBN1GG6XtDcpDGfN4NMtUWgcMIyinWceo0au+tYHbnhkiFR6xLbhRUrPAsxeJXDYv3 Z6+xe6cTX2PxiPpZpnrqcdaUqKiFvGpctZKOGmk5FbyM9K9rdEI70SgRX5G989puyU6Mzpy6+sSM fOhOIdwX3cOseORqkGbRZqZ9DRcYpFRtgdFxuLgCb3HKJrdtrUl1sExfKo/f+DqJ5MIF/512oE/r H9qYWvfNzWJWGDnAzZO+x3Kmhyi3E5NpjZLGLws4zvrKdHuZuSSANg+MfV66xC2nc0R1a0vxsmwP 1pZ9GpwzG6BYYj6+J3JaG0TaSiTFP0+gEvlVog5PVckPidrLyNA7Fi6gu63DZ7OTYhzmBgFLFCAs shuQEmX2HemeG8iPIljjR+obSJuII+FNI9QX38bLfZ0YwAd7yPeSTMYa2tNdbYboBPw1W19KWEaX ffLZjaMwBT1G74QwehRZiNAf8SYpRIc2fzXsC+rHjeaPFG+F/y7vOXxsODVLPeQUH7nx+q7KB9ya gg2rOxjhGZZOdx7Sm+Wg9vx9Pan4K9Si8tdYpu5drPGIUkdfpw/mNJvK5wfw+8u+Xzx0EDZKDJ4B 9tWKAfkKyZfnzIzpFo3Qi5KvB3UieJZ6HYpd7+GiT/8o6+TDeLyYy8VKf7TRyIBNWtwQGPP3JYx3 56D99BoBPXqXCSFSuoKB3WLR0zQmVyt/miXaIG7ZFECohy5Parv+sDfcge8H0FJJ4a+ypMMvlceU EZTOHsPdO6/G8t+sKzfNG3Og+OSk/dsnFJth8bSjajq925xF/oqCKfQtTxtT9reQTU4NGc7CWVpD elEWoIyqStvO98M84WTkYXmePGa1BGr9oE5XlW7uplc5pDpD8CUwGR2L59lBXMkUpW9LCrcD9FpB cCgFPZ+HpQZ43TiZnKPy8LSsWx7QGzyCCU0LxvrxODsqiLEKzfr9F5dWE7ep63PijkrM/dj2UGO8 GjGP4jgqWwL6gkQpxCp1j+5PztadQ3swtssSgbSHWiDX4YjmFQk2r7O796bnOt8XQWM9Nx4l2hnb hkcOiNuGU5beAq5s/nC3t+EIeT9Z9LQO8qWH/vyzu6+RYLIpZiOKMz5q1XsUpW3zCQsixEmuXpV3 LZl0+lvplXkudQlLN2AEVCJK29/vD2Dj4DqK1Qt77tnedXhJ2G7/kTvdi09A/tcxHq2guHrc2LQT 1rbQRi1c5MLnj1bUfH/MkDJVrPQ3r3RMg4Zi/KW+x6hdH/FwXVGT5JXEcFY0szfuSd+VDWtRmGIo 1Oq6R/oVVTW0HE53dWb81XrRJiYaqRMwmYnHkkJNYiBUhkIwsY1wcKN4QwfLdbMdWLIjM8L7ecMn W5rAJHufB7VmXxb+7+qX8230qQ8JpMxdefSb99MK5NigPrsFZARPXXSCFa54UJOINDNUsRyrfqgx xS7poOwm6wZSzScZVZnjDVCv3zqM3bJ/l5pMP3nCzqn1/vTrC/Raih0rdXr2HBiQXeNBoNekfEvs t56VG6hoW3V0K5gjeKw0ksvRxyVJXx3/aMZ2h7Tc9alwWs+9S9Dp0Ap0jB/vlN7E9SBvs4viiQ9Q 25IZE1rAx0g6LlKKjDFPtYwsiSEBde5l0asNFxbqWSokhfTZisqu4sNPqmHkN6xr63OZhWY8KWRT Xutt8wsjuW50xAboiqvEF0o8r8hmMUEZaIM7Jm9U6cRPHlpzKo06OcZE5BUFkdcFVEUHUbR9FR+a kuM/qehp6yHxXcLC2rDAQRLHvP4/HvUxsGAwim2fxS0afbh96INw7ysfl3Owd0hGd8ucgntJImiD I5Iy2dgJQSejSfYXZNc/yMnRol0xQYeIDBuim6Tt0C1LjWKaElW0Fv/YqgiVctCvgye8xf+0v1+S DlA96oKS/8v+eQ70ApfNxnfS/3sXOjBWeT1yBx9YpObX0SATHgMuC4TNXb4dHq3JMVNdaTPwB+hx UYlHIbo/uU+2CAHFb5llwlIjTh5f3FGOkJJRYzm8fOhD4PHiqWkYo6e2iGuIaMU1LpdBqAnonLML OCsyx1y0+7Ivyw8BYhhPSbitUFDXdiUBUTyJ2poYQoTRO8QPvwxU/sJ+dbaGxgDtSz+M6XFVL+xl cC3YxIItb3LHGoMYothbLaVZjKceQrMjmxBHXDJ+5F+MBZJKK445pEmj+4w9o2Xn27YFFgd0KQ6r JWtaqQUZKN7j9JpUX4uIgydJlbj0+TZFwtXU3jDwHF7pxxz/zKyJA9a2Q7N6TjxrU3D0j+MGqMU0 iDHiR7Qs8IduiWRU7pM5pFAH64EjD1AAnU0EBD/arMCskCytrIFb4dPzURCBnEYmMzXkqrKjjKcd IGIbiW1N4ghRsI5yX1gb1XQADflPZSL2nlNyP7dKbLvmH86wY4Q99WwH1W50RDwOaJW8HP91Loc0 d3mXlvuDKnTBKiSohcI19/mP9wNbIP0ozcLJIm0H8821U+U62kcV16hzyyEZjZ+MGTvx13Sghglm njoD1hmUcESEaCmAQpW42K1u7ccBHeFwc545HmVSpBOwPXz3h0PWg9keThAUw1iXlFJ+H/Kd547E ycM/u9rr5KAD5rKNpzj8R8Y8iR4wPHdy+poYkbRFoeawe/OvAQWX/iZWpiODGtEePcP4MzQT/mgS jko8gEWWQZslpplH2EXlZ7MF0qH+zHP/t1PZmQTX621o8CyRIo3EKUj6jr+qt6S8gMrkzGEG6zdf TiSVnMrbOtwRZZoptqMQdULMTKN2ZTPS+hhY11G8Sex2KlXZI0UTMy58CdsQD1ZgcCGuRRh5ooeA vI3GQJx4SNhpQU0WoH577lhhXZBV0WpVi1XxiQ2cro4tVJyIZ15tbV4vpygW5efBRI7V+IdPkr3A 4IhkNScDzAcVtLzls/JvE2a4w0Sos2rt6D2AdgIYJHEU+axSrEWhk2FW6Bfr7DT/J5ARM4sEcrIv phrCx73XmvmL+nwtHdfnovC9SdD7AWe15KvM5c6Nf9GNRLBnQM20Zbj82RI0rKAML1g8Ljf4jY0G jPUoxWtU6xffeSA9RAzGpDJObk8nqTGct0kFruZM3zNep75yoF/SZdexBkrK+0TBc1N8sD99jHzO 9BjchggyoPhCSQ81SHJ9wcZcMrZXxzbJybpO9VQ55DaspkRG618Hi1KrA8mawtrNaB6+Yu5oQOLl hG5/ollsUcSAKAuJAu6ant7s5hNsnxH18qs1rA+bRwbZqAQe3/Px3zASxOJRUj7ChAZ8wgCpsQmK 5a+61PYpzi4aNz8P32xAS7wKaxR/49lZwP8IW/bQrGOC6duv7zKNB4ajLoFqUtrkVhJpDpcBDqzW 8vPxiB/YI9hal+MPRpolsROQj9j2SmR0Q9NYrOjLwHLE+zw7nWLY2sv1iODbi0V2ustcsfpmzHwz 02+TIbg5v+D4UGZs60KSheVaCPDNzFqrccpFCMnT5xTjcitW45dJ45MsXaCrhXAwUIminyo4n1Lz DL7009PS1ul+qchLhva5IZZmNCDpxHTsHMFjBz03qHjNJbgu6zIDDCfNvEvgPlnm2IsrJ+8xAdD5 7Tnf71m9gLsL+8j33HeX32wplVZNXZLqeatC6C3jLf7q4fdTbtjZN94tHHqKBDzB8PeDvlnwOFSi 0brvywD0uB71o/3RzVUGrKJYDSkUD0Av+YLZe0Vc07SJG56rPLPBFPKekD/HrfZRUB9fpSPHdPph VO2AhxWoew4WWIoLW/YtPuEb1Gk1t8pdyi44BkKgDQ/vCNBU3HW9IExcAQNKK5OsrYYdzotSUROm wsA4GUkoSvm/iphEaRfiymiwXwvr2WI2Z/x7FT5MB74YMdhpx0ViY+sEebq7/dC79XctqAt817X0 PsPYgTI8KZgw+WoKz7RjbM1upboXRxY8uD7nxZV0gzyNdO+WMWQfGgJ1IdS4AvBi/sZTflvCO0nH dBd+346bCD6Bg0j+wVolhxaMXNlmmyM/6lLNTL2JjO88OsZ/HFllfxZQyA0GWAi8qg4TIe14ceAR IcPX/kT2ZQL33aqUnltklXjth7yzT2/xAUz4VTPhvA51kqC/jFjriOdStqg4qKhaO8HgxwoVsujL whOKl+5SIccuepiYA+icBsK+1YsLfRvr/Gq22GnwWkL6CAWqubaVACr3D9ZD+bDv813/yBF8M5I9 PmHmfA3Gqo9FZzeWtaQyDquE44BqeGQCQsNNsxfWHnzyKbaxhNQ2q6me4vp0f5Cr+zX9ez+d+xC9 CMEjMBy0soLFgzBObqUml9D7yzoz17P1g2DY66B19NH+2OI+eP7NbWmgcTcNpBiPUg98X83m/8n3 wx6kU2MTAMJHsKxkrKhPw1Oo0uoM0dPPHK2Hf2Um3Oegrw3IdEZULq11f8gQkhrCBMVHalUr9EC5 6GxQCdnQckGCX6MNzfZbZ+Oo3hx4MJjKhZNfJeDOa9QA6cMnMuyHqr8sQ4NZEjTs80m0aww8WkIf dU0HjWTBVJtqAyiyh50hrVv6iwPJFuNClLZJ3LkLHGLt61YhhkwuobiKZzrXzDNpOw8UYZE3hNdK bRvOuyA2MGCASr5L8DlEUuDdQitiS8YKFM+iFjV9WwdjCfy29TLqZCr7BDOK5Ug+z7HzP0Y7hnjF Je47gY39NyhifFfEzxlNNYAxibJcys5K9MNkxMGs9ebJR9oO/6W32Jz4PaH/QGGh09briNKxOo+V Bvv3iDtRkO/AW0J7qZkvPc6Q2dCOr7BjH5MB216DMZj96ixgmVxM6BcBwRB4/39P0B8bPjpke/45 rI9qMeg0b4nqthQgD0x2cNcoYcDsRq0U1OhNF3LhljtI9pKzWB9MHiNZZFj1iHNw6xdtFlcKfLJ0 hGdhGjZvG3/NBp8RVQ+LbP2LteKkUDFKzrIubtHq+kKeiUCoi4uXdnKIUoaBtaZ41MLStBksD67w hjukpMNQ0noZccAupZHlrpjD2hoFdAUI3LC7lglBkqCoYX4BEoU4T8zDVNXliHvftVNQeQw/u0uY VnTRPdeOOashKd3MHE66cEvRAQGFiD/yrp6n+VmZgOaHdX1xVKnB6bVpdXhA+hmvFZj5EkeQBn1D EmPepfpFhF+4S+DulDn9zwaq0J7bM0J0UrJFlhT4UYnM9JHI9iI+FhnjnApJ4taRnN5zO/ijWxmh HP7x3IZ6c+ecvZc4utXInLRR/CTSqRvamBraHXugngnPifLciAjkYBsMWBTDXlZ8UdoR0yyhXqIH M7ZW5DRhD4R8AuCR4My05pi63R0AePLK8pelOlbwiyAnB7v+ORK2RuKuWNYaimrCDqveqsCE6xuL UqP6daH7pK+cqIEAKY3r3Sj4EGc1o+lOV88ylyZZUMPYWXi28VqOSzAd9a/W2caf5kl/ia9vhFt3 t56CjBhM4Z9mlGt3qcO9P5v4xJfmmljHamccmDv0To2tgXTm5Z2A9tD1OmNRptNwaBUirTeffsXB ZY9DEb7mnTFEVUTVBLXcSzvF15kG+fbLl/UlgCecizZMtGcmkFa58SXSkBagxzFqLwjl6mptXxXk QT0ipWTAUQsKR+mBZ1AmBLKd0IU4H+CGY+dG8nzrP28bfqTbF0NhMogAv6KfmQJsjKPDaZabEnPh o6CBy+/mC1EDyah8J5FTi0TEEA5fM5LGZBZmh3EYNVDJL32OaNRZXMG7DQE58oMdOXxKE6MYV6YT cUrJm4WF8bF0BFdqRrnk34xvP94hk8ES6Sym/11BhmLt4mLJ/uY6TkezcVP4tsqZtgvRYiAPIWIC ndG2w7S3wT2/k+bxjeKWJMNkMRgxq+61+irHIBiANdq2renXnIAh2kb4pUI6UGaKZUY50jbLouqV 1Qe/Ym52mwjQFqJ7l4LHEbzsdxedTGc8y3yhpkXWNuYhP2uG6eBULAJSeJcHrJFGNdIoJgkRifGQ a59WGtAaSsQ1XOMH+79OgxUApDgn5qZ+TKZxqcW0M9oKG3tbqW6+5fOsEDnqpk390uJpSaAm4M6K WHlYkvKZ3rXm94xhHW9tyWMxmCYQvv3Vsckt1RD0//lPS+pwM2WdK0IElDz6YGr67KUZwrgNBqJs TVYD2+WL4ilmb3I81MZe4E5qcs8ldImav282L+SbwKjOdRmX1Kt9h8J2uZ6AjNGqFnZ7xD/TkKTQ MbpL4zywzSXaIkpKtPwr0hnHeHAVbiUsX5rbzYdgbCJ1A0lJSulV4WD2AY5b1l66Tffvkrf36pgL xIbDG69NEOMZuCbc1fefg/uD27mDDIYvfV+BoWw51qKWi3+fMy2AlwXm+BF2M9cMrPu5PYPigUKz EhH7NHPlCPmCIhYWFVBOisrgKhdjZT0wq5b816sbkrvbfBoWg7wcPxAm2U+oDb6MIcfafOD0eVXE OVhPpYeHWW4RXm1lRGyM50dcTe31GzLURuDrFr3SdOAhukqA0xm0AJdJnOCssG1bbdBtvpAxsYbw Y5wZEv1yy2mYubMV7hN7HL0xqQlJTS7sxqSXPT3Xtj5fu7hp76JVqfrKWTaVI/oDjMO9wb37cfFu GywlRcukgFoSCC7HMUqfq+nlrYHtGLxhCzEzXskN6lECXwXvRRF4ZyerJzKNs8OaOohAV8Y0j+Vq +UZ7QcAo8eVJiUovQA7Nh+H0iY9/rJsKrVzrtkw6ZZMC3gQUX2+23zUins0ReeQ78DbtSGagqVpy 1zzdcL6Atq0Jy+Z2vdmr8TfMKGxmkUQ25Qhz+DS+xrfTk7DpryKx5eBX++Ya2GIdQUYERIEaoxLL 6IPtaZcZC/AEHpe7+2SWnFt+eNGtads3GeZ1VqIK3TbXNjhBiP2sAeQJg5hMU20TGrp22BUCelkp xgtm+fx/9J76KeC8xsIh1XqLaDyclyJrfnzspQdTwNFHJrXN357T5ftWeNcgfsTXbYVF0XUcuTX3 k8iSUhhRBg2cXtEU0z6eS7iFwXGKTyDPK/Rvc8w3tu7twnnkqvOrmKKYaCHk+jTjkMdbmUxt9l81 WXaPlWaYvwfh+K+7EHOyHXGVNf53D155loj/w/Q9wTC48XqeccisXhsop30mLtOKYOg1tZlWDa0t SUT3qFt1rgh4Zb8AxLOplGnObLRrbk/LWZsOjc6UKa5Fw1Tc9uWisu5eA9FIVrl7/GzAyTxrVcWW VaY2x8759TEkz4lWLuHbAmUxITM2fecwRfGqzRlJhg60Vjs86KuZJuReQ3Kra0g6uWVi3RayhQMx 3gvUjN0q9S3HEsxtcSryCPU6NdXuK3fNM8j2TdvsaKxLAAarKS/QDwt9qbmQBSEfKikkoPe4oF3v waKhkkVj9FBXVkwVhdRxLk4vEQEeu7w/Q44wU1m2AzJUs+uAWbkmmO61fKEXVbLzemEeLBA2onM8 ipc0fW2zEdxiQAWVt4KC74jcUKalch/LL8HMqezCpH/j5GjDh5ZIH0MVb8eY2zlgADGQgkr51fKF E0s1BJWsDCJJqyeh/kbsC31KckB4PVH93zk8hwKCtVtePKSdqf74Ds6Ux0AG3YHCYn2PZkRTlmDw JFu9yLIAgm9TMeproxQF86NvbJflaYJrjPOopPKuU/QzcWerJ0FMCsKX5E/IGpaLFHFgVbPVAmQa +SOpCI4MsjkhdlsOWP1bZo8z033Ned8MhL76CAGxjvB/BEJnFbG8vpEOXdP+g1sRlSbH3C/u/HH+ bdghcEKbOVQ16MY3JEQlcg== `protect end_protected
-- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 303 add undocumented DDCB and FDCB opcodes by TobiFlex 20.04.2010 -- Ver 300 started tidyup -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- Z80 compatible microprocessor core -- -- Version : 0242 -- -- Copyright (c) 2001-2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- -- File history : -- library IEEE; use IEEE.std_logic_1164.all; package T80_Pack is constant aNone : std_logic_vector(2 downto 0) := "111"; constant aBC : std_logic_vector(2 downto 0) := "000"; constant aDE : std_logic_vector(2 downto 0) := "001"; constant aXY : std_logic_vector(2 downto 0) := "010"; constant aIOA : std_logic_vector(2 downto 0) := "100"; constant aSP : std_logic_vector(2 downto 0) := "101"; constant aZI : std_logic_vector(2 downto 0) := "110"; component T80 generic( Mode : integer := 0; -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB IOWait : integer := 0; -- 1 => Single cycle I/O, 1 => Std I/O cycle Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( RESET_n : in std_logic; CLK_n : in std_logic; CEN : in std_logic; WAIT_n : in std_logic; INT_n : in std_logic; NMI_n : in std_logic; BUSRQ_n : in std_logic; M1_n : out std_logic; IORQ : out std_logic; NoRead : out std_logic; Write : out std_logic; RFSH_n : out std_logic; HALT_n : out std_logic; BUSAK_n : out std_logic; A : out std_logic_vector(15 downto 0); DInst : in std_logic_vector(7 downto 0); DI : in std_logic_vector(7 downto 0); DO : out std_logic_vector(7 downto 0); MC : out std_logic_vector(2 downto 0); TS : out std_logic_vector(2 downto 0); IntCycle_n : out std_logic; NMICycle_n : out std_logic; IntE : out std_logic; Stop : out std_logic; REG : out std_logic_vector(211 downto 0); -- IFF2, IFF1, IM, IY, HL', DE', BC', IX, HL, DE, BC, PC, SP, R, I, F', A', F, A DIRSet : in std_logic := '0'; DIR : in std_logic_vector(211 downto 0) := (others => '0') -- IFF2, IFF1, IM, IY, HL', DE', BC', IX, HL, DE, BC, PC, SP, R, I, F', A', F, A ); end component; component T80_Reg port( Clk : in std_logic; CEN : in std_logic; WEH : in std_logic; WEL : in std_logic; AddrA : in std_logic_vector(2 downto 0); AddrB : in std_logic_vector(2 downto 0); AddrC : in std_logic_vector(2 downto 0); DIH : in std_logic_vector(7 downto 0); DIL : in std_logic_vector(7 downto 0); DOAH : out std_logic_vector(7 downto 0); DOAL : out std_logic_vector(7 downto 0); DOBH : out std_logic_vector(7 downto 0); DOBL : out std_logic_vector(7 downto 0); DOCH : out std_logic_vector(7 downto 0); DOCL : out std_logic_vector(7 downto 0); DOR : out std_logic_vector(127 downto 0); DIRSet : in std_logic; DIR : in std_logic_vector(127 downto 0) ); end component; component T80_MCode generic( Mode : integer := 0; Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( IR : in std_logic_vector(7 downto 0); ISet : in std_logic_vector(1 downto 0); MCycle : in std_logic_vector(2 downto 0); F : in std_logic_vector(7 downto 0); NMICycle : in std_logic; IntCycle : in std_logic; XY_State : in std_logic_vector(1 downto 0); MCycles : out std_logic_vector(2 downto 0); TStates : out std_logic_vector(2 downto 0); Prefix : out std_logic_vector(1 downto 0); -- None,BC,ED,DD/FD Inc_PC : out std_logic; Inc_WZ : out std_logic; IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc Read_To_Reg : out std_logic; Read_To_Acc : out std_logic; Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0 ALU_Op : out std_logic_vector(3 downto 0); -- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None Save_ALU : out std_logic; PreserveC : out std_logic; Arith16 : out std_logic; Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI IORQ : out std_logic; Jump : out std_logic; JumpE : out std_logic; JumpXY : out std_logic; Call : out std_logic; RstP : out std_logic; LDZ : out std_logic; LDW : out std_logic; LDSPHL : out std_logic; LDHLSP : out std_logic; ADDSPdd : out std_logic; Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None ExchangeDH : out std_logic; ExchangeRp : out std_logic; ExchangeAF : out std_logic; ExchangeRS : out std_logic; I_DJNZ : out std_logic; I_CPL : out std_logic; I_CCF : out std_logic; I_SCF : out std_logic; I_RETN : out std_logic; I_BT : out std_logic; I_BC : out std_logic; I_BTR : out std_logic; I_RLD : out std_logic; I_RRD : out std_logic; I_INRC : out std_logic; SetDI : out std_logic; SetEI : out std_logic; IMode : out std_logic_vector(1 downto 0); Halt : out std_logic; NoRead : out std_logic; Write : out std_logic; XYbit_undoc : out std_logic ); end component; component T80_ALU generic( Mode : integer := 0; Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( Arith16 : in std_logic; Z16 : in std_logic; ALU_Op : in std_logic_vector(3 downto 0); IR : in std_logic_vector(5 downto 0); ISet : in std_logic_vector(1 downto 0); BusA : in std_logic_vector(7 downto 0); BusB : in std_logic_vector(7 downto 0); F_In : in std_logic_vector(7 downto 0); Q : out std_logic_vector(7 downto 0); F_Out : out std_logic_vector(7 downto 0) ); end component; end;
-- ********************************************************************************** -- Project : MiniBlaze -- Author : Benjamin Lemoine -- Module : peripheral_7_segements -- Date : 07/25/2016 -- -- Description : -- -- -------------------------------------------------------------------------------- -- Modifications -- -------------------------------------------------------------------------------- -- Date : Ver. : Author : Modification comments -- -------------------------------------------------------------------------------- -- : : : -- 07/25/2016 : 1.0 : B.Lemoine : First draft -- : : : -- ********************************************************************************** -- MIT License -- -- Copyright (c) 2016, Benjamin Lemoine -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in all -- copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE -- SOFTWARE. -- ********************************************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity peripheral_7_segments is port ( clk : in std_logic; rst_n : in std_logic; -- addr : in std_logic_vector(7 downto 0); data_wr : in std_logic_vector(7 downto 0); wr_en : in std_logic; data_rd : out std_logic_vector(7 downto 0);
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity faultify_top is generic ( numInj : integer := 56; numIn : integer := 10; numOut : integer := 10); port ( aclk : in std_logic; -- interface clock arst_n : in std_logic; -- interface reset clk : in std_logic; -- simulation clock (slow) clk_x32 : in std_logic; -- prng clock (fast) -- Write channel awvalid : in std_logic; awaddr : in std_logic_vector(31 downto 0); wvalid : in std_logic; wdata : in std_logic_vector(31 downto 0); -- Read channel arvalid : in std_logic; araddr : in std_logic_vector(31 downto 0); rvalid : out std_logic; rdata : out std_logic_vector(31 downto 0) ); attribute syn_hier : string; attribute syn_hier of faultify_top : entity is "hard"; end faultify_top; architecture behav of faultify_top is component flag_cdc port ( clkA : in std_logic; clkB : in std_logic; FlagIn_clkA : in std_logic; FlagOut_clkB : out std_logic; rst_n : in std_logic); end component; component faultify_simulator generic ( numInj : integer; numIn : integer; numOut : integer); port ( clk : in std_logic; clk_m : in std_logic; circ_ce : in std_logic; circ_rst : in std_logic; test : out std_logic_vector(31 downto 0); testvector : in std_logic_vector(numIn-1 downto 0); resultvector_o : out std_logic_vector(numOut-1 downto 0); resultvector_f : out std_logic_vector(numOut-1 downto 0); seed_in_en : in std_logic; seed_in : in std_logic; prob_in_en : in std_logic; prob_in : in std_logic; shift_en : in std_logic; rst_n : in std_logic); end component; component lfsr generic ( width : integer; seed : integer); port ( clk : in std_logic; rand_out : out std_logic_vector(width-1 downto 0)); end component; type vector is array (0 to numOut-1) of std_logic_vector(31 downto 0); signal errorSum : vector; signal errorSumReg : vector; signal errorSumReg_cdc_0 : vector; signal errorSumReg_cdc_1 : vector; signal errorVec : std_logic_vector(numOut-1 downto 0); signal cnt : integer; signal cnt_cdc_0 : integer; signal cnt_cdc_1 : integer; -- Asymmetric ram larger than 36 bit not supported in synplify I-2013 --type seed_ram_matr is array (0 to numInj-1) of std_logic_vector(63 downto 0); --signal seed_ram : seed_ram_matr; -- workaround 2 32-bit rams type seed_ram_matr is array (0 to numInj-1) of std_logic_vector(31 downto 0); signal seed_ram_low : seed_ram_matr; signal seed_ram_high : seed_ram_matr; --subtype seed_ram_matr_word_t is std_logic_vector(63 downto 0); --type seed_ram_matr_memory_t is array (0 to numInj-1) of seed_ram_matr_word_t; --signal seed_ram : seed_ram_matr_memory_t; type prob_ram_matr is array (0 to numInj-1) of std_logic_vector(31 downto 0); signal prob_ram : prob_ram_matr; type reg_type is record control : std_logic_vector(31 downto 0); status : std_logic_vector(31 downto 0); pe_location : std_logic_vector(31 downto 0); pe_seed_low : std_logic_vector(31 downto 0); pe_seed_high : std_logic_vector(31 downto 0); pe_probability : std_logic_vector(31 downto 0); output : std_logic_vector(31 downto 0); ovalid : std_logic; simtime : std_logic_vector(31 downto 0); sel_soe : std_logic_vector(31 downto 0); adr_soe : std_logic_vector(31 downto 0); awaddr : std_logic_vector(31 downto 0); test : std_logic_vector(31 downto 0); circreset : std_logic_vector(31 downto 0); cnt_tmp : std_logic_vector(31 downto 0); sumoferrors : vector; end record; signal busy_loading : std_logic; signal busy_simulating : std_logic; signal busy_loading_reg : std_logic_vector(1 downto 0); signal busy_simulating_reg : std_logic_vector(1 downto 0); signal sim_done : std_logic; signal r : reg_type; type load_fsm_states is (IDLE, LOADSEED, LOADPROB); signal l_state : load_fsm_states; type sim_states is (IDLE, DELAY_Z, DELAY, SIMULATION, DELAY2, DELAY3, DELAY4, FREE_SIMULATION); signal s_state : sim_states; signal testvector : std_logic_vector(numIn-1 downto 0); signal resultvector_o : std_logic_vector(numOut-1 downto 0); signal resultvector_f : std_logic_vector(numOut-1 downto 0); signal seed_in_en : std_logic; signal seed_in : std_logic; signal prob_in_en : std_logic; signal prob_in : std_logic; signal shift_en : std_logic; signal shift_en_l : std_logic; signal shift_en_s : std_logic; signal load_seed_prob : std_logic; signal start_simulation : std_logic; signal start_free_simulation : std_logic; signal stop_simulation : std_logic; signal circ_ce, circ_rst, circ_rst_sim : std_logic; signal tvec : std_logic_vector(127 downto 0); signal test : std_logic_vector(31 downto 0); signal rst_cdc, rst_cdc_n : std_logic; begin -- behav ----------------------------------------------------------------------------- -- PRNG shifting ----------------------------------------------------------------------------- shift_en <= shift_en_l or shift_en_s; ----------------------------------------------------------------------------- -- Testvector ----------------------------------------------------------------------------- --testvector <= (others => '0'); lfsr_1 : lfsr generic map ( width => 128, seed => 3498327) port map ( clk => clk, rand_out => tvec); testvector <= tvec(numIn-1 downto 0); ----------------------------------------------------------------------------- -- Simulator ----------------------------------------------------------------------------- circ_rst <= circ_rst_sim when r.circreset(0) = '1' else '0'; faultify_simulator_1 : faultify_simulator generic map ( numInj => numInj, numIn => numIn, numOut => numOut) port map ( clk => clk_x32, clk_m => clk, circ_ce => circ_ce, circ_rst => circ_rst, test => test, testvector => testvector, resultvector_o => resultvector_o, resultvector_f => resultvector_f, seed_in_en => seed_in_en, seed_in => seed_in, prob_in_en => prob_in_en, prob_in => prob_in, shift_en => shift_en, rst_n => arst_n); ------------------------------------------------------------------------------- -- One Process Flow ------------------------------------------------------------------------------- register_process : process (aclk, arst_n) variable write_addr : std_logic_vector(31 downto 0); begin -- process register_process if arst_n = '0' then -- asynchronous reset (active low) r.control <= (others => '0'); r.status <= (others => '0'); r.pe_probability <= (others => '0'); r.pe_seed_high <= (others => '0'); r.pe_seed_low <= (others => '0'); r.pe_location <= (others => '0'); r.ovalid <= '0'; r.simtime <= (others => '0'); r.sel_soe <= (others => '0'); r.adr_soe <= (others => '0'); r.sumoferrors <= (others => (others => '0')); r.output <= (others => '0'); elsif aclk'event and aclk = '1' then -- rising clock edge r.control <= (others => '0'); if awvalid = '1' then r.awaddr <= awaddr; write_addr := awaddr; end if; if wvalid = '1' then if write_addr = x"00000000" then r.control <= wdata; elsif write_addr = x"00000001" then r.pe_location <= wdata; elsif write_addr = x"00000002" then r.pe_seed_low <= wdata; elsif write_addr = x"00000003" then r.pe_seed_high <= wdata; elsif write_addr = x"00000004" then r.pe_probability <= wdata; elsif write_addr = x"00000005" then r.cnt_tmp <= std_logic_vector(to_unsigned(cnt_cdc_1, 32)); r.adr_soe <= wdata; elsif write_addr = x"00000007" then r.simtime <= wdata; elsif write_addr = x"00000009" then r.circreset <= wdata; end if; end if; if arvalid = '1' then if araddr = x"0000000F" then r.output <= r.status; elsif araddr = x"00000001" then r.output <= r.pe_location; elsif araddr = x"00000002" then r.output <= r.pe_seed_low; elsif araddr = x"00000003" then r.output <= r.pe_seed_high; elsif araddr = x"00000004" then r.output <= r.pe_probability; elsif araddr = x"00000006" then r.output <= r.sel_soe; elsif araddr = x"00000008" then r.output <= r.test; elsif araddr = x"0000000A" then r.output <= r.cnt_tmp; end if; r.ovalid <= '1'; else r.ovalid <= '0'; end if; if busy_loading_reg(1) = '1' then r.status(0) <= '1'; else r.status(0) <= '0'; end if; if busy_simulating_reg(1) = '1' then r.status(1) <= '1'; else r.status(1) <= '0'; end if; r.sel_soe <= r.sumoferrors(to_integer(unsigned(r.adr_soe))); rdata <= r.output; rvalid <= r.ovalid; r.sumoferrors <= errorSumReg_cdc_1; r.test <= errorSum(0); end if; end process register_process; ----------------------------------------------------------------------------- -- simple clock domain crossing ----------------------------------------------------------------------------- process (aclk, arst_n) begin -- process if arst_n = '0' then -- asynchronous reset (active low) busy_simulating_reg <= (others => '0'); busy_loading_reg <= (others => '0'); elsif aclk'event and aclk = '1' then -- rising clock edge busy_simulating_reg(0) <= busy_simulating; busy_loading_reg(0) <= busy_loading; busy_simulating_reg(1) <= busy_simulating_reg(0); busy_loading_reg(1) <= busy_loading_reg(0); cnt_cdc_0 <= cnt; cnt_cdc_1 <= cnt_cdc_0; errorSumReg_cdc_0 <= errorSumReg; errorSumReg_cdc_1 <= errorSumReg_cdc_0; end if; end process; ------------------------------------------------------------------------------- -- Store seeed/prob ------------------------------------------------------------------------------- store_seed : process (aclk, arst_n) begin -- process store_seed if arst_n = '0' then -- asynchronous reset (active low) elsif aclk'event and aclk = '1' then -- rising clock edge if r.control(0) = '1' then -- Synplify bug workaround --seed_ram(to_integer(unsigned(r.pe_location))) <= r.pe_seed_high & r.pe_seed_low; seed_ram_low(to_integer(unsigned(r.pe_location))) <= r.pe_seed_low; seed_ram_high(to_integer(unsigned(r.pe_location))) <= r.pe_seed_high; prob_ram(to_integer(unsigned(r.pe_location))) <= r.pe_probability; end if; end if; end process store_seed; ----------------------------------------------------------------------------- -- Seed/prob loading FSM ----------------------------------------------------------------------------- --flag_cdc_1 : flag_cdc -- port map ( -- clkA => aclk, -- clkB => clk_x32, -- FlagIn_clkA => r.control(1), -- FlagOut_clkB => load_seed_prob, -- rst_n => arst_n); load_seed_prob <= r.control(1); seed_prob_loading : process (clk_x32, arst_n) variable cnt_seed : integer range 0 to 64; variable cnt_inj : integer range 0 to numInj; variable cnt_prob : integer range 0 to 32; begin -- process seed_prob_loading if arst_n = '0' then -- asynchronous reset (active low) l_state <= IDLE; seed_in <= '0'; seed_in_en <= '0'; prob_in <= '0'; prob_in_en <= '0'; shift_en_l <= '0'; busy_loading <= '0'; elsif clk_x32'event and clk_x32 = '1' then -- rising clock edge case l_state is when IDLE => cnt_seed := 0; cnt_inj := 0; cnt_prob := 0; busy_loading <= '0'; seed_in_en <= '0'; prob_in_en <= '0'; shift_en_l <= '0'; if load_seed_prob = '1' then busy_loading <= '1'; l_state <= LOADSEED; end if; when LOADSEED => if cnt_seed < 64 then shift_en_l <= '1'; seed_in_en <= '1'; -- not working in synplify I-2013 --seed_in <= seed_ram(cnt_inj)(cnt_seed); -- if cnt_seed < 32 then seed_in <= seed_ram_low(cnt_inj)(cnt_seed); else seed_in <= seed_ram_high(cnt_inj)(cnt_seed-32); end if; cnt_seed := cnt_seed + 1; end if; if cnt_seed = 64 then cnt_seed := 0; cnt_inj := cnt_inj + 1; end if; if cnt_inj = numInj then l_state <= LOADPROB; --seed_in_en <= '0'; cnt_inj := 0; end if; when LOADPROB => seed_in_en <= '0'; if cnt_prob < 32 then prob_in_en <= '1'; prob_in <= prob_ram(cnt_inj)(cnt_prob); cnt_prob := cnt_prob + 1; end if; if cnt_prob = 32 then cnt_prob := 0; cnt_inj := cnt_inj + 1; end if; if cnt_inj = numInj then l_state <= IDLE; cnt_inj := 0; --prob_in_en <= '0'; end if; end case; end if; end process seed_prob_loading; ----------------------------------------------------------------------------- -- Simulation FSM ----------------------------------------------------------------------------- flag_cdc_2 : flag_cdc port map ( clkA => aclk, clkB => clk, FlagIn_clkA => r.control(2), FlagOut_clkB => start_simulation, rst_n => arst_n); flag_cdc_3 : flag_cdc port map ( clkA => aclk, clkB => clk, FlagIn_clkA => r.control(3), FlagOut_clkB => start_free_simulation, rst_n => arst_n); flag_cdc_4 : flag_cdc port map ( clkA => aclk, clkB => clk, FlagIn_clkA => r.control(4), FlagOut_clkB => stop_simulation, rst_n => arst_n); rst_cdc_5 : flag_cdc port map ( clkA => aclk, clkB => clk, FlagIn_clkA => not arst_n, FlagOut_clkB => rst_cdc, rst_n => '1'); rst_cdc_n <= not rst_cdc; process (clk, rst_cdc_n) variable simtime : integer; variable cnt_delay : integer range 0 to 9; begin -- process if clk'event and clk = '1' then -- rising clock edge if rst_cdc_n = '0' then -- asynchronous reset (active low) s_state <= IDLE; errorVec <= (others => '0'); errorSum <= (others => (others => '0')); circ_ce <= '0'; circ_rst_sim <= '1'; shift_en_s <= '0'; busy_simulating <= '0'; sim_done <= '0'; errorSumReg <= (others => (others => '0')); else case s_state is when IDLE => sim_done <= '0'; circ_ce <= '0'; circ_rst_sim <= '1'; shift_en_s <= '0'; errorVec <= (others => '0'); --errorSum <= errorSum; errorSum <= (others => (others => '0')); --cnt <= 0; busy_simulating <= '0'; cnt_delay := 0; if start_simulation = '1' then cnt <= 0; busy_simulating <= '1'; errorSum <= (others => (others => '0')); errorSumReg <= (others => (others => '0')); simtime := to_integer(unsigned(r.simtime)); s_state <= DELAY_Z; circ_ce <= '1'; circ_rst_sim <= '0'; shift_en_s <= '1'; end if; if start_free_simulation = '1' then cnt <= 0; busy_simulating <= '1'; errorSum <= (others => (others => '0')); errorSumReg <= (others => (others => '0')); s_state <= FREE_SIMULATION; circ_ce <= '1'; circ_rst_sim <= '0'; shift_en_s <= '1'; end if; when DELAY_z => cnt_delay := cnt_delay + 1; if cnt_delay = 9 then s_state <= DELAY; end if; when DELAY => s_state <= SIMULATION; errorVec <= (others => '0'); errorSum <= (others => (others => '0')); when SIMULATION => circ_rst_sim <= '0'; shift_en_s <= '1'; -- collect errors if (resultvector_o(0) = '1') then errorVec <= resultvector_o xor resultvector_f; else errorVec <= (others => '0'); end if; for i in 0 to (numOut-1) loop if (errorVec(i) = '1') then errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1); end if; end loop; -- errorSumReg <= errorSum; if cnt = simtime-1 then s_state <= DELAY2; circ_ce <= '0'; circ_rst_sim <= '1'; shift_en_s <= '0'; end if; cnt <= cnt +1; when DELAY2 => errorVec <= resultvector_o xor resultvector_f; for i in 0 to (numOut-1) loop if (errorVec(i) = '1') then errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1); end if; end loop; s_state <= DELAY3; when DELAY3 => s_state <= DELAY4; errorSumReg <= errorSum; errorSum <= (others => (others => '0')); when DELAY4 => s_state <= IDLE; sim_done <= '1'; when FREE_SIMULATION => circ_rst_sim <= '0'; shift_en_s <= '1'; -- collect error if (resultvector_o(0) = '1') then errorVec <= resultvector_o xor resultvector_f; else errorVec <= (others => '0'); end if; for i in 0 to (numOut-1) loop if (errorVec(i) = '1') then errorSum(i) <= std_logic_vector(unsigned(errorSum(i)) + 1); end if; end loop; -- errorSumReg <= errorSum; if stop_simulation = '1' then s_state <= IDLE; sim_done <= '1'; circ_ce <= '0'; circ_rst_sim <= '1'; shift_en_s <= '0'; end if; cnt <= cnt +1; when others => s_state <= IDLE; end case; end if; end if; end process; end behav;
-------------------------------------------------------------------------------- -- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: O.87xd -- \ \ Application: netgen -- / / Filename: fifo_generator_32_512.vhd -- /___/ /\ Timestamp: Thu Jul 25 19:19:26 2013 -- \ \ / \ -- \___\/\___\ -- -- Command : -w -sim -ofmt vhdl /home/ogamal/coregen/tmp/_cg/fifo_generator_32_512.ngc /home/ogamal/coregen/tmp/_cg/fifo_generator_32_512.vhd -- Device : 5vlx330ff1760-2 -- Input file : /home/ogamal/coregen/tmp/_cg/fifo_generator_32_512.ngc -- Output file : /home/ogamal/coregen/tmp/_cg/fifo_generator_32_512.vhd -- # of Entities : 1 -- Design Name : fifo_generator_32_512 -- Xilinx : /remote/Xilinx/13.4/ISE/ -- -- Purpose: -- This VHDL netlist is a verification model and uses simulation -- primitives which may not represent the true implementation of the -- device, however the netlist is functionally correct and should not -- be modified. This file cannot be synthesized and should only be used -- with supported simulation tools. -- -- Reference: -- Command Line Tools User Guide, Chapter 23 -- Synthesis and Simulation Design Guide, Chapter 6 -- -------------------------------------------------------------------------------- -- synthesis translate_off library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; use UNISIM.VPKG.ALL; entity fifo_generator_32_512 is port ( clk : in STD_LOGIC := 'X'; rd_en : in STD_LOGIC := 'X'; almost_full : out STD_LOGIC; rst : in STD_LOGIC := 'X'; empty : out STD_LOGIC; wr_en : in STD_LOGIC := 'X'; valid : out STD_LOGIC; full : out STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 31 downto 0 ); din : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); end fifo_generator_32_512; architecture STRUCTURE of fifo_generator_32_512 is signal N0 : STD_LOGIC; signal N1 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grhf_rhf_ram_valid_d1_2 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grhf_rhf_ram_valid_i : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp0 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp1 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_24 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or0000 : STD_LOGIC; signal NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_1_rt_29 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_2_rt_31 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_3_rt_33 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_4_rt_35 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_5_rt_37 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_6_rt_39 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_7_rt_41 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_xor_8_rt_43 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp0 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp1 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp2 : STD_LOGIC; signal NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i_or0000 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_104 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i_105 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_1_rt_108 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_2_rt_110 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_3_rt_112 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_4_rt_114 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_5_rt_116 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_6_rt_118 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_7_rt_120 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_xor_8_rt_122 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_tmp_ram_rd_en : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_RST_FULL_GEN_162 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_163 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_164 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_165 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_0_Q : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d1_169 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d2_170 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d3_171 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_172 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_d1_173 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_d2_174 : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_comb : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM18_TDP_DOP_3_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM18_TDP_DOP_2_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM18_TDP_DOP_1_UNCONNECTED : STD_LOGIC; signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM18_TDP_DOP_0_UNCONNECTED : STD_LOGIC; signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1 : STD_LOGIC_VECTOR ( 4 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1 : STD_LOGIC_VECTOR ( 4 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy : STD_LOGIC_VECTOR ( 7 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_lut : STD_LOGIC_VECTOR ( 0 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Result : STD_LOGIC_VECTOR ( 8 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count : STD_LOGIC_VECTOR ( 8 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1 : STD_LOGIC_VECTOR ( 8 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1 : STD_LOGIC_VECTOR ( 4 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1 : STD_LOGIC_VECTOR ( 4 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_v1 : STD_LOGIC_VECTOR ( 4 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy : STD_LOGIC_VECTOR ( 7 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_lut : STD_LOGIC_VECTOR ( 0 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Result : STD_LOGIC_VECTOR ( 8 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count : STD_LOGIC_VECTOR ( 8 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1 : STD_LOGIC_VECTOR ( 8 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2 : STD_LOGIC_VECTOR ( 8 downto 0 ); signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg : STD_LOGIC_VECTOR ( 1 downto 1 ); begin almost_full <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i; empty <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i; valid <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grhf_rhf_ram_valid_d1_2; full <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i_105; XST_GND : GND port map ( G => N0 ); XST_VCC : VCC port map ( P => N1 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grhf_rhf_ram_valid_d1 : FDC generic map( INIT => '0' ) port map ( C => clk, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grhf_rhf_ram_valid_i, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grhf_rhf_ram_valid_d1_2 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i : FDP generic map( INIT => '1' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or0000, PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i : FDP generic map( INIT => '1' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or0000, PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_24 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_RST_FULL_GEN : FDC generic map( INIT => '0' ) port map ( C => clk, CLR => rst, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d3_171, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_RST_FULL_GEN_162 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d3 : FDP generic map( INIT => '1' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d2_170, PRE => rst, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d3_171 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2 : FD generic map( INIT => '0' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_164, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_165 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_d2 : FD generic map( INIT => '0' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_d1_173, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_d2_174 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d2 : FDP generic map( INIT => '1' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d1_169, PRE => rst, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d2_170 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1 : FD generic map( INIT => '0' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_163, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_164 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg : FDPE port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_d1_173, D => N0, PRE => rst, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_172 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_d1 : FD generic map( INIT => '0' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_172, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_d1_173 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg : FDPE port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_164, D => N0, PRE => rst, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_163 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d1 : FDP generic map( INIT => '1' ) port map ( C => clk, D => N0, PRE => rst, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d1_169 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2 : FDP generic map( INIT => '1' ) port map ( C => clk, D => N0, PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_0 : FDP generic map( INIT => '1' ) port map ( C => clk, D => N0, PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_0_Q ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg_1 : FDP generic map( INIT => '1' ) port map ( C => clk, D => N0, PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_comb, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_xor_8_Q : XORCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(7), LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_xor_8_rt_43, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Result(8) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_xor_7_Q : XORCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(6), LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_7_rt_41, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Result(7) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_7_Q : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(6), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_7_rt_41, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(7) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_xor_6_Q : XORCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(5), LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_6_rt_39, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Result(6) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_6_Q : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(5), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_6_rt_39, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(6) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_xor_5_Q : XORCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(4), LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_5_rt_37, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Result(5) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_5_Q : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(4), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_5_rt_37, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(5) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_xor_4_Q : XORCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(3), LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_4_rt_35, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Result(4) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_4_Q : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(3), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_4_rt_35, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(4) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_xor_3_Q : XORCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(2), LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_3_rt_33, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Result(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_3_Q : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(2), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_3_rt_33, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_xor_2_Q : XORCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(1), LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_2_rt_31, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Result(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_2_Q : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(1), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_2_rt_31, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_xor_1_Q : XORCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(0), LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_1_rt_29, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Result(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_1_Q : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(0), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_1_rt_29, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_xor_0_Q : XORCY port map ( CI => N0, LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_lut(0), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Result(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_0_Q : MUXCY port map ( CI => N0, DI => N1, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_lut(0), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_8 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Result(8), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(8) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_7 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Result(7), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(7) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_5 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Result(5), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(5) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_4 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Result(4), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(4) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_6 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Result(6), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(6) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_3 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Result(3), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_2 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Result(2), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_0 : FDPE generic map( INIT => '1' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Result(0), PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_1 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Result(1), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1_8 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(8), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(8) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1_7 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(7), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(7) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1_6 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(6), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(6) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1_5 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(5), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(5) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1_4 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(4), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(4) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1_3 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(3), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1_2 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(2), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1_1 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(1), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1_0 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_2_Q, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(0), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_4_gms_ms : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_carrynet(3), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(4), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp0 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_3_gms_ms : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_carrynet(2), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(3), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_carrynet(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_2_gms_ms : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_carrynet(1), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(2), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_carrynet(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_1_gms_ms : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_carrynet(0), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(1), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_carrynet(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_0_gm1_m1 : MUXCY port map ( CI => N1, DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(0), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_carrynet(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_4_gms_ms : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_carrynet(3), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(4), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp1 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_3_gms_ms : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_carrynet(2), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(3), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_carrynet(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_2_gms_ms : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_carrynet(1), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(2), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_carrynet(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_1_gms_ms : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_carrynet(0), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(1), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_carrynet(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_0_gm1_m1 : MUXCY port map ( CI => N1, DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(0), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_carrynet(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1_2 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(2), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1_0 : FDPE generic map( INIT => '1' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(0), PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1_1 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(1), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1_3 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(3), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1_4 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(4), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(4) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1_5 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(5), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(5) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1_6 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(6), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(6) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1_7 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(7), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(7) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1_8 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(8), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(8) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_xor_8_Q : XORCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(7), LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_xor_8_rt_122, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Result(8) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_xor_7_Q : XORCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(6), LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_7_rt_120, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Result(7) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_7_Q : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(6), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_7_rt_120, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(7) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_xor_6_Q : XORCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(5), LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_6_rt_118, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Result(6) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_6_Q : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(5), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_6_rt_118, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(6) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_xor_5_Q : XORCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(4), LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_5_rt_116, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Result(5) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_5_Q : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(4), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_5_rt_116, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(5) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_xor_4_Q : XORCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(3), LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_4_rt_114, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Result(4) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_4_Q : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(3), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_4_rt_114, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(4) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_xor_3_Q : XORCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(2), LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_3_rt_112, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Result(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_3_Q : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(2), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_3_rt_112, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_xor_2_Q : XORCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(1), LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_2_rt_110, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Result(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_2_Q : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(1), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_2_rt_110, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_xor_1_Q : XORCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(0), LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_1_rt_108, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Result(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_1_Q : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(0), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_1_rt_108, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_xor_0_Q : XORCY port map ( CI => N0, LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_lut(0), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Result(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_0_Q : MUXCY port map ( CI => N0, DI => N1, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_lut(0), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_8 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Result(8), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(8) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_7 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Result(7), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(7) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_5 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Result(5), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(5) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_4 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Result(4), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(4) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_6 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Result(6), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(6) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_2 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Result(2), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_1 : FDPE generic map( INIT => '1' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Result(1), PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_3 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Result(3), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_0 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Result(0), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2_8 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(8), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(8) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2_7 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(7), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(7) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2_6 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(6), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(6) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2_5 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(5), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(5) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2_4 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(4), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(4) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2_3 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(3), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2_2 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(2), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2_1 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(1), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2_0 : FDCE generic map( INIT => '0' ) port map ( C => clk, CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_reg(1), D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(0), Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_0_gm1_m1 : MUXCY port map ( CI => N1, DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(0), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_carrynet(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_1_gms_ms : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_carrynet(0), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(1), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_carrynet(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_2_gms_ms : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_carrynet(1), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(2), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_carrynet(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_3_gms_ms : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_carrynet(2), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(3), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_carrynet(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_4_gms_ms : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_carrynet(3), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(4), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp0 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_0_gm1_m1 : MUXCY port map ( CI => N1, DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(0), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_carrynet(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_1_gms_ms : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_carrynet(0), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(1), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_carrynet(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_2_gms_ms : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_carrynet(1), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(2), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_carrynet(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_3_gms_ms : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_carrynet(2), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(3), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_carrynet(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_4_gms_ms : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_carrynet(3), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(4), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp1 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_gmux_gm_0_gm1_m1 : MUXCY port map ( CI => N1, DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_v1(0), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_carrynet(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_gmux_gm_1_gms_ms : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_carrynet(0), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_v1(1), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_carrynet(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_gmux_gm_2_gms_ms : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_carrynet(1), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_v1(2), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_carrynet(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_gmux_gm_3_gms_ms : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_carrynet(2), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_v1(3), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_carrynet(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_gmux_gm_4_gms_ms : MUXCY port map ( CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_carrynet(3), DI => N0, S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_v1(4), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp2 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i : FDP generic map( INIT => '1' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb, PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d2_170, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_104 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i : FDP generic map( INIT => '1' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb, PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d2_170, Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i_105 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i : FDP generic map( INIT => '1' ) port map ( C => clk, D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i_or0000, PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rst_d2_170, Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_comb1 : LUT2 generic map( INIT => X"4" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_d2_174, I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_asreg_172, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_wr_rst_comb ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb1 : LUT2 generic map( INIT => X"4" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_165, I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_163, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grhf_rhf_ram_valid_i1 : LUT2 generic map( INIT => X"4" ) port map ( I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i, I1 => rd_en, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grhf_rhf_ram_valid_i ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_tmp_ram_rd_en1 : LUT3 generic map( INIT => X"F4" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_24, I1 => rd_en, I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_0_Q, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_tmp_ram_rd_en ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_ram_wr_en_i1 : LUT2 generic map( INIT => X"2" ) port map ( I0 => wr_en, I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_104, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_ram_rd_en_i1 : LUT2 generic map( INIT => X"2" ) port map ( I0 => rd_en, I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_24, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_v1_4_not00001 : LUT2 generic map( INIT => X"9" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(8), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(8), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_v1(4) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_4_not00001 : LUT2 generic map( INIT => X"9" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(8), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(8), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(4) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_4_not00001 : LUT2 generic map( INIT => X"9" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(8), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(8), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(4) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_4_not00001 : LUT2 generic map( INIT => X"9" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(8), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(8), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(4) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_4_not00001 : LUT2 generic map( INIT => X"9" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(8), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(8), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(4) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_v1_3_and00001 : LUT4 generic map( INIT => X"9009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(7), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(7), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(6), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(6), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_v1(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_3_and00001 : LUT4 generic map( INIT => X"9009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(7), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(7), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(6), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(6), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_3_and00001 : LUT4 generic map( INIT => X"9009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(7), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(7), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(6), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(6), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_3_and00001 : LUT4 generic map( INIT => X"9009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(7), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(7), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(6), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(6), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_3_and00001 : LUT4 generic map( INIT => X"9009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(7), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(7), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(6), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(6), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(3) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_v1_2_and00001 : LUT4 generic map( INIT => X"9009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(5), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(5), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(4), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(4), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_v1(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_2_and00001 : LUT4 generic map( INIT => X"9009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(5), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(5), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(4), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(4), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_2_and00001 : LUT4 generic map( INIT => X"9009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(5), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(5), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(4), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(4), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_2_and00001 : LUT4 generic map( INIT => X"9009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(5), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(5), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(4), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(4), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_2_and00001 : LUT4 generic map( INIT => X"9009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(5), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(5), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(4), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(4), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(2) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_v1_1_and00001 : LUT4 generic map( INIT => X"9009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(3), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(3), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(2), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(2), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_v1(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_1_and00001 : LUT4 generic map( INIT => X"9009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(3), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(3), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(2), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(2), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_1_and00001 : LUT4 generic map( INIT => X"9009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(3), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(3), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(2), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(2), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_1_and00001 : LUT4 generic map( INIT => X"9009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(3), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(3), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(2), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(2), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_1_and00001 : LUT4 generic map( INIT => X"9009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(3), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(3), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(2), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(2), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(1) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_v1_0_and00001 : LUT4 generic map( INIT => X"9009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(1), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(1), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(0), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(0), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_gaf_c2_v1(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_0_and00001 : LUT4 generic map( INIT => X"9009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(1), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(1), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(0), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d1(0), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_0_and00001 : LUT4 generic map( INIT => X"9009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(1), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(1), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(0), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(0), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_0_and00001 : LUT4 generic map( INIT => X"9009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(1), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(1), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(0), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(0), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_0_and00001 : LUT4 generic map( INIT => X"9009" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(1), I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(1), I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(0), I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(0), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or00001 : LUT6 generic map( INIT => X"F3A2F300FFA2FF00" ) port map ( I0 => rd_en, I1 => wr_en, I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_104, I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_24, I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp1, I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp0, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_or0000 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i_or00001 : LUT6 generic map( INIT => X"2F0222022F222222" ) port map ( I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i, I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_RST_FULL_GEN_162, I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp2, I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp1, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_afull_i_or0000 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_7_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(7), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_7_rt_41 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_6_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(6), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_6_rt_39 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_5_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(5), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_5_rt_37 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_4_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(4), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_4_rt_35 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_3_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(3), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_3_rt_33 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_2_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(2), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_2_rt_31 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_1_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(1), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_cy_1_rt_29 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_7_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(7), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_7_rt_120 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_6_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(6), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_6_rt_118 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_5_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(5), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_5_rt_116 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_4_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(4), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_4_rt_114 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_3_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(3), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_3_rt_112 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_2_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(2), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_2_rt_110 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_1_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(1), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_cy_1_rt_108 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_xor_8_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(8), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_xor_8_rt_43 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_xor_8_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(8), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_xor_8_rt_122 ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb1 : LUT6 generic map( INIT => X"0702020227222222" ) port map ( I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_104, I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_RST_FULL_GEN_162, I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en, I3 => wr_en, I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp1, I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp0, O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_lut_0_INV_0 : INV port map ( I => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count(0), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mcount_count_lut(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_lut_0_INV_0 : INV port map ( I => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count(0), O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mcount_count_lut(0) ); U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM18_TDP : RAMB18SDP generic map( DO_REG => 0, INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT => X"000000000", INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_FILE => "NONE", SIM_COLLISION_CHECK => "ALL", SIM_MODE => "SAFE", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", SRVAL => X"000000000" ) port map ( RDCLK => clk, WRCLK => clk, RDEN => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_tmp_ram_rd_en, WREN => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, REGCE => N0, SSR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_reg_0_Q, RDADDR(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(8), RDADDR(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(7), RDADDR(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(6), RDADDR(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(5), RDADDR(4) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(4), RDADDR(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(3), RDADDR(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(2), RDADDR(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(1), RDADDR(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_count_d1(0), WRADDR(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(8), WRADDR(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(7), WRADDR(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(6), WRADDR(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(5), WRADDR(4) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(4), WRADDR(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(3), WRADDR(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(2), WRADDR(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(1), WRADDR(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_count_d2(0), DI(31) => din(31), DI(30) => din(30), DI(29) => din(29), DI(28) => din(28), DI(27) => din(27), DI(26) => din(26), DI(25) => din(25), DI(24) => din(24), DI(23) => din(23), DI(22) => din(22), DI(21) => din(21), DI(20) => din(20), DI(19) => din(19), DI(18) => din(18), DI(17) => din(17), DI(16) => din(16), DI(15) => din(15), DI(14) => din(14), DI(13) => din(13), DI(12) => din(12), DI(11) => din(11), DI(10) => din(10), DI(9) => din(9), DI(8) => din(8), DI(7) => din(7), DI(6) => din(6), DI(5) => din(5), DI(4) => din(4), DI(3) => din(3), DI(2) => din(2), DI(1) => din(1), DI(0) => din(0), DIP(3) => N0, DIP(2) => N0, DIP(1) => N0, DIP(0) => N0, DO(31) => dout(31), DO(30) => dout(30), DO(29) => dout(29), DO(28) => dout(28), DO(27) => dout(27), DO(26) => dout(26), DO(25) => dout(25), DO(24) => dout(24), DO(23) => dout(23), DO(22) => dout(22), DO(21) => dout(21), DO(20) => dout(20), DO(19) => dout(19), DO(18) => dout(18), DO(17) => dout(17), DO(16) => dout(16), DO(15) => dout(15), DO(14) => dout(14), DO(13) => dout(13), DO(12) => dout(12), DO(11) => dout(11), DO(10) => dout(10), DO(9) => dout(9), DO(8) => dout(8), DO(7) => dout(7), DO(6) => dout(6), DO(5) => dout(5), DO(4) => dout(4), DO(3) => dout(3), DO(2) => dout(2), DO(1) => dout(1), DO(0) => dout(0), DOP(3) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM18_TDP_DOP_3_UNCONNECTED , DOP(2) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM18_TDP_DOP_2_UNCONNECTED , DOP(1) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM18_TDP_DOP_1_UNCONNECTED , DOP(0) => NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_v5_noinit_ram_SDP_WIDE_PRIM18_TDP_DOP_0_UNCONNECTED , WE(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, WE(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, WE(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en, WE(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en ); end STRUCTURE; -- synthesis translate_on
-- freq_controller.vhd -- -- Created on: 12 May 2017 -- Author: Fabian Meyer -- -- Component that allows to set blinking frequency from user input (buttons). -- Uses sync_buffer component to debounce buttons signals. Button signals -- are sampled with ~732Hz (24MHz / 2**15). library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity freq_controller is generic(RSTDEF: std_logic := '1'); port(rst: in std_logic; -- reset, RSTDEF active clk: in std_logic; -- clock, rising edge btn0: in std_logic; -- increment button, low active btn1: in std_logic; -- decrement button, low active freq: out std_logic_vector(2 downto 0)); -- frequency, 000 = stop, 111 = fast end entity freq_controller; architecture behavioral of freq_controller is -- debounce buffer component for buttons component sync_buffer is generic(RSTDEF: std_logic); port(rst: in std_logic; -- reset, RSTDEF active clk: in std_logic; -- clock, rising edge en: in std_logic; -- enable, high active din: in std_logic; -- data bit, input dout: out std_logic; -- data bit, output redge: out std_logic; -- rising edge on din detected fedge: out std_logic); -- falling edge on din detected end component; -- frequency divider by 2**CNTLEN constant CNTLEN: natural := 15; signal cnt: std_logic_vector(CNTLEN-1 downto 0) := (others => '0'); signal cnt_tmp: std_logic_vector(CNTLEN downto 0) := (others => '0'); signal cnt_en: std_logic := '0'; -- if set, increment frequency signal inc: std_logic := '0'; -- if set, decrement frequency signal dec: std_logic := '0'; -- signal for internal freq computation signal freq_tmp: std_logic_vector(2 downto 0) :=(others => '0'); begin -- carry bit defines enable for sync_buffers cnt_en <= cnt_tmp(CNTLEN); cnt <= cnt_tmp(CNTLEN-1 downto 0); -- connect freq out port with internal freq_tmp freq <= freq_tmp; process(rst, clk) begin if rst = RSTDEF then cnt_tmp <= (others => '0'); freq_tmp <= (others => '0'); elsif rising_edge(clk) then -- increment frequency divider cnt_tmp <= '0' & cnt + 1; if inc = '1' then -- increment frequency, overflow not handled -- just start at 0 again freq_tmp <= freq_tmp + 1; elsif dec = '1' then -- decrement frequency, overflow not handled -- just start at full freq again freq_tmp <= freq_tmp - 1; end if; end if; end process; -- map rising edge (release button) of btn0 to inc -- connect frequency divider carry to enable sbuf0: sync_buffer generic map(RSTDEF => RSTDEF) port map(rst => rst, clk => clk, en => cnt_en, din => btn0, dout => open, redge => inc, fedge => open); -- map rising edge (release button) of btn1 to dec -- connect frequency divider carry to enable sbuf1: sync_buffer generic map(RSTDEF => RSTDEF) port map(rst => rst, clk => clk, en => cnt_en, din => btn1, dout => open, redge => dec, fedge => open); end architecture behavioral;
package vunit4 is type rec; type int_ptr is access integer; impure function get_rec(n : natural) return rec; end package; package body vunit4 is type rec is record x : int_ptr; end record; type int_ptr_vec is array (natural range <>) of int_ptr; shared variable v : int_ptr_vec(1 to 5); impure function get_rec(n : natural) return rec is begin return rec'(x => v(n)); end function; end package body;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core - core top file for implementation -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: wr_fifo32to256_exdes.vhd -- -- Description: -- This is the FIFO core wrapper with BUFG instances for clock connections. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- entity wr_fifo32to256_exdes is PORT ( WR_CLK : IN std_logic; RD_CLK : IN std_logic; WR_DATA_COUNT : OUT std_logic_vector(13-1 DOWNTO 0); RD_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); RST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(32-1 DOWNTO 0); DOUT : OUT std_logic_vector(256-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end wr_fifo32to256_exdes; architecture xilinx of wr_fifo32to256_exdes is signal wr_clk_i : std_logic; signal rd_clk_i : std_logic; component wr_fifo32to256 is PORT ( WR_CLK : IN std_logic; RD_CLK : IN std_logic; WR_DATA_COUNT : OUT std_logic_vector(13-1 DOWNTO 0); RD_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); RST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(32-1 DOWNTO 0); DOUT : OUT std_logic_vector(256-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end component; begin wr_clk_buf: bufg PORT map( i => WR_CLK, o => wr_clk_i ); rd_clk_buf: bufg PORT map( i => RD_CLK, o => rd_clk_i ); exdes_inst : wr_fifo32to256 PORT MAP ( WR_CLK => wr_clk_i, RD_CLK => rd_clk_i, WR_DATA_COUNT => wr_data_count, RD_DATA_COUNT => rd_data_count, RST => rst, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); end xilinx;
library ieee; use ieee.std_logic_1164.all; entity asgn03 is port (s0 : std_logic; s1 : std_logic; r : out std_logic_vector (2 downto 0)); end asgn03; architecture behav of asgn03 is begin process (s0, s1) is begin r <= "000"; if s0 = '1' then r (1) <= '1'; if s1 = '1' then --r(1 downto 0) <= "01"; r(0) <= '1'; end if; end if; end process; end behav;
-- opa: Open Processor Architecture -- Copyright (C) 2014-2016 Wesley W. Terpstra -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- To apply the GPL to my VHDL, please follow these definitions: -- Program - The entire collection of VHDL in this project and any -- netlist or floorplan derived from it. -- System Library - Any macro that translates directly to hardware -- e.g. registers, IO pins, or memory blocks -- -- My intent is that if you include OPA into your project, all of the HDL -- and other design files that go into the same physical chip must also -- be released under the GPL. If this does not cover your usage, then you library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.opa_pkg.all; use work.opa_isa_base_pkg.all; use work.opa_riscv_pkg.all; use work.opa_lm32_pkg.all; package opa_isa_pkg is function f_opa_isa_info(isa : t_opa_isa) return t_opa_isa_info; function f_opa_isa_accept(isa : t_opa_isa; config : t_opa_config) return std_logic; function f_opa_isa_decode(isa : t_opa_isa; config : t_opa_config; x : std_logic_vector) return t_opa_op; end package; package body opa_isa_pkg is function f_opa_isa_info(isa : t_opa_isa) return t_opa_isa_info is begin case isa is when T_OPA_RV32 => return c_opa_rv32; when T_OPA_LM32 => return c_opa_lm32; end case; end f_opa_isa_info; function f_opa_isa_accept(isa : t_opa_isa; config : t_opa_config) return std_logic is begin case isa is when T_OPA_RV32 => return f_opa_accept_rv32(config); when T_OPA_LM32 => return f_opa_accept_lm32(config); end case; end f_opa_isa_accept; function f_opa_isa_decode(isa : t_opa_isa; config : t_opa_config; x : std_logic_vector) return t_opa_op is alias y : std_logic_vector(x'length-1 downto 0) is x; begin case isa is when T_OPA_RV32 => return f_opa_decode_rv32(config, y); when T_OPA_LM32 => return f_opa_decode_lm32(config, y); end case; end f_opa_isa_decode; end opa_isa_pkg;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library work; use work.BusMasters.all; entity ADT7310P32LS16L_tb is end ADT7310P32LS16L_tb; architecture behavior of ADT7310P32LS16L_tb is component ADT7310P32LS16L port ( Reset_n_i : in std_logic; Clk_i : in std_logic; Enable_i : in std_logic; CpuIntr_o : out std_logic; ADT7310CS_n_o : out std_logic; SPI_Data_i : in std_logic_vector(7 downto 0); SPI_Write_o : out std_logic; SPI_ReadNext_o : out std_logic; SPI_Data_o : out std_logic_vector(7 downto 0); SPI_FIFOFull_i : in std_logic; SPI_FIFOEmpty_i : in std_logic; SPI_Transmission_i : in std_logic; SPICounterPreset_i : in std_logic_vector(15 downto 0); Threshold_i : in std_logic_vector(15 downto 0); PeriodCounterPresetH_i : in std_logic_vector(15 downto 0); PeriodCounterPresetL_i : in std_logic_vector(15 downto 0); SensorValue_o : out std_logic_vector(15 downto 0); SPI_CPOL_o : out std_logic; SPI_CPHA_o : out std_logic; SPI_LSBFE_o : out std_logic ); end component; component adt7310_model port ( SCLK_i : in std_logic; DOUT_o : out std_logic; DIN_i : in std_logic; CS_n_i : in std_logic; CT_n_o : out std_logic; INT_n_o : out std_logic; Temp_i : in real); end component; component ExtNames port ( SPIFSM_Done : out std_logic ); end component; -- component generics constant DataWidth : integer := 8; -- Reset signal Reset_n_i : std_logic := '0'; -- Clock signal Clk_i : std_logic := '1'; signal Enable_i : std_logic; signal CpuIntr_o : std_logic; signal ADT7310CS_n_o : std_logic; signal SPI_Data_i : std_logic_vector(7 downto 0); signal SPI_Write_o : std_logic; signal SPI_ReadNext_o : std_logic; signal SPI_Data_o : std_logic_vector(7 downto 0); signal SPI_FIFOFull_i : std_logic; signal SPI_FIFOEmpty_i : std_logic; signal SPI_Transmission_i : std_logic; signal SPICounterPreset_i : std_logic_vector(15 downto 0); signal Threshold_i : std_logic_vector(15 downto 0); signal PeriodCounterPresetH_i : std_logic_vector(15 downto 0); signal PeriodCounterPresetL_i : std_logic_vector(15 downto 0); signal SensorValue_o : std_logic_vector(15 downto 0); signal SensorValue_real : real; signal SPI_CPOL_o : std_logic; signal SPI_CPHA_o : std_logic; signal SPI_LSBFE_o : std_logic; signal SPI_SPPR_SPR_o : std_logic_vector(7 downto 0); -- look into the ADT7310P32LS16L app -- alias SPIFSM_Done_i is << signal .adt7310_tb.DUT.SPIFSM_Done_s : std_logic >>; -- ModelSim complains here, that the references signal is not a VHDL object. -- True, this is a Verilog object. As a workaround the module ExtNames is created -- which uses Verilog hierarchical names to reference the wire and assigns it to -- an output. This module is instantiated (and it seems ModelSim only adds -- Verilog<->VHDL signal converters on instance boundaries) and this output is -- connected with the SPIFSM_Done_i signal. signal SPIFSM_Done_i : std_logic; -- directly from inside SPI_FSM -- Using the extracted Yosys FSM we get delta cycles and a glitch on -- SPIFSM_Done_i. Therefore we generate a slightly delayed version and wait -- on the ANDed value. signal SPIFSM_Done_d : std_logic; -- sightly delayed signal SPIFSM_Done_a : std_logic; -- SPIFSM_Done_i and SPIFSM_Done_d -- ADT7310 component ports signal SCLK_s : std_logic := '1'; signal DOUT_s : std_logic; signal DIN_s : std_logic := '0'; signal CT_n_s : std_logic; signal INT_n_s : std_logic; signal Temp_s : real := 23.7; -- SPI Master generics constant SPPRWidth : integer := 4; constant SPRWidth : integer := 4; constant SPIFIFOReadWidth : integer := 4; constant SPIFIFOWriteWidth : integer := 4; -- SPI Master component ports signal SPI_ScanEnable_s : std_logic := '0'; signal SPI_ScanClk_s : std_logic := '0'; signal SPI_ScanDataIn_s : std_logic := '0'; signal SPI_ScanDataOut_s : std_logic := '0'; -- The timer has to wait for 240ms. With a 16 bit resolution, the maximumn -- counting periode is 3.66us. Here we set the clock signal to 10us = 100kHz. -- The timer is preset to 24000. constant ClkPeriode : time := 10 us; begin DUT: ADT7310P32LS16L port map ( Reset_n_i => Reset_n_i, Clk_i => Clk_i, Enable_i => Enable_i, CpuIntr_o => CpuIntr_o, ADT7310CS_n_o => ADT7310CS_n_o, SPI_Data_i => SPI_Data_i, SPI_Write_o => SPI_Write_o, SPI_ReadNext_o => SPI_ReadNext_o, SPI_Data_o => SPI_Data_o, SPI_FIFOFull_i => SPI_FIFOFull_i, SPI_FIFOEmpty_i => SPI_FIFOEmpty_i, SPI_Transmission_i => SPI_Transmission_i, SPICounterPreset_i => SPICounterPreset_i, Threshold_i => Threshold_i, PeriodCounterPresetH_i => PeriodCounterPresetH_i, PeriodCounterPresetL_i => PeriodCounterPresetL_i, SensorValue_o => SensorValue_o, SPI_CPOL_o => SPI_CPOL_o, SPI_CPHA_o => SPI_CPHA_o, SPI_LSBFE_o => SPI_LSBFE_o ); SensorValue_real <= real(to_integer(unsigned(SensorValue_o)))/128.0; ExtNames_1: ExtNames port map ( SPIFSM_Done => SPIFSM_Done_i ); SPIFSM_Done_d <= SPIFSM_Done_i after 1.0 ns; SPIFSM_Done_a <= SPIFSM_Done_i and SPIFSM_Done_d; spi_master_1: spi_master generic map ( DataWidth => DataWidth, SPPRWidth => SPPRWidth, SPRWidth => SPRWidth, FIFOReadWidth => SPIFIFOReadWidth, FIFOWriteWidth => SPIFIFOWriteWidth ) port map ( Reset_n => Reset_n_i, Clk => Clk_i, -- IO SCK_o => SCLK_s, MOSI_o => DIN_s, MISO_i => DOUT_s, -- control signals CPOL_i => SPI_CPOL_o, CPHA_i => SPI_CPHA_o, LSBFE_i => SPI_LSBFE_o, SPPR_i => SPI_SPPR_SPR_o(7 downto 4), SPR_i => SPI_SPPR_SPR_o(3 downto 0), Transmission_o => SPI_Transmission_i, Write_i => SPI_Write_o, ReadNext_i => SPI_ReadNext_o, Data_i => SPI_Data_o, Data_o => SPI_Data_i, FIFOFull_o => SPI_FIFOFull_i, FIFOEmpty_o => SPI_FIFOEmpty_i, ScanEnable_i => SPI_ScanEnable_s, ScanClk_i => SPI_ScanClk_s, ScanDataIn_i => SPI_ScanDataIn_s, ScanDataOut_o => SPI_ScanDataOut_s ); adt7310_1: adt7310_model port map ( SCLK_i => SCLK_s, DOUT_o => DOUT_s, DIN_i => DIN_s, CS_n_i => ADT7310CS_n_o, CT_n_o => CT_n_s, INT_n_o => INT_n_s, Temp_i => Temp_s); -- constant value for reconfig signal SPI_SPPR_SPR_o <= "00000000"; -- Generate clock signal Clk_i <= not Clk_i after ClkPeriode*0.5; StimulusProc: process begin Enable_i <= '0'; SPICounterPreset_i <= "0101110111000000"; Threshold_i <= "0000000000011110"; PeriodCounterPresetH_i <= "0000000000000000"; PeriodCounterPresetL_i <= "0000000000001010"; wait for 2.3*ClkPeriode; assert SPI_CPOL_o = '1' report "Dynamic signal SPI_CPOL_o should have constant value '1'" severity failure; assert SPI_CPHA_o = '1' report "Dynamic signal SPI_CPHA_o should have constant value '1'" severity failure; assert SPI_LSBFE_o = '0' report "Dynamic signal SPI_LSBFE_o should have constant value '0'" severity failure; -- deassert Reset Reset_n_i <= '1'; wait for 1.3*ClkPeriode; -- wait until spi_master's SCK_o goes '1' to conform to CPOL_i = '1' Temp_s <= 23.7; -- degree C -- three cycles with disabled SensorFSM wait for 3*ClkPeriode; -- enable SensorFSM Enable_i <= '1'; wait until SPIFSM_Done_d = '1'; assert ADT7310CS_n_o = '1' report "CS_n should be '1' when SPIFSM is done" severity error; assert CpuIntr_o = '0' report "CpuIntr should be '0' directly after SPIFSM is done" severity error; wait until rising_edge(Clk_i); wait for 0.1*ClkPeriode; -- 1 cycle assert CpuIntr_o = '1' report "CpuIntr should be '1' one cycle after SPIFSM is done" severity error; assert abs(SensorValue_real - Temp_s) <= 1.0/16.0/2.0 report "Invalid temperature value: " & real'image(SensorValue_real) & "°C, should be " & real'image(Temp_s) & "°C" severity error; wait for 1*ClkPeriode; -- 1 cycle -- The digital value is 128*Temp_s (plus/minus rounding to nearest -- modulo 8). The threshold for too large changes is 30 (see -- sensorfsm.vhd). -- 23.7°C --> 3032 -- 25.7°C --> 3288 (delta: | 256| > 30) -- 25.6°C --> 3280 (delta: | -8| < 30) -- 25.5°C --> 3264 (delta: | -24| < 30) -- 25.4°C --> 3248 (delta: | -40| >= 30) -- new sensor value with large difference -> notify required wait for 3*ClkPeriode; -- 3 cycle Temp_s <= 25.7; wait until SPIFSM_Done_d = '1'; assert ADT7310CS_n_o = '1' report "CS_n should be '1' when SPIFSM is done" severity error; assert CpuIntr_o = '0' report "CpuIntr should be '0' directly after SPIFSM is done" severity error; wait until rising_edge(Clk_i); wait for 0.1*ClkPeriode; -- 1 cycle assert CpuIntr_o = '1' report "CpuIntr should be '1' one cycle after SPIFSM is done" severity error; assert abs(SensorValue_real - Temp_s) <= 1.0/16.0/2.0 report "Invalid temperature value: " & real'image(SensorValue_real) & "°C, should be " & real'image(Temp_s) & "°C" severity error; wait for 1*ClkPeriode; -- 1 cycle -- new sensor value with small difference -> no notification wait for 3*ClkPeriode; -- 3 cycle Temp_s <= 25.6; wait until SPIFSM_Done_d = '1'; assert ADT7310CS_n_o = '1' report "CS_n should be '1' when SPIFSM is done" severity error; assert CpuIntr_o = '0' report "CpuIntr should be '0' directly after SPIFSM is done" severity error; wait until rising_edge(Clk_i); wait for 0.1*ClkPeriode; -- 1 cycle assert CpuIntr_o = '0' report "CpuIntr should still be '0' one cycle after SPIFSM is done for small value change" severity error; assert abs(SensorValue_real - 25.7) <= 1.0/16.0/2.0 report "Invalid temperature value: " & real'image(SensorValue_real) & "°C, should be old value " & real'image(25.7) & "°C" severity error; wait for 1*ClkPeriode; -- 1 cycle -- new sensor value with small difference -> no notification wait for 3*ClkPeriode; -- 3 cycle Temp_s <= 25.5; wait until SPIFSM_Done_d = '1'; assert ADT7310CS_n_o = '1' report "CS_n should be '1' when SPIFSM is done" severity error; assert CpuIntr_o = '0' report "CpuIntr should be '0' directly after SPIFSM is done" severity error; wait until rising_edge(Clk_i); wait for 0.1*ClkPeriode; -- 1 cycle assert CpuIntr_o = '0' report "CpuIntr should still be '0' one cycle after SPIFSM is done for small value change" severity error; assert abs(SensorValue_real - 25.7) <= 1.0/16.0/2.0 report "Invalid temperature value: " & real'image(SensorValue_real) & "°C, should be old value " & real'image(25.7) & "°C" severity error; wait for 1*ClkPeriode; -- 1 cycle -- new sensor value with large difference -> notify required wait for 3*ClkPeriode; -- 3 cycle Temp_s <= 25.4; wait until SPIFSM_Done_d = '1'; assert ADT7310CS_n_o = '1' report "CS_n should be '1' when SPIFSM is done" severity error; assert CpuIntr_o = '0' report "CpuIntr should be '0' directly after SPIFSM is done" severity error; wait until rising_edge(Clk_i); wait for 0.1*ClkPeriode; -- 1 cycle assert CpuIntr_o = '1' report "CpuIntr should be '1' one cycle after SPIFSM is done" severity error; assert abs(SensorValue_real - Temp_s) <= 1.0/16.0/2.0 report "Invalid temperature value: " & real'image(SensorValue_real) & "°C, should be " & real'image(Temp_s) & "°C" severity error; wait for 1*ClkPeriode; -- 1 cycle wait for 100 ms; -- End of simulation report "### Simulation Finished ###" severity failure; wait; end process StimulusProc; end behavior;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: dsu -- File: dsu.vhd -- Author: Jiri Gaisler, Edvin Catovic - Gaisler Research -- Description: Combined LEON3 debug support and AHB trace unit ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.config_types.all; use grlib.config.all; use grlib.stdlib.all; use grlib.devices.all; library gaisler; use gaisler.leon3.all; library techmap; use techmap.gencomp.all; entity dsu3x is generic ( hindex : integer := 0; haddr : integer := 16#900#; hmask : integer := 16#f00#; ncpu : integer := 1; tbits : integer := 30; -- timer bits (instruction trace time tag) tech : integer := DEFMEMTECH; irq : integer := 0; kbytes : integer := 0; clk2x : integer range 0 to 1 := 0; testen : integer := 0; bwidth : integer := 32; ahbpf : integer := 0 ); port ( rst : in std_ulogic; hclk : in std_ulogic; cpuclk : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; tahbsi : in ahb_slv_in_type; dbgi : in l3_debug_out_vector(0 to NCPU-1); dbgo : out l3_debug_in_vector(0 to NCPU-1); dsui : in dsu_in_type; dsuo : out dsu_out_type; hclken : in std_ulogic ); attribute sync_set_reset of rst : signal is "true"; end; architecture rtl of dsu3x is constant TBUFABITS : integer := log2(kbytes) + 6; constant NBITS : integer := log2x(ncpu); constant PROC_H : integer := 24+NBITS-1; constant PROC_L : integer := 24; constant AREA_H : integer := 23; constant AREA_L : integer := 20; constant HBITS : integer := 28; constant DSU3_VERSION : integer := 2; constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_LEON3DSU, 0, DSU3_VERSION, 0), 4 => ahb_membar(haddr, '0', '0', hmask), others => zero32); type slv_reg_type is record hsel : std_ulogic; haddr : std_logic_vector(PROC_H downto 0); hwrite : std_ulogic; hwdata : std_logic_vector(31 downto 0); hrdata : std_logic_vector(31 downto 0); hready : std_ulogic; hready2 : std_ulogic; end record; constant slv_reg_none : slv_reg_type := ( hsel => '0', haddr => (others => '0'), hwrite => '0', hwdata => (others => '0'), hrdata => (others => '0'), hready => '1', hready2 => '1' ); type reg_type is record slv : slv_reg_type; en : std_logic_vector(0 to NCPU-1); te : std_logic_vector(0 to NCPU-1); be : std_logic_vector(0 to NCPU-1); bw : std_logic_vector(0 to NCPU-1); bs : std_logic_vector(0 to NCPU-1); bx : std_logic_vector(0 to NCPU-1); bz : std_logic_vector(0 to NCPU-1); halt : std_logic_vector(0 to NCPU-1); reset : std_logic_vector(0 to NCPU-1); bn : std_logic_vector(NCPU-1 downto 0); ss : std_logic_vector(NCPU-1 downto 0); bmsk : std_logic_vector(NCPU-1 downto 0); dmsk : std_logic_vector(NCPU-1 downto 0); cnt : std_logic_vector(2 downto 0); dsubre : std_logic_vector(2 downto 0); dsuen : std_logic_vector(2 downto 0); act : std_ulogic; timer : std_logic_vector(tbits-1 downto 0); pwd : std_logic_vector(NCPU-1 downto 0); tstop : std_ulogic; end record; constant RRES : reg_type := ( slv => slv_reg_none, en => (others => '0'), te => (others => '0'), be => (others => '0'), bw => (others => '0'), bs => (others => '0'), bx => (others => '0'), bz => (others => '0'), halt => (others => '0'), reset => (others => '0'), bn => (others => '0'), ss => (others => '0'), bmsk => (others => '0'), dmsk => (others => '0'), cnt => (others => '0'), dsubre => (others => '0'), dsuen => (others => '0'), act => '0', timer => (others => '0'), pwd => (others => '0'), tstop => '0' ); type trace_break_reg is record addr : std_logic_vector(31 downto 2); mask : std_logic_vector(31 downto 2); read : std_logic; write : std_logic; end record; constant trace_break_none : trace_break_reg := ( addr => (others => '0'), mask => (others => '0'), read => '0', write => '0' ); type tregtype is record haddr : std_logic_vector(31 downto 0); hwrite : std_logic; htrans : std_logic_vector(1 downto 0); hsize : std_logic_vector(2 downto 0); hburst : std_logic_vector(2 downto 0); hwdata : std_logic_vector(31 downto 0); hmaster : std_logic_vector(3 downto 0); hmastlock : std_logic; ahbactive : std_logic; aindex : std_logic_vector(TBUFABITS - 1 downto 0); -- buffer index enable : std_logic; -- trace enable bphit : std_logic; -- AHB breakpoint hit bphit2 : std_logic; -- delayed bphit dcnten : std_logic; -- delay counter enable delaycnt : std_logic_vector(TBUFABITS - 1 downto 0); -- delay counter tbreg1 : trace_break_reg; tbreg2 : trace_break_reg; tbwr : std_logic; -- trace buffer write enable break : std_logic; -- break CPU when AHB tracing stops tforce : std_logic; -- Force AHB trace timeren : std_logic; -- Keep timer enabled sample : std_logic; -- Force sample end record; constant TRES : tregtype := ( haddr => (others => '0'), hwrite => '0', htrans => (others => '0'), hsize => (others => '0'), hburst => (others => '0'), hwdata => (others => '0'), hmaster => (others => '0'), hmastlock => '0', ahbactive => '0', aindex => (others => '0'), enable => '0', bphit => '0', bphit2 => '0', dcnten => '0', delaycnt => (others => '0'), tbreg1 => trace_break_none, tbreg2 => trace_break_none, tbwr => '0', break => '0', tforce => '0', timeren => '0', sample => '0' ); type tfregtype is record shsel : std_logic_vector(0 to NAHBSLV-1); pf : std_ulogic; -- Filter perf outputs af : std_ulogic; -- Address filtering fr : std_ulogic; -- Filter reads fw : std_ulogic; -- Filter writes smask : std_logic_vector(15 downto 0); mmask : std_logic_vector(15 downto 0); bpfilt : std_logic_vector(1 downto 0); end record; type pregtype is record stat : dsu_astat_type; split : std_ulogic; splmst : std_logic_vector(3 downto 0); hready : std_ulogic; hresp : std_logic_vector(1 downto 0); end record; constant PRES : pregtype := ( stat => dsu_astat_none, split => '0', splmst => "0000", hready => '1', hresp => "00"); constant TFRES : tfregtype := (shsel => (others => '0'), pf => '0', af => '0', fr => '0', fw => '0', smask => (others => '0'), mmask => (others => '0'), bpfilt => (others => '0')); type hclk_reg_type is record irq : std_ulogic; oen : std_ulogic; end record; constant hclk_reg_none : hclk_reg_type := ( irq => '0', oen => '0' ); constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1; constant TRACEN : boolean := (kbytes /= 0); constant FILTEN : boolean := TRACEN and (ahbpf > 0); constant PERFEN : boolean := (ahbpf > 1); function ahb_filt_hit ( tr : tregtype; tfr : tfregtype) return boolean is variable hit : boolean; begin -- filter hit -> inhibit hit := false; -- Filter on read/write if ((tfr.fw and tr.hwrite) or (tfr.fr and not tr.hwrite)) = '1' then hit := true; end if; -- Filter on address range if (((tr.tbreg2.addr xor tr.haddr(31 downto 2)) and tr.tbreg2.mask) /= zero32(29 downto 0)) then if tfr.af = '1' then hit := true; end if; end if; -- Filter on master mask for i in tfr.mmask'range loop if i > NAHBMST-1 then exit; end if; if i = conv_integer(tr.hmaster) and tfr.mmask(i) = '1' then hit := true; end if; end loop; -- Filter on slave mask for i in tfr.smask'range loop if i > NAHBSLV-1 then exit; end if; if (tfr.shsel(i) and tfr.smask(i)) /= '0' then hit := true; end if; end loop; return hit; end function ahb_filt_hit; signal tbi : tracebuf_in_type; signal tbo : tracebuf_out_type; signal pr, prin : pregtype; signal tfr, tfrin : tfregtype; signal tr, trin : tregtype; signal r, rin : reg_type; signal rh, rhin : hclk_reg_type; signal ahbsi2, tahbsi2 : ahb_slv_in_type; signal hrdata2x : std_logic_vector(31 downto 0); begin comb: process(rst, r, ahbsi, ahbsi2, tahbsi2, dbgi, dsui, ahbmi, tr, tbo, hclken, rh, hrdata2x, tfr, pr) variable v : reg_type; variable iuacc : std_ulogic; variable dbgmode, tstop : std_ulogic; variable rawindex : integer range 0 to (2**NBITS)-1; variable index : natural range 0 to NCPU-1; variable hasel1 : std_logic_vector(AREA_H-1 downto AREA_L); variable hasel2 : std_logic_vector(6 downto 2); variable tv : tregtype; variable vabufi : tracebuf_in_type; variable aindex : std_logic_vector(TBUFABITS - 1 downto 0); -- buffer index variable hirq : std_logic_vector(NAHBIRQ-1 downto 0); variable cpwd : std_logic_vector(15 downto 0); variable hrdata : std_logic_vector(31 downto 0); variable hwdata : std_logic_vector(31 downto 0); variable rdata, wdata : std_logic_vector(127 downto 0); variable bphit : std_logic_vector(1 to 2); variable vh : hclk_reg_type; variable atact : std_ulogic; -- ahb trace active variable tfv : tfregtype; variable pv : pregtype; begin v := r; iuacc := '0'; --v.slv.hready := '0'; dbgmode := '0'; tstop := '1'; v.dsubre := r.dsubre(1 downto 0) & dsui.break; v.dsuen := r.dsuen(1 downto 0) & dsui.enable; hrdata := r.slv.hrdata; hwdata := ahbreadword(ahbsi2.hwdata, r.slv.haddr(4 downto 2)); wdata := (others => '0'); rdata := (others => '0'); tv := tr; vabufi.enable := '0'; tv.bphit := '0'; tv.tbwr := '0'; tv.sample := '0'; if (clk2x /= 0) then tv.bphit2 := tr.bphit; else tv.bphit2 := '0'; end if; vabufi.data := (others => '0'); vabufi.addr := (others => '0'); vabufi.write := (others => '0'); aindex := (others => '0'); hirq := (others => '0'); v.reset := (others => '0'); tfv := tfr; pv := pr; if TRACEN then aindex := tr.aindex + 1; if (clk2x /= 0) then vh.irq := tr.bphit or tr.bphit2; hirq(irq) := rh.irq; else hirq(irq) := tr.bphit; end if; end if; if hclken = '1' then v.slv.hready := '0'; v.act := '0'; end if; atact := tr.enable and ((not r.act) or tr.tforce); -- check for AHB watchpoints bphit := (others => '0'); if TRACEN and ((tahbsi2.hready and tr.ahbactive) = '1') then if ((((tr.tbreg1.addr xor tr.haddr(31 downto 2)) and tr.tbreg1.mask) = zero32(29 downto 0)) and (((tr.tbreg1.read and not tr.hwrite) or (tr.tbreg1.write and tr.hwrite)) = '1')) then bphit(1) := '1'; end if; if ((((tr.tbreg2.addr xor tr.haddr(31 downto 2)) and tr.tbreg2.mask) = zero32(29 downto 0)) and (((tr.tbreg2.read and not tr.hwrite) or (tr.tbreg2.write and tr.hwrite)) = '1')) then bphit(2) := '1'; end if; end if; -- generate AHB buffer inputs vabufi.write := (others => '0'); if TRACEN then wdata(AHBDW-1 downto 0) := tahbsi2.hwdata; rdata(AHBDW-1 downto 0) := ahbmi.hrdata; if atact = '1' then vabufi.addr(TBUFABITS-1 downto 0) := tr.aindex; vabufi.data(127) := orv(bphit); vabufi.data(96+tbits-1 downto 96) := r.timer; vabufi.data(94 downto 80) := (others => '0'); --ahbmi.hirq(15 downto 1); vabufi.data(79) := tr.hwrite; vabufi.data(78 downto 77) := tr.htrans; vabufi.data(76 downto 74) := tr.hsize; vabufi.data(73 downto 71) := tr.hburst; vabufi.data(70 downto 67) := tr.hmaster; vabufi.data(66) := tr.hmastlock; vabufi.data(65 downto 64) := ahbmi.hresp; if tr.hwrite = '1' then vabufi.data(63 downto 32) := wdata(31 downto 0); vabufi.data(223 downto 128) := wdata(127 downto 32); else vabufi.data(63 downto 32) := rdata(31 downto 0); vabufi.data(223 downto 128) := rdata(127 downto 32); end if; vabufi.data(31 downto 0) := tr.haddr; else if bwidth = 32 then vabufi.addr(TBUFABITS-1 downto 0) := r.slv.haddr(TBUFABITS+3 downto 4); --tr.haddr(TBUFABITS+3 downto 4); else vabufi.addr(TBUFABITS-1 downto 0) := r.slv.haddr(TBUFABITS+4 downto 5); --tr.haddr(TBUFABITS+4 downto 5); end if; -- Note: HWDATA from register i/f vabufi.data(255 downto 0) := hwdata & hwdata & hwdata & hwdata & hwdata & hwdata & hwdata & hwdata; end if; -- filter and write trace buffer if atact = '1' then if ((tr.ahbactive and tahbsi2.hready) or tr.sample) = '1' then if not (FILTEN and ahb_filt_hit(tr, tfr)) then tv.aindex := aindex; tv.tbwr := '1'; vabufi.enable := '1'; vabufi.write := (others => '1'); elsif FILTEN then for i in 1 to 2 loop if tfr.bpfilt(i-1) = '1' then bphit(i) := '0'; end if; end loop; end if; end if; end if; -- trigger AHB break/watchpoints if orv(bphit) = '1' then if (atact = '1') and (tr.dcnten = '0') and (tr.delaycnt /= zero32(TBUFABITS-1 downto 0)) then tv.dcnten := '1'; else tv.enable := '0'; tv.tforce := '0'; tv.timeren := '0'; tv.bphit := tr.break; end if; end if; -- trace buffer delay counter handling if (tr.dcnten = '1') then if (tr.delaycnt = zero32(TBUFABITS-1 downto 0)) then tv.enable := '0'; tv.dcnten := '0'; tv.bphit := tr.break; end if; if tr.tbwr = '1' then tv.delaycnt := tr.delaycnt - 1; end if; end if; -- AHB statistics if PERFEN then pv.hready := tahbsi2.hready; pv.hresp := ahbmi.hresp; pv.stat := dsu_astat_none; if pr.hready = '1' then case tr.htrans is when HTRANS_IDLE => pv.stat.idle := '1'; when HTRANS_BUSY => pv.stat.busy := '1'; when HTRANS_NONSEQ => pv.stat.nseq := '1'; when others => pv.stat.seq := '1'; end case; if tr.ahbactive = '1' then pv.stat.read := not tr.hwrite; pv.stat.write := tr.hwrite; case tr.hsize is when HSIZE_BYTE => pv.stat.hsize(0) := '1'; when HSIZE_HWORD => pv.stat.hsize(1) := '1'; when HSIZE_WORD => pv.stat.hsize(2) := '1'; when HSIZE_DWORD => pv.stat.hsize(3) := '1'; when HSIZE_4WORD => pv.stat.hsize(4) := '1'; when others => pv.stat.hsize(5) := '1'; end case; end if; pv.stat.hmaster := tr.hmaster; end if; if pr.hresp = HRESP_OKAY then pv.stat.ws := not pr.hready; end if; -- It may also be interesting to count the maximum grant latency. That -- is; the delay between asserting hbusreq and receiving hgrant. This -- would require that all bus request signals were present in this -- entity. This has been left as a possible future extension. if pr.hready = '1' then if pr.hresp = HRESP_SPLIT then pv.stat.split := '1'; pv.split := '1'; if pr.split = '0' then pv.splmst := tr.hmaster; end if; end if; if pr.hresp = HRESP_RETRY then pv.stat.retry := '1'; end if; end if; pv.stat.locked := tr.hmastlock; if tfr.pf = '1' and ahb_filt_hit(tr, tfr) then pv.stat := dsu_astat_none; pv.split := pr.split; pv.splmst := pr.splmst; end if; -- Count cycles where master is in SPLIT if pr.split = '1' then for i in ahbmi.hgrant'range loop if i = conv_integer(pr.splmst) and ahbmi.hgrant(i) = '1' then pv.split := '0'; end if; end loop; pv.stat.spdel := pv.split; end if; end if; -- save AHB transfer parameters if (tahbsi2.hready or tr.sample) = '1' then tv.haddr := tahbsi2.haddr; tv.hwrite := tahbsi2.hwrite; tv.htrans := tahbsi2.htrans; tv.hsize := tahbsi2.hsize; tv.hburst := tahbsi2.hburst; tv.hmaster := tahbsi2.hmaster; tv.hmastlock := tahbsi2.hmastlock; tv.ahbactive := tahbsi2.htrans(1); if FILTEN then tfv.shsel := tahbsi2.hsel; end if; end if; end if; if r.slv.hsel = '1' then if (clk2x = 0) then v.cnt := r.cnt - 1; else if (r.cnt /= "111") or (hclken = '1') then v.cnt := r.cnt - 1; end if; end if; end if; if (r.slv.hready and hclken) = '1' then v.slv.hsel := '0'; --v.slv.act := '0'; end if; for i in 0 to NCPU-1 loop if dbgi(i).dsumode = '1' then if r.dmsk(i) = '0' then dbgmode := '1'; if hclken = '1' then v.act := '1'; end if; end if; v.bn(i) := '1'; else tstop := '0'; end if; end loop; if ((r.dsuen(2) and not tstop) or tr.timeren) = '1' then v.timer := r.timer + 1; end if; if (clk2x /= 0) then if hclken = '1' then v.tstop := tstop; end if; tstop := r.tstop; end if; cpwd := (others => '0'); for i in 0 to NCPU-1 loop v.bn(i) := v.bn(i) or (dbgmode and r.bmsk(i)) or (r.dsubre(1) and not r.dsubre(2)); if TRACEN then v.bn(i) := v.bn(i) or (tr.bphit and not r.ss(i) and not r.act); end if; v.pwd(i) := dbgi(i).idle and (not dbgi(i).ipend) and not v.bn(i); end loop; cpwd(NCPU-1 downto 0) := r.pwd; if (ahbsi2.hready and ahbsi2.hsel(hindex)) = '1' then if (ahbsi2.htrans(1) = '1') then v.slv.hsel := '1'; v.slv.haddr := ahbsi2.haddr(PROC_H downto 0); v.slv.hwrite := ahbsi2.hwrite; v.cnt := "111"; end if; end if; for i in 0 to NCPU-1 loop v.en(i) := r.dsuen(2) and dbgi(i).dsu; end loop; rawindex := conv_integer(r.slv.haddr(PROC_H downto PROC_L)); if ncpu = 1 then index := 0; else if rawindex > ncpu then index := ncpu-1; else index := rawindex; end if; end if; hasel1 := r.slv.haddr(AREA_H-1 downto AREA_L); hasel2 := r.slv.haddr(6 downto 2); if r.slv.hsel = '1' then case hasel1 is when "000" => -- DSU registers if r.cnt(2 downto 0) = "110" then if hclken = '1' then v.slv.hready := '1'; else v.slv.hready2 := '1'; end if; end if; hrdata := (others => '0'); case hasel2 is when "00000" => if r.slv.hwrite = '1' then if hclken = '1' then v.te(index) := hwdata(0); v.be(index) := hwdata(1); v.bw(index) := hwdata(2); v.bs(index) := hwdata(3); v.bx(index) := hwdata(4); v.bz(index) := hwdata(5); v.reset(index) := hwdata(9); v.halt(index) := hwdata(10); else v.reset := r.reset; end if; end if; hrdata(0) := r.te(index); hrdata(1) := r.be(index); hrdata(2) := r.bw(index); hrdata(3) := r.bs(index); hrdata(4) := r.bx(index); hrdata(5) := r.bz(index); hrdata(6) := dbgi(index).dsumode; hrdata(7) := r.dsuen(2); hrdata(8) := r.dsubre(2); hrdata(9) := not dbgi(index).error; hrdata(10) := dbgi(index).halt; hrdata(11) := dbgi(index).pwd; when "00010" => -- timer if r.slv.hwrite = '1' then if hclken = '1' then v.timer := hwdata(tbits-1 downto 0); else v.timer := r.timer; end if; end if; hrdata(tbits-1 downto 0) := r.timer; when "01000" => if r.slv.hwrite = '1' then if hclken = '1' then v.bn := hwdata(NCPU-1 downto 0); v.ss := hwdata(16+NCPU-1 downto 16); else v.bn := r.bn; v.ss := r.ss; end if; end if; hrdata(NCPU-1 downto 0) := r.bn; hrdata(16+NCPU-1 downto 16) := r.ss; when "01001" => if (r.slv.hwrite and hclken) = '1' then v.bmsk(NCPU-1 downto 0) := hwdata(NCPU-1 downto 0); v.dmsk(NCPU-1 downto 0) := hwdata(NCPU-1+16 downto 16); end if; hrdata(NCPU-1 downto 0) := r.bmsk; hrdata(NCPU-1+16 downto 16) := r.dmsk; when "10000" => if TRACEN then hrdata((TBUFABITS + 15) downto 16) := tr.delaycnt; hrdata(6 downto 5) := tr.timeren & tr.tforce; hrdata(4 downto 0) := conv_std_logic_vector(log2(bwidth/32), 2) & tr.break & tr.dcnten & tr.enable; if r.slv.hwrite = '1' then if hclken = '1' then tv.delaycnt := hwdata((TBUFABITS+ 15) downto 16); tv.sample := hwdata(7); tv.timeren := hwdata(6); tv.tforce := hwdata(5); tv.break := hwdata(2); tv.dcnten := hwdata(1); tv.enable := hwdata(0); else tv.delaycnt := tr.delaycnt; tv.sample := tr.sample; tv.timeren := tr.timeren; tv.tforce := tr.tforce; tv.break := tr.break; tv.dcnten := tr.dcnten; tv.enable := tr.enable; end if; end if; end if; when "10001" => if TRACEN then hrdata((TBUFABITS - 1 + 4) downto 4) := tr.aindex; if r.slv.hwrite = '1' then if hclken = '1' then tv.aindex := hwdata((TBUFABITS - 1 + 4) downto 4); else tv.aindex := tr.aindex; end if; end if; end if; when "10010" => if FILTEN then hrdata(9 downto 8) := tfr.bpfilt; hrdata(3 downto 0) := tfr.pf & tfr.af & tfr.fr & tfr.fw; if r.slv.hwrite = '1' then if hclken = '1' then tfv.bpfilt := hwdata(9 downto 8); tfv.pf := hwdata(3); tfv.af := hwdata(2); tfv.fr := hwdata(1); tfv.fw := hwdata(0); else tfv.bpfilt := tfr.bpfilt; tfv.pf := tfr.pf; tfv.af := tfr.af; tfv.fr := tfr.fr; tfv.fw := tfr.fw; end if; end if; end if; when "10011" => if FILTEN then hrdata := tfr.smask & tfr.mmask; if r.slv.hwrite = '1' then if hclken = '1' then tfv.smask := hwdata(31 downto 16); tfv.mmask := hwdata(15 downto 0); else tfv.smask := tfr.smask; tfv.mmask := tfr.mmask; end if; end if; end if; when "10100" => if TRACEN then hrdata(31 downto 2) := tr.tbreg1.addr; if (r.slv.hwrite and hclken) = '1' then tv.tbreg1.addr := hwdata(31 downto 2); end if; end if; when "10101" => if TRACEN then hrdata := tr.tbreg1.mask & tr.tbreg1.read & tr.tbreg1.write; if (r.slv.hwrite and hclken) = '1' then tv.tbreg1.mask := hwdata(31 downto 2); tv.tbreg1.read := hwdata(1); tv.tbreg1.write := hwdata(0); end if; end if; when "10110" => if TRACEN then hrdata(31 downto 2) := tr.tbreg2.addr; if (r.slv.hwrite and hclken) = '1' then tv.tbreg2.addr := hwdata(31 downto 2); end if; end if; when "10111" => if TRACEN then hrdata := tr.tbreg2.mask & tr.tbreg2.read & tr.tbreg2.write; if (r.slv.hwrite and hclken) = '1' then tv.tbreg2.mask := hwdata(31 downto 2); tv.tbreg2.read := hwdata(1); tv.tbreg2.write := hwdata(0); end if; end if; when others => end case; when "010" => -- AHB tbuf if TRACEN then if r.cnt(2 downto 0) = "101" then if hclken = '1' then v.slv.hready := '1'; else v.slv.hready2 := '1'; end if; end if; vabufi.enable := not atact; case r.slv.haddr(4 downto 2) is --case tr.haddr(4 downto 2) is when "000" => hrdata := tbo.data(127 downto 96); if (r.slv.hwrite and hclken) = '1' then vabufi.write(3) := vabufi.enable and v.slv.hready; end if; when "001" => hrdata := tbo.data(95 downto 64); if (r.slv.hwrite and hclken) = '1' then vabufi.write(2) := vabufi.enable and v.slv.hready; end if; when "010" => hrdata := tbo.data(63 downto 32); if (r.slv.hwrite and hclken) = '1' then vabufi.write(1) := vabufi.enable and v.slv.hready; end if; when "011" => hrdata := tbo.data(31 downto 0); if (r.slv.hwrite and hclken) = '1' then vabufi.write(0) := vabufi.enable and v.slv.hready; end if; when "100" => if bwidth > 32 then hrdata := tbo.data(159 downto 128); if (r.slv.hwrite and hclken) = '1' then vabufi.write(7) := vabufi.enable and v.slv.hready; end if; else hrdata := tbo.data(127 downto 96); if (r.slv.hwrite and hclken) = '1' then vabufi.write(3) := vabufi.enable and v.slv.hready; end if; end if; when "101" => if bwidth > 32 then if bwidth > 64 then hrdata := tbo.data(223 downto 192); if (r.slv.hwrite and hclken) = '1' then vabufi.write(6) := vabufi.enable and v.slv.hready; end if; else hrdata := zero32; end if; else hrdata := tbo.data(95 downto 64); if (r.slv.hwrite and hclken) = '1' then vabufi.write(2) := vabufi.enable and v.slv.hready; end if; end if; when "110" => if bwidth > 32 then if bwidth > 64 then hrdata := tbo.data(191 downto 160); if (r.slv.hwrite and hclken) = '1' then vabufi.write(5) := vabufi.enable and v.slv.hready; end if; else hrdata := zero32; end if; else hrdata := tbo.data(63 downto 32); if (r.slv.hwrite and hclken) = '1' then vabufi.write(1) := vabufi.enable and v.slv.hready; end if; end if; when others => if bwidth > 32 then hrdata := zero32; else hrdata := tbo.data(31 downto 0); if (r.slv.hwrite and hclken) = '1' then vabufi.write(0) := vabufi.enable and v.slv.hready; end if; end if; end case; else if hclken = '1' then v.slv.hready := '1'; else v.slv.hready2 := '1'; end if; end if; when "011" | "001" => -- IU reg file, IU tbuf iuacc := '1'; hrdata := dbgi(index).data; if r.cnt(2 downto 0) = "101" then if hclken = '1' then v.slv.hready := '1'; else v.slv.hready2 := '1'; end if; end if; when "100" => -- IU reg access iuacc := '1'; hrdata := dbgi(index).data; if r.cnt(1 downto 0) = "11" then if hclken = '1' then v.slv.hready := '1'; else v.slv.hready2 := '1'; end if; end if; when "111" => -- DSU ASI if r.cnt(2 downto 1) = "11" then iuacc := '1'; else iuacc := '0'; end if; if (dbgi(index).crdy = '1') or (r.cnt = "000") then if hclken = '1' then v.slv.hready := '1'; else v.slv.hready2 := '1'; end if; end if; hrdata := dbgi(index).data; when others => if hclken = '1' then v.slv.hready := '1'; else v.slv.hready2 := '1'; end if; end case; if (r.slv.hready and hclken and not v.slv.hsel) = '1' then v.slv.hready := '0'; end if; if (clk2x /= 0) and (r.slv.hready2 and hclken) = '1' then v.slv.hready := '1'; end if; end if; if r.slv.hsel = '1' then if (r.slv.hwrite and hclken) = '1' then v.slv.hwdata := hwdata(31 downto 0); end if; if (clk2x = 0) or ((r.slv.hready or r.slv.hready2) = '0') then v.slv.hrdata := hrdata; end if; end if; if ((ahbsi2.hready and ahbsi2.hsel(hindex)) = '1') and (ahbsi2.htrans(1) = '0') then if (clk2x = 0) or (r.slv.hsel = '0') then v.slv.hready := '1'; end if; end if; if (clk2x /= 0) and (r.slv.hready = '1') then v.slv.hready2 := '0'; end if; if v.slv.hsel = '0' then v.slv.hready := '1'; end if; vh.oen := '0'; if (clk2x /= 0) then if (hclken and r.slv.hsel and (r.slv.hready2 or v.slv.hready)) = '1' then vh.oen := '1'; end if; if (r.slv.hsel = '1') and (r.cnt = "111") and (hclken = '0') then iuacc := '0'; end if; end if; if (not RESET_ALL) and (rst = '0') then v.bn := (others => r.dsubre(2)); v.bmsk := (others => '0'); v.dmsk := (others => '0'); v.ss := (others => '0'); v.timer := (others => '0'); v.slv.hsel := '0'; for i in 0 to NCPU-1 loop v.bw(i) := r.dsubre(2); v.be(i) := r.dsubre(2); v.bx(i) := r.dsubre(2); v.bz(i) := r.dsubre(2); v.bs(i) := '0'; v.te(i) := '0'; end loop; tv.ahbactive := '0'; tv.enable := '0'; tv.tforce := '0'; tv.timeren := '0'; tv.dcnten := '0'; tv.tbreg1.read := '0'; tv.tbreg1.write := '0'; tv.tbreg2.read := '0'; tv.tbreg2.write := '0'; v.slv.hready := '1'; v.halt := (others => '0'); v.act := '0'; v.tstop := '0'; if FILTEN then tfv.pf := '0'; tfv.af := '0'; tfv.fr := '0'; tfv.fw := '0'; tfv.smask := (others => '0'); tfv.mmask := (others => '0'); tfv.bpfilt := (others => '0'); end if; if PERFEN then pv.split := '0'; pv.splmst := (others => '0'); end if; end if; rin <= v; trin <= tv; tbi <= vabufi; tfrin <= tfv; prin <= pv; for i in 0 to NCPU-1 loop dbgo(i).tenable <= r.te(i); dbgo(i).dsuen <= r.en(i); dbgo(i).dbreak <= r.bn(i); -- or (dbgmode and r.bmsk(i)); if conv_integer(r.slv.haddr(PROC_H downto PROC_L)) = i then dbgo(i).denable <= iuacc; else dbgo(i).denable <= '0'; end if; dbgo(i).step <= r.ss(i); dbgo(i).berror <= r.be(i); dbgo(i).bsoft <= r.bs(i); dbgo(i).bwatch <= r.bw(i); dbgo(i).btrapa <= r.bx(i); dbgo(i).btrape <= r.bz(i); dbgo(i).daddr <= r.slv.haddr(PROC_L-1 downto 2); dbgo(i).ddata <= r.slv.hwdata(31 downto 0); dbgo(i).dwrite <= r.slv.hwrite; dbgo(i).halt <= r.halt(i); dbgo(i).reset <= r.reset(i); dbgo(i).timer(tbits-1 downto 0) <= r.timer; dbgo(i).timer(30 downto tbits) <= (others => '0'); end loop; ahbso.hconfig <= hconfig; ahbso.hresp <= HRESP_OKAY; ahbso.hready <= r.slv.hready; if (clk2x = 0) then ahbso.hrdata <= ahbdrivedata(r.slv.hrdata); else ahbso.hrdata <= ahbdrivedata(hrdata2x); end if; ahbso.hsplit <= (others => '0'); ahbso.hirq <= hirq; ahbso.hindex <= hindex; dsuo.active <= r.act; dsuo.tstop <= tstop; dsuo.pwd <= cpwd; if PERFEN then dsuo.astat <= pr.stat; else dsuo.astat <= dsu_astat_none; end if; rhin <= vh; end process; comb2gen0 : if (clk2x /= 0) generate -- register i/f gen0 : for i in ahbsi.hsel'range generate ag0 : clkand generic map (tech => 0, ren => 0) port map (ahbsi.hsel(i), hclken, ahbsi2.hsel(i)); end generate; gen1 : for i in ahbsi.haddr'range generate ag1 : clkand generic map (tech => 0, ren => 0) port map (ahbsi.haddr(i), hclken, ahbsi2.haddr(i)); end generate; ag2 : clkand generic map (tech => 0, ren => 0) port map (ahbsi.hwrite, hclken, ahbsi2.hwrite); gen3 : for i in ahbsi.htrans'range generate ag3 : clkand generic map (tech => 0, ren => 0) port map (ahbsi.htrans(i), hclken, ahbsi2.htrans(i)); end generate; gen4 : for i in ahbsi.hwdata'range generate ag4 : clkand generic map (tech => 0, ren => 0) port map (ahbsi.hwdata(i), hclken, ahbsi2.hwdata(i)); end generate; ag5 : clkand generic map (tech => 0, ren => 0) port map (ahbsi.hready, hclken, ahbsi2.hready); -- not used by register i/f: ahbsi2.hsize <= (others => '0'); ahbsi2.hburst <= (others => '0'); ahbsi2.hprot <= (others => '0'); ahbsi2.hmaster <= (others => '0'); ahbsi2.hmastlock <= '0'; ahbsi2.hmbsel <= (others => '0'); ahbsi2.hirq <= (others => '0'); ahbsi2.testen <= '0'; ahbsi2.testrst <= '0'; ahbsi2.scanen <= '0'; ahbsi2.testoen <= '0'; -- trace buffer: gen6 : for i in tahbsi.haddr'range generate ag6 : clkand generic map (tech => 0, ren => 0) port map (tahbsi.haddr(i), hclken, tahbsi2.haddr(i)); end generate; ag7 : clkand generic map (tech => 0, ren => 0) port map (tahbsi.hwrite, hclken, tahbsi2.hwrite); gen8 : for i in tahbsi.htrans'range generate ag8 : clkand generic map (tech => 0, ren => 0) port map (tahbsi.htrans(i), hclken, tahbsi2.htrans(i)); end generate; gen9 : for i in tahbsi.hsize'range generate ag9 : clkand generic map (tech => 0, ren => 0) port map (tahbsi.hsize(i), hclken, tahbsi2.hsize(i)); end generate; gen10 : for i in tahbsi.hburst'range generate a10 : clkand generic map (tech => 0, ren => 0) port map (tahbsi.hburst(i), hclken, tahbsi2.hburst(i)); end generate; gen11 : for i in tahbsi.hwdata'range generate ag11 : clkand generic map (tech => 0, ren => 0) port map (tahbsi.hwdata(i), hclken, tahbsi2.hwdata(i)); end generate; ag12 : clkand generic map (tech => 0, ren => 0) port map (tahbsi.hready, hclken, tahbsi2.hready); gen12 : for i in tahbsi.hmaster'range generate ag12 : clkand generic map (tech => 0, ren => 0) port map (tahbsi.hmaster(i), hclken, tahbsi2.hmaster(i)); end generate; ag13 : clkand generic map (tech => 0, ren => 0) port map (tahbsi.hmastlock, hclken, tahbsi2.hmastlock); gen14 : for i in tahbsi.hsel'range generate ag14 : clkand generic map (tech => 0, ren => 0) port map (tahbsi.hsel(i), hclken, tahbsi2.hsel(i)); end generate; -- not used by trace buffer: tahbsi2.hprot <= (others => '0'); tahbsi2.hmbsel <= (others => '0'); tahbsi2.hirq <= (others => '0'); tahbsi2.testen <= '0'; tahbsi2.testrst <= '0'; tahbsi2.scanen <= '0'; tahbsi2.testoen <= '0'; gen15 : for i in hrdata2x'range generate ag15 : clkand generic map (tech => 0, ren => 0) port map (r.slv.hrdata(i), rh.oen, hrdata2x(i)); end generate; reg2 : process(hclk) begin if rising_edge(hclk) then rh <= rhin; end if; end process; end generate; comb2gen1 : if (clk2x = 0) generate ahbsi2 <= ahbsi; rh.irq <= '0'; rh.oen <= '0'; hrdata2x <= (others => '0'); tahbsi2 <= tahbsi; end generate; reg : process(cpuclk) begin if rising_edge(cpuclk) then r <= rin; if RESET_ALL and (rst = '0') then r <= RRES; for i in 0 to NCPU-1 loop r.bn(i) <= r.dsubre(2); r.bw(i) <= r.dsubre(2); r.be(i) <= r.dsubre(2); r.bx(i) <= r.dsubre(2); r.bz(i) <= r.dsubre(2); end loop; r.dsubre <= rin.dsubre; -- Sync. regs. r.dsuen <= rin.dsuen; r.en <= rin.en; end if; end if; end process; tb0 : if TRACEN generate treg : process(cpuclk) begin if rising_edge(cpuclk) then tr <= trin; if RESET_ALL and (rst = '0') then tr <= TRES; end if; end if; end process; tpf : if FILTEN generate pfreg : process(cpuclk) begin if rising_edge(cpuclk) then tfr <= tfrin; if RESET_ALL and (rst = '0') then tfr <= TFRES; end if; end if; end process; end generate; perf : if PERFEN generate preg : process(cpuclk) begin if rising_edge(cpuclk) then pr <= prin; if RESET_ALL and (rst = '0') then pr <= PRES; end if; end if; end process; end generate; mem0 : tbufmem generic map (tech => tech, tbuf => kbytes, dwidth => bwidth, testen => testen) port map (cpuclk, tbi, tbo, ahbsi.testin ); -- pragma translate_off bootmsg : report_version generic map ("dsu3_" & tost(hindex) & ": LEON3 Debug support unit + AHB Trace Buffer, " & tost(kbytes) & " kbytes"); -- pragma translate_on end generate; notb : if not TRACEN generate tbo.data <= (others => '0'); tr <= TRES; -- pragma translate_off bootmsg : report_version generic map ("dsu3_" & tost(hindex) & ": LEON3 Debug support unit"); -- pragma translate_on end generate; notpf : if not FILTEN generate tfr.shsel <= (others => '0'); tfr.pf <= '0'; tfr.af <= '0'; tfr.fr <= '0'; tfr.fw <= '0'; tfr.smask <= (others => '0'); tfr.mmask <= (others => '0'); tfr.bpfilt <= (others => '0'); end generate; noperf : if not PERFEN generate pr.stat <= dsu_astat_none; pr.split <= '0'; pr.splmst <= (others => '0'); pr.hready <= '0'; pr.hresp <= (others => '0'); end generate; end;
-- megafunction wizard: %ROM: 1-PORT% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altsyncram -- ============================================================ -- File Name: ROM.vhd -- Megafunction Name(s): -- altsyncram -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 13.1.0 Build 162 10/23/2013 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2013 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; ENTITY ROM IS PORT ( address : IN STD_LOGIC_VECTOR (9 DOWNTO 0); clock : IN STD_LOGIC := '1'; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) ); END ROM; ARCHITECTURE SYN OF rom IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0); BEGIN q <= sub_wire0(7 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( address_aclr_a => "NONE", clock_enable_input_a => "BYPASS", clock_enable_output_a => "BYPASS", init_file => "ROM.mif", intended_device_family => "Cyclone IV E", lpm_hint => "ENABLE_RUNTIME_MOD=NO", lpm_type => "altsyncram", numwords_a => 1024, operation_mode => "ROM", outdata_aclr_a => "NONE", outdata_reg_a => "UNREGISTERED", widthad_a => 10, width_a => 8, width_byteena_a => 1 ) PORT MAP ( address_a => address, clock0 => clock, q_a => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" -- Retrieval info: PRIVATE: AclrAddr NUMERIC "0" -- Retrieval info: PRIVATE: AclrByte NUMERIC "0" -- Retrieval info: PRIVATE: AclrOutput NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -- Retrieval info: PRIVATE: BlankMemory NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: Clken NUMERIC "0" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" -- Retrieval info: PRIVATE: MIFfilename STRING "ROM.mif" -- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "1024" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: RegAddr NUMERIC "1" -- Retrieval info: PRIVATE: RegOutput NUMERIC "0" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: SingleClock NUMERIC "1" -- Retrieval info: PRIVATE: UseDQRAM NUMERIC "0" -- Retrieval info: PRIVATE: WidthAddr NUMERIC "10" -- Retrieval info: PRIVATE: WidthData NUMERIC "8" -- Retrieval info: PRIVATE: rden NUMERIC "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: INIT_FILE STRING "ROM.mif" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "1024" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM" -- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" -- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "10" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -- Retrieval info: USED_PORT: address 0 0 10 0 INPUT NODEFVAL "address[9..0]" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" -- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]" -- Retrieval info: CONNECT: @address_a 0 0 10 0 address 0 0 10 0 -- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL ROM.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL ROM.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL ROM.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL ROM.bsf TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL ROM_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf
-- ------------------------------------------------------------- -- -- Generated Architecture Declaration for rtl of inst_A_e -- -- Generated -- by: wig -- on: Sat Mar 3 17:08:41 2007 -- cmd: /cygdrive/c/Documents and Settings/wig/My Documents/work/MIX/mix_0.pl -nodelta ../case.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: inst_a_e-rtl-a.vhd,v 1.1 2007/03/03 17:32:14 wig Exp $ -- $Date: 2007/03/03 17:32:14 $ -- $Log: inst_a_e-rtl-a.vhd,v $ -- Revision 1.1 2007/03/03 17:32:14 wig -- Fixed case in UNIX, too for testcase case -- -- Revision 1.2 2007/03/03 17:24:06 wig -- Updated testcase for case matches. Added filename serialization. -- -- -- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.101 2007/03/01 16:28:38 wig Exp -- -- Generator: mix_0.pl Revision: 1.47 , [email protected] -- (C) 2003,2005 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/arch -- -- -- Start of Generated Architecture rtl of inst_A_e -- architecture rtl of inst_A_e is -- -- Generated Constant Declarations -- -- -- Generated Components -- component INST_AA_e -- No Generated Generics -- No Generated Port end component; -- --------- -- -- Generated Signal List -- -- -- End of Generated Signal List -- begin -- -- Generated Concurrent Statements -- -- -- Generated Signal Assignments -- -- -- Generated Instances and Port Mappings -- -- Generated Instance Port Map for INST_AA INST_AA: INST_AA_e ; -- End of Generated Instance Port Map for INST_AA end rtl; -- --!End of Architecture/s -- --------------------------------------------------------------
-- -- Copyright (C) 2009-2012 Chris McClelland -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU Lesser General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity seven_seg is generic ( -- This can be overridden to change the refresh rate. The anode pattern will change at a -- frequency given by F(clk_in) / (2**COUNTER_WIDTH). So for a 50MHz clk_in and -- COUNTER_WIDTH=18, the anode pattern changes at ~191Hz, which means each digit gets -- refreshed at ~48Hz. COUNTER_WIDTH : integer := 16 ); port( clk_in : in std_logic; data_in : in std_logic_vector(15 downto 0); dots_in : in std_logic_vector(3 downto 0); segs_out : out std_logic_vector(7 downto 0); anodes_out : out std_logic_vector(3 downto 0) ); end entity; architecture rtl of seven_seg is signal count : unsigned(COUNTER_WIDTH-1 downto 0) := (others => '0'); signal count_next : unsigned(COUNTER_WIDTH-1 downto 0); signal anodeSelect : std_logic_vector(1 downto 0); signal nibble : std_logic_vector(3 downto 0); signal segs : std_logic_vector(6 downto 0); signal dot : std_logic; begin -- Infer counter register process(clk_in) begin if ( rising_edge(clk_in) ) then count <= count_next; end if; end process; -- Increment counter and derive anode select from top two bits count_next <= count + 1; anodeSelect <= std_logic_vector(count(COUNTER_WIDTH-1 downto COUNTER_WIDTH-2)); -- Drive anodes with anodeSelect select anodes_out <= "0111" when "00", "1011" when "01", "1101" when "10", "1110" when others; -- Select the appropriate bit from dots_in with anodeSelect select dot <= not(dots_in(3)) when "00", not(dots_in(2)) when "01", not(dots_in(1)) when "10", not(dots_in(0)) when others; -- Choose a nibble to display with anodeSelect select nibble <= data_in(15 downto 12) when "00", data_in(11 downto 8) when "01", data_in(7 downto 4) when "10", data_in(3 downto 0) when others; -- Decode chosen nibble with nibble select segs <= "1000000" when "0000", "1111001" when "0001", "0100100" when "0010", "0110000" when "0011", "0011001" when "0100", "0010010" when "0101", "0000010" when "0110", "1111000" when "0111", "0000000" when "1000", "0010000" when "1001", "0001000" when "1010", "0000011" when "1011", "1000110" when "1100", "0100001" when "1101", "0000110" when "1110", "0001110" when others; -- Drive segs_out segs_out <= dot & segs; end architecture;
-- ================================================================================= -- // Name: Bryan Mason, James Batcheler, & Brad McMahon -- // File: mux2g.vhd -- // Date: 12/9/2004 -- // Description: 8 channel, n bit mux -- // Class: CSE 378 -- ================================================================================= library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM; --use UNISIM.VComponents.all; entity mux8g is generic(width:positive); Port ( a : in std_logic_vector(width-1 downto 0); b : in std_logic_vector(width-1 downto 0); c : in std_logic_vector(width-1 downto 0); d : in std_logic_vector(width-1 downto 0); e : in std_logic_vector(width-1 downto 0); f : in std_logic_vector(width-1 downto 0); g : in std_logic_vector(width-1 downto 0); h : in std_logic_vector(width-1 downto 0); sel : in std_logic_vector(2 downto 0); y : out std_logic_vector(width-1 downto 0) ); end mux8g; architecture mux8g_arch of mux8g is begin process(a, b, c, d, e, f, g, h, sel) begin case sel is when "000" => y <= a; when "001" => y <= b; when "010" => y <= c; when "011" => y <= d; when "100" => y <= e; when "101" => y <= f; when "110" => y <= g; when others => y <= h; end case; end process; end mux8g_arch;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.internoc_pack.all; entity internoc_ni_axi_master_v1_0_M00_AXI is generic ( -- Users to add parameters here C_IF00_DATA_WIDTH : integer := 8; C_PACKET_WIDTH : integer := 40; C_PACKET_ADDR_WIDTH : integer := 5; C_PACKET_DATA_WIDTH : integer := 16; C_PACKET_CTRL_WIDTH : integer := 3; C_AXI_PACKET_ADDR_OFFSET : integer := 16; -- The master generates the read and write addresses of width specified as C_M_AXI_ADDR_WIDTH. C_M_AXI_ADDR_WIDTH : integer := 5; -- The master issues write data and accept read data where the width of the data bus is C_M_AXI_DATA_WIDTH C_M_AXI_DATA_WIDTH : integer := 32 ); port ( -- Users to add ports here PACKET_TX : in std_logic_vector(C_PACKET_WIDTH-1 downto 0); SLV_TYPE : in std_logic_vector(2 downto 0); -- Initiate AXI transactions INIT_AXI_TXN : in std_logic; INIT_AXI_RXN : in std_logic; -- Asserts when ERROR is detected ERROR : out std_logic; -- Asserts when AXI transactions is complete TXN_DONE : out std_logic; RXN_DONE : out std_logic; RXN_DATA : out std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); -- User ports ends -- Do not modify the ports beyond this line -- AXI clock signal M_AXI_ACLK : in std_logic; -- AXI active low reset signal M_AXI_ARESETN : in std_logic; -- Master Interface Write Address Channel ports. Write address (issued by master) M_AXI_AWADDR : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); -- Write channel Protection type. -- This signal indicates the privilege and security level of the transaction, -- and whether the transaction is a data access or an instruction access. M_AXI_AWPROT : out std_logic_vector(2 downto 0); -- Write address valid. -- This signal indicates that the master signaling valid write address and control information. M_AXI_AWVALID : out std_logic; -- Write address ready. -- This signal indicates that the slave is ready to accept an address and associated control signals. M_AXI_AWREADY : in std_logic; -- Master Interface Write Data Channel ports. Write data (issued by master) M_AXI_WDATA : out std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); -- Write strobes. -- This signal indicates which byte lanes hold valid data. -- There is one write strobe bit for each eight bits of the write data bus. M_AXI_WSTRB : out std_logic_vector(C_M_AXI_DATA_WIDTH/8-1 downto 0); -- Write valid. This signal indicates that valid write data and strobes are available. M_AXI_WVALID : out std_logic; -- Write ready. This signal indicates that the slave can accept the write data. M_AXI_WREADY : in std_logic; -- Master Interface Write Response Channel ports. -- This signal indicates the status of the write transaction. M_AXI_BRESP : in std_logic_vector(1 downto 0); -- Write response valid. -- This signal indicates that the channel is signaling a valid write response M_AXI_BVALID : in std_logic; -- Response ready. This signal indicates that the master can accept a write response. M_AXI_BREADY : out std_logic; -- Master Interface Read Address Channel ports. Read address (issued by master) M_AXI_ARADDR : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); -- Protection type. -- This signal indicates the privilege and security level of the transaction, -- and whether the transaction is a data access or an instruction access. M_AXI_ARPROT : out std_logic_vector(2 downto 0); -- Read address valid. -- This signal indicates that the channel is signaling valid read address and control information. M_AXI_ARVALID : out std_logic; -- Read address ready. -- This signal indicates that the slave is ready to accept an address and associated control signals. M_AXI_ARREADY : in std_logic; -- Master Interface Read Data Channel ports. Read data (issued by slave) M_AXI_RDATA : in std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); -- Read response. This signal indicates the status of the read transfer. M_AXI_RRESP : in std_logic_vector(1 downto 0); -- Read valid. This signal indicates that the channel is signaling the required read data. M_AXI_RVALID : in std_logic; -- Read ready. This signal indicates that the master can accept the read data and response information. M_AXI_RREADY : out std_logic ); end internoc_ni_axi_master_v1_0_M00_AXI; architecture implementation of internoc_ni_axi_master_v1_0_M00_AXI is -- function called clogb2 that returns an integer which has the -- value of the ceiling of the log base 2 function clogb2 (bit_depth : integer) return integer is variable depth : integer := bit_depth; variable count : integer := 1; begin for clogb2 in 1 to bit_depth loop -- Works for up to 32 bit integers if (bit_depth <= 2) then count := 1; else if(depth <= 1) then count := count; else depth := depth / 2; count := count + 1; end if; end if; end loop; return(count); end; -- Example State machine to initialize counter, initialize write transactions, -- initialize read transactions and comparison of read data with the -- written data words. type state is ( IDLE, -- This state initiates AXI4Lite transaction -- after the state machine changes state to INIT_WRITE -- when there is 0 to 1 transition on INIT_AXI_TXN INIT_WRITE, -- This state initializes write transaction, -- once writes are done, the state machine -- changes state to OP_WRITE OP_WRITE, INIT_READ, -- This state initializes read transaction -- once reads are done, the state machine -- changes state to INIT_COMPARE OP_READ); signal mst_exec_state : state; -- AXI4LITE signals --write address valid signal axi_awvalid : std_logic; --write data valid signal axi_wvalid : std_logic; --read address valid signal axi_arvalid : std_logic; --read data acceptance signal axi_rready : std_logic; --write response acceptance signal axi_bready : std_logic; --write address signal axi_awaddr : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); --write data signal axi_wdata : std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); --read addresss signal axi_araddr : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); --Asserts when there is a write response error signal write_resp_error : std_logic; --Asserts when there is a read response error signal read_resp_error : std_logic; --A pulse to initiate a write transaction signal start_single_write : std_logic; --A pulse to initiate a read transaction signal start_single_read : std_logic; --register that marks the completion of a write trasactions. The number of write transaction is user selected by the parameter C_M_TRANSACTIONS_NUM. signal writes_done : std_logic; --register that marks the completion of a read trasactions. The number of read transaction is user selected by the parameter C_M_TRANSACTIONS_NUM signal reads_done : std_logic; --register data from AXI transaction signal reads_data : std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); --The error register is asserted when any of the write response error, read response error or the data mismatch flags are asserted. signal error_reg : std_logic; signal init_txn_ff : std_logic; signal init_txn_ff2 : std_logic; signal init_txn_pulse : std_logic; signal init_rxn_ff : std_logic; signal init_rxn_ff2 : std_logic; signal init_rxn_pulse : std_logic; alias packet_dest_data : std_logic_vector(C_PACKET_DATA_WIDTH-1 downto 0) is PACKET_TX(C_PACKET_DATA_WIDTH-1 downto 0); alias packet_dest_address : std_logic_vector(C_PACKET_ADDR_WIDTH-1 downto 0) is PACKET_TX(C_PACKET_DATA_WIDTH+C_PACKET_ADDR_WIDTH-1 downto C_PACKET_DATA_WIDTH); alias packet_byte_cnt : std_logic_vector(C_PACKET_CTRL_WIDTH-2 downto 0) is PACKET_TX(C_PACKET_WIDTH-2 downto C_PACKET_WIDTH-C_PACKET_CTRL_WIDTH); begin -- I/O Connections assignments --Adding the offset address to the base addr of the slave M_AXI_AWADDR <= axi_awaddr; --AXI 4 write data M_AXI_WDATA <= axi_wdata; M_AXI_AWPROT <= "000"; M_AXI_AWVALID <= axi_awvalid; --Write Data(W) M_AXI_WVALID <= axi_wvalid; --Set all byte strobes in this example -- M_AXI_WSTRB <= (others=>'1'); --Write Response (B) M_AXI_BREADY <= axi_bready; --Read Address (AR) M_AXI_ARADDR <= axi_araddr; M_AXI_ARVALID <= axi_arvalid; M_AXI_ARPROT <= "001"; --Read and Read Response (R) M_AXI_RREADY <= axi_rready; --AXI Master Write Slave Complete TXN_DONE <= writes_done; --AXI Master Read Slave Complete RXN_DONE <= reads_done; --Data Read from AXI Slave RXN_DATA <= reads_data; --Indicate an AXI transaction error ERROR <= error_reg; --Check for init transaction pulses init_txn_pulse <= ( not init_txn_ff2) and init_txn_ff; init_rxn_pulse <= ( not init_rxn_ff2) and init_rxn_ff; --Packet de-interleaving packet_deinterleave: process(PACKET_TX) begin axi_awaddr <= (others=>'0'); axi_araddr <= (others=>'0'); if SLV_TYPE=SPI_INTERFACE then --write address packet assign axi_awaddr(C_AXI_PACKET_ADDR_OFFSET+C_PACKET_ADDR_WIDTH-1 downto C_AXI_PACKET_ADDR_OFFSET) <= packet_dest_address; --write data packet assign axi_wdata <= packet_dest_data; --read address packet assign axi_araddr(C_AXI_PACKET_ADDR_OFFSET+C_PACKET_ADDR_WIDTH-1 downto C_AXI_PACKET_ADDR_OFFSET) <= packet_dest_address; --read data packet assign --not used case packet_byte_cnt is when "00"=> M_AXI_WSTRB <= "0001"; when "01"=> M_AXI_WSTRB <= "0011"; when "10"=> M_AXI_WSTRB <= "0111"; when "11"=> M_AXI_WSTRB <= "1111"; when others=> M_AXI_WSTRB <= "1111"; end case; else --write address packet assign axi_awaddr(C_AXI_PACKET_ADDR_OFFSET-1 downto 0) <= packet_dest_data(C_PACKET_DATA_WIDTH-1 downto 16); axi_awaddr(C_AXI_PACKET_ADDR_OFFSET+C_PACKET_ADDR_WIDTH-1 downto C_AXI_PACKET_ADDR_OFFSET) <= packet_dest_address; --write data packet assign axi_wdata <= packet_dest_data; --read address packet assign axi_araddr(C_AXI_PACKET_ADDR_OFFSET-1 downto 0) <= packet_dest_data(C_PACKET_DATA_WIDTH-1 downto 16); axi_araddr(C_AXI_PACKET_ADDR_OFFSET+C_PACKET_ADDR_WIDTH-1 downto C_AXI_PACKET_ADDR_OFFSET) <= packet_dest_address; --read data packet assign --not used M_AXI_WSTRB <= "0001"; end if; end process; --Generate a pulse to initiate AXI transaction. gen_txn_pulse: process(M_AXI_ACLK) begin if (rising_edge (M_AXI_ACLK)) then -- Initiates AXI transaction delay if (M_AXI_ARESETN = '0' ) then init_txn_ff <= '0'; init_txn_ff2 <= '0'; else init_txn_ff <= INIT_AXI_TXN; init_txn_ff2 <= init_rxn_ff; end if; end if; end process; gen_rxn_pulse: process(M_AXI_ACLK) begin if (rising_edge (M_AXI_ACLK)) then -- Initiates AXI transaction delay if (M_AXI_ARESETN = '0' ) then init_rxn_ff <= '0'; init_rxn_ff2 <= '0'; else init_rxn_ff <= INIT_AXI_RXN; init_rxn_ff2 <= init_rxn_ff; end if; end if; end process; ---------------------- --Write Address Channel ---------------------- -- The purpose of the write address channel is to request the address and -- command information for the entire transaction. It is a single beat -- of information. -- Note for this example the axi_awvalid/axi_wvalid are asserted at the same -- time, and then each is deasserted independent from each other. -- This is a lower-performance, but simplier control scheme. -- AXI VALID signals must be held active until accepted by the partner. -- A data transfer is accepted by the slave when a master has -- VALID data and the slave acknoledges it is also READY. While the master -- is allowed to generated multiple, back-to-back requests by not -- deasserting VALID, this design will add rest cycle for -- simplicity. -- Since only one outstanding transaction is issued by the user design, -- there will not be a collision between a new request and an accepted -- request on the same clock cycle. wr_addr_channel: process(M_AXI_ACLK) begin if (rising_edge (M_AXI_ACLK)) then --Only VALID signals must be deasserted during reset per AXI spec --Consider inverting then registering active-low reset for higher fmax if (M_AXI_ARESETN = '0' or init_txn_pulse = '1') then axi_awvalid <= '0'; else --Signal a new address/data command is available by user logic if (start_single_write = '1') then axi_awvalid <= '1'; elsif (M_AXI_AWREADY = '1' and axi_awvalid = '1') then --Address accepted by interconnect/slave (issue of M_AXI_AWREADY by slave) axi_awvalid <= '0'; end if; end if; end if; end process; ---------------------- --Write Data Channel ---------------------- --The write data channel is for transfering the actual data. --The data generation is speific to the example design, and --so only the WVALID/WREADY handshake is shown here wr_data_channel: process(M_AXI_ACLK) begin if (rising_edge (M_AXI_ACLK)) then if (M_AXI_ARESETN = '0' or init_txn_pulse = '1' ) then axi_wvalid <= '0'; else if (start_single_write = '1') then --Signal a new address/data command is available by user logic axi_wvalid <= '1'; elsif (M_AXI_WREADY = '1' and axi_wvalid = '1') then --Data accepted by interconnect/slave (issue of M_AXI_WREADY by slave) axi_wvalid <= '0'; end if; end if; end if; end process; ------------------------------ --Write Response (B) Channel ------------------------------ --The write response channel provides feedback that the write has committed --to memory. BREADY will occur after both the data and the write address --has arrived and been accepted by the slave, and can guarantee that no --other accesses launched afterwards will be able to be reordered before it. --The BRESP bit [1] is used indicate any errors from the interconnect or --slave for the entire write burst. This example will capture the error. --While not necessary per spec, it is advisable to reset READY signals in --case of differing reset latencies between master/slave. wr_resp_channel: process(M_AXI_ACLK) begin if (rising_edge (M_AXI_ACLK)) then if (M_AXI_ARESETN = '0' or init_txn_pulse = '1') then axi_bready <= '0'; else if (M_AXI_BVALID = '1' and axi_bready = '0') then -- accept/acknowledge bresp with axi_bready by the master -- when M_AXI_BVALID is asserted by slave axi_bready <= '1'; elsif (axi_bready = '1') then -- deassert after one clock cycle axi_bready <= '0'; end if; end if; end if; end process; --Flag write errors write_resp_error <= (axi_bready and M_AXI_BVALID and M_AXI_BRESP(1)); ------------------------------ --Read Address Channel ------------------------------ -- A new axi_arvalid is asserted when there is a valid read address -- available by the master. start_single_read triggers a new read -- transaction rd_addr_channel: process(M_AXI_ACLK) begin if (rising_edge (M_AXI_ACLK)) then if (M_AXI_ARESETN = '0' or init_txn_pulse = '1') then axi_arvalid <= '0'; else if (start_single_read = '1') then --Signal a new read address command is available by user logic axi_arvalid <= '1'; elsif (M_AXI_ARREADY = '1' and axi_arvalid = '1') then --RAddress accepted by interconnect/slave (issue of M_AXI_ARREADY by slave) axi_arvalid <= '0'; end if; end if; end if; end process; ---------------------------------- --Read Data (and Response) Channel ---------------------------------- --The Read Data channel returns the results of the read request --The master will accept the read data by asserting axi_rready --when there is a valid read data available. --While not necessary per spec, it is advisable to reset READY signals in --case of differing reset latencies between master/slave. rd_dataresp_channel: process(M_AXI_ACLK) begin if (rising_edge (M_AXI_ACLK)) then if (M_AXI_ARESETN = '0' or init_txn_pulse = '1') then axi_rready <= '1'; else if (M_AXI_RVALID = '1' and axi_rready = '0') then -- accept/acknowledge rdata/rresp with axi_rready by the master -- when M_AXI_RVALID is asserted by slave axi_rready <= '1'; elsif (axi_rready = '1') then -- deassert after one clock cycle axi_rready <= '0'; end if; end if; end if; end process; --Flag write errors read_resp_error <= (axi_rready and M_AXI_RVALID and M_AXI_RRESP(1)); ---------------------------------- --User Logic ---------------------------------- --implement master command interface state machine ctrl_master_fsm: process(M_AXI_ACLK) begin if (rising_edge (M_AXI_ACLK)) then if (M_AXI_ARESETN = '0' ) then -- reset condition -- All the signals are ed default values under reset condition mst_exec_state <= IDLE; start_single_write <= '0'; start_single_read <= '0'; else -- state transition case (mst_exec_state) is when IDLE => -- This state is responsible to initiate -- AXI transaction when init_txn_pulse is asserted reads_done <= '0'; writes_done <= '0'; if ( init_txn_pulse = '1') then mst_exec_state <= INIT_WRITE; elsif (init_rxn_pulse = '1') then mst_exec_state <= INIT_READ; else mst_exec_state <= IDLE; end if; when INIT_WRITE => start_single_write <= '1'; mst_exec_state <= OP_WRITE; when OP_WRITE=> start_single_write <= '0'; error_reg <= write_resp_error or read_resp_error; if (axi_bready = '1') then writes_done <= '1'; mst_exec_state <= IDLE; end if; when INIT_READ => start_single_read <= '1'; mst_exec_state <= OP_READ; when OP_READ=> start_single_read <= '0'; error_reg <= write_resp_error or read_resp_error; if (axi_rready = '1') then reads_done <= '1'; reads_data <= M_AXI_RDATA; mst_exec_state <= IDLE; end if; when others => mst_exec_state <= IDLE; end case; end if; end if; end process; -- Add user logic here -- User logic ends end implementation;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.internoc_pack.all; entity internoc_ni_axi_master_v1_0_M00_AXI is generic ( -- Users to add parameters here C_IF00_DATA_WIDTH : integer := 8; C_PACKET_WIDTH : integer := 40; C_PACKET_ADDR_WIDTH : integer := 5; C_PACKET_DATA_WIDTH : integer := 16; C_PACKET_CTRL_WIDTH : integer := 3; C_AXI_PACKET_ADDR_OFFSET : integer := 16; -- The master generates the read and write addresses of width specified as C_M_AXI_ADDR_WIDTH. C_M_AXI_ADDR_WIDTH : integer := 5; -- The master issues write data and accept read data where the width of the data bus is C_M_AXI_DATA_WIDTH C_M_AXI_DATA_WIDTH : integer := 32 ); port ( -- Users to add ports here PACKET_TX : in std_logic_vector(C_PACKET_WIDTH-1 downto 0); SLV_TYPE : in std_logic_vector(2 downto 0); -- Initiate AXI transactions INIT_AXI_TXN : in std_logic; INIT_AXI_RXN : in std_logic; -- Asserts when ERROR is detected ERROR : out std_logic; -- Asserts when AXI transactions is complete TXN_DONE : out std_logic; RXN_DONE : out std_logic; RXN_DATA : out std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); -- User ports ends -- Do not modify the ports beyond this line -- AXI clock signal M_AXI_ACLK : in std_logic; -- AXI active low reset signal M_AXI_ARESETN : in std_logic; -- Master Interface Write Address Channel ports. Write address (issued by master) M_AXI_AWADDR : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); -- Write channel Protection type. -- This signal indicates the privilege and security level of the transaction, -- and whether the transaction is a data access or an instruction access. M_AXI_AWPROT : out std_logic_vector(2 downto 0); -- Write address valid. -- This signal indicates that the master signaling valid write address and control information. M_AXI_AWVALID : out std_logic; -- Write address ready. -- This signal indicates that the slave is ready to accept an address and associated control signals. M_AXI_AWREADY : in std_logic; -- Master Interface Write Data Channel ports. Write data (issued by master) M_AXI_WDATA : out std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); -- Write strobes. -- This signal indicates which byte lanes hold valid data. -- There is one write strobe bit for each eight bits of the write data bus. M_AXI_WSTRB : out std_logic_vector(C_M_AXI_DATA_WIDTH/8-1 downto 0); -- Write valid. This signal indicates that valid write data and strobes are available. M_AXI_WVALID : out std_logic; -- Write ready. This signal indicates that the slave can accept the write data. M_AXI_WREADY : in std_logic; -- Master Interface Write Response Channel ports. -- This signal indicates the status of the write transaction. M_AXI_BRESP : in std_logic_vector(1 downto 0); -- Write response valid. -- This signal indicates that the channel is signaling a valid write response M_AXI_BVALID : in std_logic; -- Response ready. This signal indicates that the master can accept a write response. M_AXI_BREADY : out std_logic; -- Master Interface Read Address Channel ports. Read address (issued by master) M_AXI_ARADDR : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); -- Protection type. -- This signal indicates the privilege and security level of the transaction, -- and whether the transaction is a data access or an instruction access. M_AXI_ARPROT : out std_logic_vector(2 downto 0); -- Read address valid. -- This signal indicates that the channel is signaling valid read address and control information. M_AXI_ARVALID : out std_logic; -- Read address ready. -- This signal indicates that the slave is ready to accept an address and associated control signals. M_AXI_ARREADY : in std_logic; -- Master Interface Read Data Channel ports. Read data (issued by slave) M_AXI_RDATA : in std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); -- Read response. This signal indicates the status of the read transfer. M_AXI_RRESP : in std_logic_vector(1 downto 0); -- Read valid. This signal indicates that the channel is signaling the required read data. M_AXI_RVALID : in std_logic; -- Read ready. This signal indicates that the master can accept the read data and response information. M_AXI_RREADY : out std_logic ); end internoc_ni_axi_master_v1_0_M00_AXI; architecture implementation of internoc_ni_axi_master_v1_0_M00_AXI is -- function called clogb2 that returns an integer which has the -- value of the ceiling of the log base 2 function clogb2 (bit_depth : integer) return integer is variable depth : integer := bit_depth; variable count : integer := 1; begin for clogb2 in 1 to bit_depth loop -- Works for up to 32 bit integers if (bit_depth <= 2) then count := 1; else if(depth <= 1) then count := count; else depth := depth / 2; count := count + 1; end if; end if; end loop; return(count); end; -- Example State machine to initialize counter, initialize write transactions, -- initialize read transactions and comparison of read data with the -- written data words. type state is ( IDLE, -- This state initiates AXI4Lite transaction -- after the state machine changes state to INIT_WRITE -- when there is 0 to 1 transition on INIT_AXI_TXN INIT_WRITE, -- This state initializes write transaction, -- once writes are done, the state machine -- changes state to OP_WRITE OP_WRITE, INIT_READ, -- This state initializes read transaction -- once reads are done, the state machine -- changes state to INIT_COMPARE OP_READ); signal mst_exec_state : state; -- AXI4LITE signals --write address valid signal axi_awvalid : std_logic; --write data valid signal axi_wvalid : std_logic; --read address valid signal axi_arvalid : std_logic; --read data acceptance signal axi_rready : std_logic; --write response acceptance signal axi_bready : std_logic; --write address signal axi_awaddr : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); --write data signal axi_wdata : std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); --read addresss signal axi_araddr : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); --Asserts when there is a write response error signal write_resp_error : std_logic; --Asserts when there is a read response error signal read_resp_error : std_logic; --A pulse to initiate a write transaction signal start_single_write : std_logic; --A pulse to initiate a read transaction signal start_single_read : std_logic; --register that marks the completion of a write trasactions. The number of write transaction is user selected by the parameter C_M_TRANSACTIONS_NUM. signal writes_done : std_logic; --register that marks the completion of a read trasactions. The number of read transaction is user selected by the parameter C_M_TRANSACTIONS_NUM signal reads_done : std_logic; --register data from AXI transaction signal reads_data : std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); --The error register is asserted when any of the write response error, read response error or the data mismatch flags are asserted. signal error_reg : std_logic; signal init_txn_ff : std_logic; signal init_txn_ff2 : std_logic; signal init_txn_pulse : std_logic; signal init_rxn_ff : std_logic; signal init_rxn_ff2 : std_logic; signal init_rxn_pulse : std_logic; alias packet_dest_data : std_logic_vector(C_PACKET_DATA_WIDTH-1 downto 0) is PACKET_TX(C_PACKET_DATA_WIDTH-1 downto 0); alias packet_dest_address : std_logic_vector(C_PACKET_ADDR_WIDTH-1 downto 0) is PACKET_TX(C_PACKET_DATA_WIDTH+C_PACKET_ADDR_WIDTH-1 downto C_PACKET_DATA_WIDTH); alias packet_byte_cnt : std_logic_vector(C_PACKET_CTRL_WIDTH-2 downto 0) is PACKET_TX(C_PACKET_WIDTH-2 downto C_PACKET_WIDTH-C_PACKET_CTRL_WIDTH); begin -- I/O Connections assignments --Adding the offset address to the base addr of the slave M_AXI_AWADDR <= axi_awaddr; --AXI 4 write data M_AXI_WDATA <= axi_wdata; M_AXI_AWPROT <= "000"; M_AXI_AWVALID <= axi_awvalid; --Write Data(W) M_AXI_WVALID <= axi_wvalid; --Set all byte strobes in this example -- M_AXI_WSTRB <= (others=>'1'); --Write Response (B) M_AXI_BREADY <= axi_bready; --Read Address (AR) M_AXI_ARADDR <= axi_araddr; M_AXI_ARVALID <= axi_arvalid; M_AXI_ARPROT <= "001"; --Read and Read Response (R) M_AXI_RREADY <= axi_rready; --AXI Master Write Slave Complete TXN_DONE <= writes_done; --AXI Master Read Slave Complete RXN_DONE <= reads_done; --Data Read from AXI Slave RXN_DATA <= reads_data; --Indicate an AXI transaction error ERROR <= error_reg; --Check for init transaction pulses init_txn_pulse <= ( not init_txn_ff2) and init_txn_ff; init_rxn_pulse <= ( not init_rxn_ff2) and init_rxn_ff; --Packet de-interleaving packet_deinterleave: process(PACKET_TX) begin axi_awaddr <= (others=>'0'); axi_araddr <= (others=>'0'); if SLV_TYPE=SPI_INTERFACE then --write address packet assign axi_awaddr(C_AXI_PACKET_ADDR_OFFSET+C_PACKET_ADDR_WIDTH-1 downto C_AXI_PACKET_ADDR_OFFSET) <= packet_dest_address; --write data packet assign axi_wdata <= packet_dest_data; --read address packet assign axi_araddr(C_AXI_PACKET_ADDR_OFFSET+C_PACKET_ADDR_WIDTH-1 downto C_AXI_PACKET_ADDR_OFFSET) <= packet_dest_address; --read data packet assign --not used case packet_byte_cnt is when "00"=> M_AXI_WSTRB <= "0001"; when "01"=> M_AXI_WSTRB <= "0011"; when "10"=> M_AXI_WSTRB <= "0111"; when "11"=> M_AXI_WSTRB <= "1111"; when others=> M_AXI_WSTRB <= "1111"; end case; else --write address packet assign axi_awaddr(C_AXI_PACKET_ADDR_OFFSET-1 downto 0) <= packet_dest_data(C_PACKET_DATA_WIDTH-1 downto 16); axi_awaddr(C_AXI_PACKET_ADDR_OFFSET+C_PACKET_ADDR_WIDTH-1 downto C_AXI_PACKET_ADDR_OFFSET) <= packet_dest_address; --write data packet assign axi_wdata <= packet_dest_data; --read address packet assign axi_araddr(C_AXI_PACKET_ADDR_OFFSET-1 downto 0) <= packet_dest_data(C_PACKET_DATA_WIDTH-1 downto 16); axi_araddr(C_AXI_PACKET_ADDR_OFFSET+C_PACKET_ADDR_WIDTH-1 downto C_AXI_PACKET_ADDR_OFFSET) <= packet_dest_address; --read data packet assign --not used M_AXI_WSTRB <= "0001"; end if; end process; --Generate a pulse to initiate AXI transaction. gen_txn_pulse: process(M_AXI_ACLK) begin if (rising_edge (M_AXI_ACLK)) then -- Initiates AXI transaction delay if (M_AXI_ARESETN = '0' ) then init_txn_ff <= '0'; init_txn_ff2 <= '0'; else init_txn_ff <= INIT_AXI_TXN; init_txn_ff2 <= init_rxn_ff; end if; end if; end process; gen_rxn_pulse: process(M_AXI_ACLK) begin if (rising_edge (M_AXI_ACLK)) then -- Initiates AXI transaction delay if (M_AXI_ARESETN = '0' ) then init_rxn_ff <= '0'; init_rxn_ff2 <= '0'; else init_rxn_ff <= INIT_AXI_RXN; init_rxn_ff2 <= init_rxn_ff; end if; end if; end process; ---------------------- --Write Address Channel ---------------------- -- The purpose of the write address channel is to request the address and -- command information for the entire transaction. It is a single beat -- of information. -- Note for this example the axi_awvalid/axi_wvalid are asserted at the same -- time, and then each is deasserted independent from each other. -- This is a lower-performance, but simplier control scheme. -- AXI VALID signals must be held active until accepted by the partner. -- A data transfer is accepted by the slave when a master has -- VALID data and the slave acknoledges it is also READY. While the master -- is allowed to generated multiple, back-to-back requests by not -- deasserting VALID, this design will add rest cycle for -- simplicity. -- Since only one outstanding transaction is issued by the user design, -- there will not be a collision between a new request and an accepted -- request on the same clock cycle. wr_addr_channel: process(M_AXI_ACLK) begin if (rising_edge (M_AXI_ACLK)) then --Only VALID signals must be deasserted during reset per AXI spec --Consider inverting then registering active-low reset for higher fmax if (M_AXI_ARESETN = '0' or init_txn_pulse = '1') then axi_awvalid <= '0'; else --Signal a new address/data command is available by user logic if (start_single_write = '1') then axi_awvalid <= '1'; elsif (M_AXI_AWREADY = '1' and axi_awvalid = '1') then --Address accepted by interconnect/slave (issue of M_AXI_AWREADY by slave) axi_awvalid <= '0'; end if; end if; end if; end process; ---------------------- --Write Data Channel ---------------------- --The write data channel is for transfering the actual data. --The data generation is speific to the example design, and --so only the WVALID/WREADY handshake is shown here wr_data_channel: process(M_AXI_ACLK) begin if (rising_edge (M_AXI_ACLK)) then if (M_AXI_ARESETN = '0' or init_txn_pulse = '1' ) then axi_wvalid <= '0'; else if (start_single_write = '1') then --Signal a new address/data command is available by user logic axi_wvalid <= '1'; elsif (M_AXI_WREADY = '1' and axi_wvalid = '1') then --Data accepted by interconnect/slave (issue of M_AXI_WREADY by slave) axi_wvalid <= '0'; end if; end if; end if; end process; ------------------------------ --Write Response (B) Channel ------------------------------ --The write response channel provides feedback that the write has committed --to memory. BREADY will occur after both the data and the write address --has arrived and been accepted by the slave, and can guarantee that no --other accesses launched afterwards will be able to be reordered before it. --The BRESP bit [1] is used indicate any errors from the interconnect or --slave for the entire write burst. This example will capture the error. --While not necessary per spec, it is advisable to reset READY signals in --case of differing reset latencies between master/slave. wr_resp_channel: process(M_AXI_ACLK) begin if (rising_edge (M_AXI_ACLK)) then if (M_AXI_ARESETN = '0' or init_txn_pulse = '1') then axi_bready <= '0'; else if (M_AXI_BVALID = '1' and axi_bready = '0') then -- accept/acknowledge bresp with axi_bready by the master -- when M_AXI_BVALID is asserted by slave axi_bready <= '1'; elsif (axi_bready = '1') then -- deassert after one clock cycle axi_bready <= '0'; end if; end if; end if; end process; --Flag write errors write_resp_error <= (axi_bready and M_AXI_BVALID and M_AXI_BRESP(1)); ------------------------------ --Read Address Channel ------------------------------ -- A new axi_arvalid is asserted when there is a valid read address -- available by the master. start_single_read triggers a new read -- transaction rd_addr_channel: process(M_AXI_ACLK) begin if (rising_edge (M_AXI_ACLK)) then if (M_AXI_ARESETN = '0' or init_txn_pulse = '1') then axi_arvalid <= '0'; else if (start_single_read = '1') then --Signal a new read address command is available by user logic axi_arvalid <= '1'; elsif (M_AXI_ARREADY = '1' and axi_arvalid = '1') then --RAddress accepted by interconnect/slave (issue of M_AXI_ARREADY by slave) axi_arvalid <= '0'; end if; end if; end if; end process; ---------------------------------- --Read Data (and Response) Channel ---------------------------------- --The Read Data channel returns the results of the read request --The master will accept the read data by asserting axi_rready --when there is a valid read data available. --While not necessary per spec, it is advisable to reset READY signals in --case of differing reset latencies between master/slave. rd_dataresp_channel: process(M_AXI_ACLK) begin if (rising_edge (M_AXI_ACLK)) then if (M_AXI_ARESETN = '0' or init_txn_pulse = '1') then axi_rready <= '1'; else if (M_AXI_RVALID = '1' and axi_rready = '0') then -- accept/acknowledge rdata/rresp with axi_rready by the master -- when M_AXI_RVALID is asserted by slave axi_rready <= '1'; elsif (axi_rready = '1') then -- deassert after one clock cycle axi_rready <= '0'; end if; end if; end if; end process; --Flag write errors read_resp_error <= (axi_rready and M_AXI_RVALID and M_AXI_RRESP(1)); ---------------------------------- --User Logic ---------------------------------- --implement master command interface state machine ctrl_master_fsm: process(M_AXI_ACLK) begin if (rising_edge (M_AXI_ACLK)) then if (M_AXI_ARESETN = '0' ) then -- reset condition -- All the signals are ed default values under reset condition mst_exec_state <= IDLE; start_single_write <= '0'; start_single_read <= '0'; else -- state transition case (mst_exec_state) is when IDLE => -- This state is responsible to initiate -- AXI transaction when init_txn_pulse is asserted reads_done <= '0'; writes_done <= '0'; if ( init_txn_pulse = '1') then mst_exec_state <= INIT_WRITE; elsif (init_rxn_pulse = '1') then mst_exec_state <= INIT_READ; else mst_exec_state <= IDLE; end if; when INIT_WRITE => start_single_write <= '1'; mst_exec_state <= OP_WRITE; when OP_WRITE=> start_single_write <= '0'; error_reg <= write_resp_error or read_resp_error; if (axi_bready = '1') then writes_done <= '1'; mst_exec_state <= IDLE; end if; when INIT_READ => start_single_read <= '1'; mst_exec_state <= OP_READ; when OP_READ=> start_single_read <= '0'; error_reg <= write_resp_error or read_resp_error; if (axi_rready = '1') then reads_done <= '1'; reads_data <= M_AXI_RDATA; mst_exec_state <= IDLE; end if; when others => mst_exec_state <= IDLE; end case; end if; end if; end process; -- Add user logic here -- User logic ends end implementation;
-- ------------------------------------------------------------- -- -- Generated Configuration for ent_aa -- -- Generated -- by: wig -- on: Mon Jul 18 16:07:27 2005 -- cmd: h:/work/eclipse/mix/mix_0.pl -sheet HIER=HIER_MIXED -strip -nodelta ../../verilog.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: ent_aa-rtl-conf-c.vhd,v 1.4 2005/07/19 07:13:19 wig Exp $ -- $Date: 2005/07/19 07:13:19 $ -- $Log: ent_aa-rtl-conf-c.vhd,v $ -- Revision 1.4 2005/07/19 07:13:19 wig -- Update testcases. Added highlow/nolowbus -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.57 2005/07/18 08:58:22 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.36 , [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/conf -- -- Start of Generated Configuration ent_aa_rtl_conf / ent_aa -- configuration ent_aa_rtl_conf of ent_aa is for rtl -- Generated Configuration end for; end ent_aa_rtl_conf; -- -- End of Generated Configuration ent_aa_rtl_conf -- -- --!End of Configuration/ies -- --------------------------------------------------------------
-- The MIT License (MIT) -- -- Copyright (c) 2016 Jakub Cabal <[email protected]> -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE -- SOFTWARE. -- -- Website: https://github.com/jakubcabal/mig_ddr3_wrapper_virtex6 -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity TOP is generic( -- DO NOT CHANGE THESE VALUES! nCS_PER_RANK : integer := 1; -- # of unique CS outputs per Rank for phy. BANK_WIDTH : integer := 3; -- # of memory Bank Address bits. CK_WIDTH : integer := 1; -- # of CK/CK# outputs to memory. CKE_WIDTH : integer := 1; -- # of CKE outputs to memory. CS_WIDTH : integer := 1; -- # of unique CS outputs to memory. DM_WIDTH : integer := 8; -- # of Data Mask bits. DQ_WIDTH : integer := 64; -- # of Data (DQ) bits. DQS_WIDTH : integer := 8; -- # of DQS/DQS# bits. ROW_WIDTH : integer := 14; -- # of memory Row Address bits. -- ONLY FOR SIMULATION SIM_BYPASS_INIT_CAL : string := "OFF" ); Port ( ASYNC_RST : in std_logic; CLK_REF_P : in std_logic; CLK_REF_N : in std_logic; -- UART INTERFACE UART_TX : out std_logic; UART_RX : in std_logic; -- DDR3 DDR3_DQ : inout std_logic_vector(DQ_WIDTH-1 downto 0); DDR3_DM : out std_logic_vector(DM_WIDTH-1 downto 0); DDR3_ADDR : out std_logic_vector(ROW_WIDTH-1 downto 0); DDR3_BA : out std_logic_vector(BANK_WIDTH-1 downto 0); DDR3_RAS_N : out std_logic; DDR3_CAS_N : out std_logic; DDR3_WE_N : out std_logic; DDR3_RESET_N : out std_logic; DDR3_CS_N : out std_logic_vector((CS_WIDTH*nCS_PER_RANK)-1 downto 0); DDR3_ODT : out std_logic_vector((CS_WIDTH*nCS_PER_RANK)-1 downto 0); DDR3_CKE : out std_logic_vector(CKE_WIDTH-1 downto 0); DDR3_DQS_P : inout std_logic_vector(DQS_WIDTH-1 downto 0); DDR3_DQS_N : inout std_logic_vector(DQS_WIDTH-1 downto 0); DDR3_CK_P : out std_logic_vector(CK_WIDTH-1 downto 0); DDR3_CK_N : out std_logic_vector(CK_WIDTH-1 downto 0); PHY_INIT_DONE : out std_logic ); end TOP; architecture FULL of TOP is -- USER CLOCK AND RESET signal user_clk : std_logic; signal user_rst : std_logic; -- UART SIGNALS signal uart_data_out : std_logic_vector(7 downto 0); signal uart_data_vld : std_logic; signal uart_error : std_logic; signal uart_data_in : std_logic_vector(7 downto 0); signal uart_data_en : std_logic; signal uart_busy : std_logic; -- MIG WRAPPER SIGNALS signal mig_addr : std_logic_vector(24 downto 0); signal mig_ready : std_logic; signal mig_wr_data : std_logic_vector(511 downto 0); signal mig_wr_en : std_logic; signal mig_rd_en : std_logic; signal mig_rd_data : std_logic_vector(511 downto 0); signal mig_rd_data_vld : std_logic; begin -- ------------------------------------------------------------------------- -- UART MODULE -- ------------------------------------------------------------------------- uart_i: entity work.UART generic map ( BAUD_RATE => 115200, DATA_BITS => 8, PARITY_BIT => "even", CLK_FREQ => 200e6, INPUT_FIFO => False, -- Attention, FIFO does not yet work properly! FIFO_DEPTH => 256 ) port map ( CLK => user_clk, RST => user_rst, -- UART INTERFACE TX_UART => UART_TX, RX_UART => UART_RX, -- USER DATA OUTPUT INTERFACE DATA_OUT => uart_data_out, DATA_VLD => uart_data_vld, FRAME_ERROR => uart_error, -- USER DATA INPUT INTERFACE DATA_IN => uart_data_in, DATA_SEND => uart_data_en, BUSY => uart_busy ); -- ------------------------------------------------------------------------- -- DATA GENERATOR MODULE -- ------------------------------------------------------------------------- data_generator_i: entity work.DATA_GENERATOR port map ( -- CLOCK AND RESETS CLK => user_clk, RST => user_rst, -- USER INTERFACE TO UART MODULE UART_WR_DATA => uart_data_in, UART_WR_EN => uart_data_en, UART_BUSY => uart_busy, UART_RD_DATA => uart_data_out, UART_RD_DATA_VLD => uart_data_vld, UART_FRAME_ERROR => uart_error, -- MIG WRAPPER INTERFACE MIG_ADDR => mig_addr, MIG_READY => mig_ready, MIG_RD_EN => mig_rd_en, MIG_WR_EN => mig_wr_en, MIG_WR_DATA => mig_wr_data, MIG_RD_DATA => mig_rd_data, MIG_RD_DATA_VLD => mig_rd_data_vld ); -- ------------------------------------------------------------------------- -- MIG DDR3 WRAPPER MODULE -- ------------------------------------------------------------------------- mig_wrapper_i : entity work.MIG_WRAPPER generic map( nCS_PER_RANK => nCS_PER_RANK, BANK_WIDTH => BANK_WIDTH, CK_WIDTH => CK_WIDTH, CKE_WIDTH => CKE_WIDTH, CS_WIDTH => CS_WIDTH, DQ_WIDTH => DQ_WIDTH, DM_WIDTH => DM_WIDTH, DQS_WIDTH => DQS_WIDTH, ROW_WIDTH => ROW_WIDTH, SIM_BYPASS_INIT_CAL => SIM_BYPASS_INIT_CAL ) port map( -- CLOCK AND RESETS CLK_REF_P => CLK_REF_P, CLK_REF_N => CLK_REF_N, ASYNC_RST => ASYNC_RST, USER_CLK_OUT => user_clk, USER_RST_OUT => user_rst, -- USER INTERFACE MIG_ADDR => mig_addr, MIG_READY => mig_ready, MIG_RD_EN => mig_rd_en, MIG_WR_EN => mig_wr_en, MIG_WR_DATA => mig_wr_data, MIG_RD_DATA => mig_rd_data, MIG_RD_DATA_VLD => mig_rd_data_vld, -- DDR3 INTERFACE DDR3_DQ => DDR3_DQ, DDR3_DM => DDR3_DM, DDR3_ADDR => DDR3_ADDR, DDR3_BA => DDR3_BA, DDR3_RAS_N => DDR3_RAS_N, DDR3_CAS_N => DDR3_CAS_N, DDR3_WE_N => DDR3_WE_N, DDR3_RESET_N => DDR3_RESET_N, DDR3_CS_N => DDR3_CS_N, DDR3_ODT => DDR3_ODT, DDR3_CKE => DDR3_CKE, DDR3_DQS_P => DDR3_DQS_P, DDR3_DQS_N => DDR3_DQS_N, DDR3_CK_P => DDR3_CK_P, DDR3_CK_N => DDR3_CK_N, PHY_INIT_DONE => PHY_INIT_DONE ); end FULL;
-- ------------------------------------------------------------- -- -- File Name: hdlsrc/fft_16_bit/Complex3Multiply_block2.vhd -- Created: 2017-03-27 23:13:58 -- -- Generated by MATLAB 9.1 and HDL Coder 3.9 -- -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- -- Module: Complex3Multiply_block2 -- Source Path: fft_16_bit/FFT HDL Optimized/TWDLMULT_SDNF1_3/Complex3Multiply -- Hierarchy Level: 3 -- -- ------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; ENTITY Complex3Multiply_block2 IS PORT( clk : IN std_logic; reset : IN std_logic; enb : IN std_logic; din2_re_dly3 : IN std_logic_vector(19 DOWNTO 0); -- sfix20 din2_im_dly3 : IN std_logic_vector(19 DOWNTO 0); -- sfix20 di2_vld_dly3 : IN std_logic; twdl_3_6_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En15 twdl_3_6_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En15 softReset : IN std_logic; twdlXdin_6_re : OUT std_logic_vector(19 DOWNTO 0); -- sfix20 twdlXdin_6_im : OUT std_logic_vector(19 DOWNTO 0); -- sfix20 twdlXdin2_vld : OUT std_logic ); END Complex3Multiply_block2; ARCHITECTURE rtl OF Complex3Multiply_block2 IS -- Signals SIGNAL din2_re_dly3_signed : signed(19 DOWNTO 0); -- sfix20 SIGNAL din_re_reg : signed(19 DOWNTO 0); -- sfix20 SIGNAL din2_im_dly3_signed : signed(19 DOWNTO 0); -- sfix20 SIGNAL din_im_reg : signed(19 DOWNTO 0); -- sfix20 SIGNAL din_sum : signed(20 DOWNTO 0); -- sfix21 SIGNAL twdl_3_6_re_signed : signed(16 DOWNTO 0); -- sfix17_En15 SIGNAL twdl_re_reg : signed(16 DOWNTO 0); -- sfix17_En15 SIGNAL twdl_3_6_im_signed : signed(16 DOWNTO 0); -- sfix17_En15 SIGNAL twdl_im_reg : signed(16 DOWNTO 0); -- sfix17_En15 SIGNAL adder_add_cast : signed(17 DOWNTO 0); -- sfix18_En15 SIGNAL adder_add_cast_1 : signed(17 DOWNTO 0); -- sfix18_En15 SIGNAL twdl_sum : signed(17 DOWNTO 0); -- sfix18_En15 SIGNAL Complex3Multiply_din1_re_pipe1 : signed(19 DOWNTO 0); -- sfix20 SIGNAL Complex3Multiply_din1_im_pipe1 : signed(19 DOWNTO 0); -- sfix20 SIGNAL Complex3Multiply_din1_sum_pipe1 : signed(20 DOWNTO 0); -- sfix21 SIGNAL Complex3Multiply_prodOfRe_pipe1 : signed(36 DOWNTO 0); -- sfix37 SIGNAL Complex3Multiply_ProdOfIm_pipe1 : signed(36 DOWNTO 0); -- sfix37 SIGNAL Complex3Multiply_prodOfSum_pipe1 : signed(38 DOWNTO 0); -- sfix39 SIGNAL Complex3Multiply_twiddle_re_pipe1 : signed(16 DOWNTO 0); -- sfix17 SIGNAL Complex3Multiply_twiddle_im_pipe1 : signed(16 DOWNTO 0); -- sfix17 SIGNAL Complex3Multiply_twiddle_sum_pipe1 : signed(17 DOWNTO 0); -- sfix18 SIGNAL prodOfRe : signed(36 DOWNTO 0); -- sfix37_En15 SIGNAL prodOfIm : signed(36 DOWNTO 0); -- sfix37_En15 SIGNAL prodOfSum : signed(38 DOWNTO 0); -- sfix39_En15 SIGNAL din_vld_dly1 : std_logic; SIGNAL din_vld_dly2 : std_logic; SIGNAL din_vld_dly3 : std_logic; SIGNAL prod_vld : std_logic; SIGNAL Complex3Add_tmpResult_reg : signed(38 DOWNTO 0); -- sfix39 SIGNAL Complex3Add_multRes_re_reg1 : signed(37 DOWNTO 0); -- sfix38 SIGNAL Complex3Add_multRes_re_reg2 : signed(37 DOWNTO 0); -- sfix38 SIGNAL Complex3Add_multRes_im_reg : signed(39 DOWNTO 0); -- sfix40 SIGNAL Complex3Add_prod_vld_reg1 : std_logic; SIGNAL Complex3Add_prod_vld_reg2 : std_logic; SIGNAL Complex3Add_prodOfSum_reg : signed(38 DOWNTO 0); -- sfix39 SIGNAL Complex3Add_tmpResult_reg_next : signed(38 DOWNTO 0); -- sfix39_En15 SIGNAL Complex3Add_multRes_re_reg1_next : signed(37 DOWNTO 0); -- sfix38_En15 SIGNAL Complex3Add_multRes_re_reg2_next : signed(37 DOWNTO 0); -- sfix38_En15 SIGNAL Complex3Add_multRes_im_reg_next : signed(39 DOWNTO 0); -- sfix40_En15 SIGNAL Complex3Add_prod_vld_reg1_next : std_logic; SIGNAL Complex3Add_prod_vld_reg2_next : std_logic; SIGNAL Complex3Add_prodOfSum_reg_next : signed(38 DOWNTO 0); -- sfix39_En15 SIGNAL multResFP_re : signed(37 DOWNTO 0); -- sfix38_En15 SIGNAL multResFP_im : signed(39 DOWNTO 0); -- sfix40_En15 SIGNAL twdlXdin_6_re_tmp : signed(19 DOWNTO 0); -- sfix20 SIGNAL twdlXdin_6_im_tmp : signed(19 DOWNTO 0); -- sfix20 BEGIN din2_re_dly3_signed <= signed(din2_re_dly3); intdelay_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din_re_reg <= to_signed(16#00000#, 20); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN IF softReset = '1' THEN din_re_reg <= to_signed(16#00000#, 20); ELSE din_re_reg <= din2_re_dly3_signed; END IF; END IF; END IF; END PROCESS intdelay_process; din2_im_dly3_signed <= signed(din2_im_dly3); intdelay_1_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din_im_reg <= to_signed(16#00000#, 20); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN IF softReset = '1' THEN din_im_reg <= to_signed(16#00000#, 20); ELSE din_im_reg <= din2_im_dly3_signed; END IF; END IF; END IF; END PROCESS intdelay_1_process; din_sum <= resize(din_re_reg, 21) + resize(din_im_reg, 21); twdl_3_6_re_signed <= signed(twdl_3_6_re); intdelay_2_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN twdl_re_reg <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN IF softReset = '1' THEN twdl_re_reg <= to_signed(16#00000#, 17); ELSE twdl_re_reg <= twdl_3_6_re_signed; END IF; END IF; END IF; END PROCESS intdelay_2_process; twdl_3_6_im_signed <= signed(twdl_3_6_im); intdelay_3_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN twdl_im_reg <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN IF softReset = '1' THEN twdl_im_reg <= to_signed(16#00000#, 17); ELSE twdl_im_reg <= twdl_3_6_im_signed; END IF; END IF; END IF; END PROCESS intdelay_3_process; adder_add_cast <= resize(twdl_re_reg, 18); adder_add_cast_1 <= resize(twdl_im_reg, 18); twdl_sum <= adder_add_cast + adder_add_cast_1; -- Complex3Multiply Complex3Multiply_process : PROCESS (clk) BEGIN IF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN prodOfRe <= Complex3Multiply_prodOfRe_pipe1; prodOfIm <= Complex3Multiply_ProdOfIm_pipe1; prodOfSum <= Complex3Multiply_prodOfSum_pipe1; Complex3Multiply_twiddle_re_pipe1 <= twdl_re_reg; Complex3Multiply_twiddle_im_pipe1 <= twdl_im_reg; Complex3Multiply_twiddle_sum_pipe1 <= twdl_sum; Complex3Multiply_din1_re_pipe1 <= din_re_reg; Complex3Multiply_din1_im_pipe1 <= din_im_reg; Complex3Multiply_din1_sum_pipe1 <= din_sum; Complex3Multiply_prodOfRe_pipe1 <= Complex3Multiply_din1_re_pipe1 * Complex3Multiply_twiddle_re_pipe1; Complex3Multiply_ProdOfIm_pipe1 <= Complex3Multiply_din1_im_pipe1 * Complex3Multiply_twiddle_im_pipe1; Complex3Multiply_prodOfSum_pipe1 <= Complex3Multiply_din1_sum_pipe1 * Complex3Multiply_twiddle_sum_pipe1; END IF; END IF; END PROCESS Complex3Multiply_process; intdelay_4_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din_vld_dly1 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din_vld_dly1 <= di2_vld_dly3; END IF; END IF; END PROCESS intdelay_4_process; intdelay_5_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din_vld_dly2 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din_vld_dly2 <= din_vld_dly1; END IF; END IF; END PROCESS intdelay_5_process; intdelay_6_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din_vld_dly3 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din_vld_dly3 <= din_vld_dly2; END IF; END IF; END PROCESS intdelay_6_process; intdelay_7_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN prod_vld <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN prod_vld <= din_vld_dly3; END IF; END IF; END PROCESS intdelay_7_process; -- Complex3Add Complex3Add_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN Complex3Add_prodOfSum_reg <= to_signed(0, 39); Complex3Add_tmpResult_reg <= to_signed(0, 39); Complex3Add_multRes_re_reg1 <= to_signed(0, 38); Complex3Add_multRes_re_reg2 <= to_signed(0, 38); Complex3Add_multRes_im_reg <= to_signed(0, 40); Complex3Add_prod_vld_reg1 <= '0'; Complex3Add_prod_vld_reg2 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN Complex3Add_tmpResult_reg <= Complex3Add_tmpResult_reg_next; Complex3Add_multRes_re_reg1 <= Complex3Add_multRes_re_reg1_next; Complex3Add_multRes_re_reg2 <= Complex3Add_multRes_re_reg2_next; Complex3Add_multRes_im_reg <= Complex3Add_multRes_im_reg_next; Complex3Add_prod_vld_reg1 <= Complex3Add_prod_vld_reg1_next; Complex3Add_prod_vld_reg2 <= Complex3Add_prod_vld_reg2_next; Complex3Add_prodOfSum_reg <= Complex3Add_prodOfSum_reg_next; END IF; END IF; END PROCESS Complex3Add_process; Complex3Add_output : PROCESS (Complex3Add_tmpResult_reg, Complex3Add_multRes_re_reg1, Complex3Add_multRes_re_reg2, Complex3Add_multRes_im_reg, Complex3Add_prod_vld_reg1, Complex3Add_prod_vld_reg2, Complex3Add_prodOfSum_reg, prodOfRe, prodOfIm, prodOfSum, prod_vld) VARIABLE sub_cast : signed(37 DOWNTO 0); VARIABLE sub_cast_0 : signed(37 DOWNTO 0); VARIABLE sub_cast_1 : signed(39 DOWNTO 0); VARIABLE sub_cast_2 : signed(39 DOWNTO 0); VARIABLE add_cast : signed(37 DOWNTO 0); VARIABLE add_cast_0 : signed(37 DOWNTO 0); VARIABLE add_temp : signed(37 DOWNTO 0); BEGIN Complex3Add_tmpResult_reg_next <= Complex3Add_tmpResult_reg; Complex3Add_multRes_re_reg1_next <= Complex3Add_multRes_re_reg1; Complex3Add_prodOfSum_reg_next <= Complex3Add_prodOfSum_reg; Complex3Add_multRes_re_reg2_next <= Complex3Add_multRes_re_reg1; IF prod_vld = '1' THEN sub_cast := resize(prodOfRe, 38); sub_cast_0 := resize(prodOfIm, 38); Complex3Add_multRes_re_reg1_next <= sub_cast - sub_cast_0; END IF; sub_cast_1 := resize(Complex3Add_prodOfSum_reg, 40); sub_cast_2 := resize(Complex3Add_tmpResult_reg, 40); Complex3Add_multRes_im_reg_next <= sub_cast_1 - sub_cast_2; IF prod_vld = '1' THEN add_cast := resize(prodOfRe, 38); add_cast_0 := resize(prodOfIm, 38); add_temp := add_cast + add_cast_0; Complex3Add_tmpResult_reg_next <= resize(add_temp, 39); END IF; IF prod_vld = '1' THEN Complex3Add_prodOfSum_reg_next <= prodOfSum; END IF; Complex3Add_prod_vld_reg2_next <= Complex3Add_prod_vld_reg1; Complex3Add_prod_vld_reg1_next <= prod_vld; multResFP_re <= Complex3Add_multRes_re_reg2; multResFP_im <= Complex3Add_multRes_im_reg; twdlXdin2_vld <= Complex3Add_prod_vld_reg2; END PROCESS Complex3Add_output; twdlXdin_6_re_tmp <= multResFP_re(34 DOWNTO 15); twdlXdin_6_re <= std_logic_vector(twdlXdin_6_re_tmp); twdlXdin_6_im_tmp <= multResFP_im(34 DOWNTO 15); twdlXdin_6_im <= std_logic_vector(twdlXdin_6_im_tmp); END rtl;
---------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov --! @brief Virtual simple output buffer. ---------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity obuf_tech is generic ( generic_tech : integer := 0 ); port ( o : out std_logic; i : in std_logic ); end; architecture rtl of obuf_tech is component obuf_inferred is port ( o : out std_logic; i : in std_logic ); end component; component obuf_micron180 is port ( o : out std_logic; i : in std_logic ); end component; begin m180 : if generic_tech = mikron180 generate bufm : obuf_micron180 port map ( o => o, i => i ); end generate; inf0 : if generic_tech /= mikron180 generate bufinf : obuf_inferred port map ( o => o, i => i ); end generate; end;
-------------------------------------------------------------------------------- -- Copyright (c) 2019 David Banks -- -------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / -- \ \ \/ -- \ \ -- / / Filename : Z80CpuMon.vhd -- /___/ /\ Timestamp : 14/10/2018 -- \ \ / \ -- \___\/\___\ -- --Design Name: Z80CpuMon --Device: multiple library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity Z80CpuMon is generic ( ClkMult : integer; ClkDiv : integer; ClkPer : real; num_comparators : integer; avr_prog_mem_size : integer ); port ( clock : in std_logic; -- Z80 Signals RESET_n : in std_logic; CLK_n : in std_logic; WAIT_n : in std_logic; INT_n : in std_logic; NMI_n : in std_logic; BUSRQ_n : in std_logic; M1_n : out std_logic; MREQ_n : out std_logic; IORQ_n : out std_logic; RD_n : out std_logic; WR_n : out std_logic; RFSH_n : out std_logic; HALT_n : out std_logic; BUSAK_n : out std_logic; Addr : out std_logic_vector(15 downto 0); Data : inout std_logic_vector(7 downto 0); -- Buffer Control Signals DIRD : out std_logic; tristate_n : out std_logic; tristate_ad_n : out std_logic; -- Mode jumper, tie low to generate NOPs when paused mode : in std_logic; -- External trigger inputs trig : in std_logic_vector(1 downto 0); -- Serial Console avr_RxD : in std_logic; avr_TxD : out std_logic; -- Switches sw_reset_cpu : in std_logic; sw_reset_avr : in std_logic; -- LEDs led_bkpt : out std_logic; led_trig0 : out std_logic; led_trig1 : out std_logic; -- OHO_DY1 connected to test connector tmosi : out std_logic; tdin : out std_logic; tcclk : out std_logic; -- Debugging signals test1 : out std_logic; test2 : out std_logic; test3 : out std_logic; test4 : out std_logic ); end Z80CpuMon; architecture behavioral of Z80CpuMon is type state_type is (idle, nop_t1, nop_t2, nop_t3, nop_t4, rd_t1, rd_wa, rd_t2, rd_t3, wr_t1, wr_wa, wr_t2, wr_t3, busack); signal state : state_type; signal clock_avr : std_logic; signal cpu_reset_n : std_logic; signal cpu_clk : std_logic; signal cpu_clken : std_logic; signal busmon_clk : std_logic; signal Addr_int : std_logic_vector(15 downto 0); signal Addr1 : std_logic_vector(15 downto 0); signal Addr2 : std_logic_vector(15 downto 0); signal RD_n_int : std_logic; signal WR_n_int : std_logic; signal MREQ_n_int : std_logic; signal IORQ_n_int : std_logic; signal RFSH_n_int : std_logic; signal M1_n_int : std_logic; signal BUSAK_n_int : std_logic; signal WAIT_n_latched : std_logic; signal TState : std_logic_vector(2 downto 0); signal SS_Single : std_logic; signal SS_Step : std_logic; signal SS_Step_held : std_logic; signal CountCycle : std_logic; signal int_ctrl : std_logic_vector(7 downto 0); signal skipNextOpcode : std_logic; signal Regs : std_logic_vector(255 downto 0); signal PdcData : std_logic_vector(7 downto 0); signal io_not_mem : std_logic; signal io_rd : std_logic; signal io_wr : std_logic; signal memory_rd : std_logic; signal memory_wr : std_logic; signal memory_addr : std_logic_vector(15 downto 0); signal memory_dout : std_logic_vector(7 downto 0); signal memory_din : std_logic_vector(7 downto 0); signal memory_done : std_logic; signal io_rd1 : std_logic; signal io_wr1 : std_logic; signal memory_rd1 : std_logic; signal memory_wr1 : std_logic; signal mon_m1_n : std_logic; signal mon_xx_n : std_logic; -- shorten MREQ and RD in M1 NOP cycle signal mon_yy : std_logic; -- delay IORQ/RD/WR in IO cycle signal mon_mreq_n : std_logic; signal mon_iorq_n : std_logic; signal mon_rfsh_n : std_logic; signal mon_rd_n : std_logic; signal mon_wr_n : std_logic; signal mon_busak_n1 : std_logic; signal mon_busak_n2 : std_logic; signal mon_busak_n : std_logic; signal BUSRQ_n_sync : std_logic; signal INT_n_sync : std_logic; signal NMI_n_sync : std_logic; signal RESET_n_sync : std_logic; signal Read_n : std_logic; signal Read_n0 : std_logic; signal Write_n : std_logic; signal Write_n0 : std_logic; signal ReadIO_n : std_logic; signal ReadIO_n0 : std_logic; signal WriteIO_n : std_logic; signal WriteIO_n0 : std_logic; signal Sync : std_logic; signal Sync0 : std_logic; signal Sync1 : std_logic; signal Din : std_logic_vector(7 downto 0); signal Dout : std_logic_vector(7 downto 0); signal Den : std_logic; signal ex_data : std_logic_vector(7 downto 0); signal rd_data : std_logic_vector(7 downto 0); signal wr_data : std_logic_vector(7 downto 0); signal mon_data : std_logic_vector(7 downto 0); signal avr_TxD_int : std_logic; signal rfsh_addr : std_logic_vector(15 downto 0); begin -------------------------------------------------------- -- Clocking -------------------------------------------------------- inst_dcm0 : entity work.DCM0 generic map ( ClkMult => ClkMult, ClkDiv => ClkDiv, ClkPer => ClkPer ) port map( CLKIN_IN => clock, CLKFX_OUT => clock_avr ); cpu_clk <= CLK_n; busmon_clk <= CLK_n; -------------------------------------------------------- -- BusMonCore -------------------------------------------------------- mon : entity work.BusMonCore generic map ( num_comparators => num_comparators, avr_prog_mem_size => avr_prog_mem_size ) port map ( clock_avr => clock_avr, busmon_clk => busmon_clk, busmon_clken => '1', cpu_clk => cpu_clk, cpu_clken => '1', Addr => Addr_int, Data => mon_data, Rd_n => Read_n, Wr_n => Write_n, RdIO_n => ReadIO_n, WrIO_n => WriteIO_n, Sync => Sync, Rdy => open, nRSTin => RESET_n_sync, nRSTout => cpu_reset_n, CountCycle => CountCycle, trig => trig, avr_RxD => avr_RxD, avr_TxD => avr_TxD_int, sw_reset_cpu => sw_reset_cpu, sw_reset_avr => sw_reset_avr, led_bkpt => led_bkpt, led_trig0 => led_trig0, led_trig1 => led_trig1, tmosi => tmosi, tdin => tdin, tcclk => tcclk, Regs => Regs, PdcData => PdcData, RdMemOut => memory_rd, WrMemOut => memory_wr, RdIOOut => io_rd, WrIOOut => io_wr, AddrOut => memory_addr, DataOut => memory_dout, DataIn => memory_din, Done => memory_done, int_ctrl => int_ctrl, SS_Single => SS_Single, SS_Step => SS_Step ); -------------------------------------------------------- -- T80 -------------------------------------------------------- inst_t80: entity work.T80a port map ( TS => TState, Regs => Regs, PdcData => PdcData, RESET_n => cpu_reset_n, CLK_n => cpu_clk, CEN => cpu_clken, WAIT_n => WAIT_n, INT_n => INT_n_sync, NMI_n => NMI_n_sync, BUSRQ_n => BUSRQ_n, M1_n => M1_n_int, MREQ_n => MREQ_n_int, IORQ_n => IORQ_n_int, RD_n => RD_n_int, WR_n => WR_n_int, RFSH_n => RFSH_n_int, HALT_n => HALT_n, BUSAK_n => BUSAK_n_int, A => Addr_int, Din => Din, Dout => Dout, DEn => Den ); -------------------------------------------------------- -- Synchronise external interrupts -------------------------------------------------------- int_gen : process(CLK_n) begin if rising_edge(CLK_n) then if int_ctrl(1) = '1' then BUSRQ_n_sync <= int_ctrl(0); else BUSRQ_n_sync <= BUSRQ_n or (int_ctrl(0) and SS_single); end if; if int_ctrl(3) = '1' then INT_n_sync <= int_ctrl(2); else INT_n_sync <= INT_n or (int_ctrl(2) and SS_single); end if; if int_ctrl(5) = '1' then NMI_n_sync <= int_ctrl(4); else NMI_n_sync <= NMI_n or (int_ctrl(4) and SS_single); end if; if int_ctrl(7) = '1' then RESET_n_sync <= int_ctrl(6); else RESET_n_sync <= RESET_n or (int_ctrl(6) and SS_single); end if; end if; end process; -------------------------------------------------------- -- Z80 specific single step / breakpoint logic -------------------------------------------------------- CountCycle <= '1' when state = idle else '0'; -- The breakpoint logic stops the Z80 in M1/T3 using cpu_clken cpu_clken <= '0' when state = idle and SS_Single = '1' and Sync1 = '1' else '0' when state /= idle else '1'; -- Logic to ignore the second M1 in multi-byte opcodes skip_opcode_latch : process(CLK_n) begin if rising_edge(CLK_n) then if (M1_n_int = '0' and WAIT_n_latched = '1' and TState = "010") then if (skipNextOpcode = '0' and (Data = x"CB" or Data = x"DD" or Data = x"ED" or Data = x"FD")) then skipNextOpcode <= '1'; else skipNextOpcode <= '0'; end if; end if; end if; end process; -- For instruction breakpoints, we make the monitoring decision as early as possibe -- to allow time to stop the current instruction, which is possible because we don't -- really care about the data (it's re-read from memory by the disassembler). Sync0 <= '1' when WAIT_n = '1' and M1_n_int = '0' and TState = "010" and skipNextOpcode = '0' else '0'; -- For reads/write breakpoints we make the monitoring decision in the middle of T3 Read_n0 <= not ((not RD_n_int) and (not MREQ_n_int) and (M1_n_int)) when TState = "011" else '1'; Write_n0 <= not (( RD_n_int) and (not MREQ_n_int) and (M1_n_int)) when TState = "011" else '1'; ReadIO_n0 <= not ((not RD_n_int) and (not IORQ_n_int) and (M1_n_int)) when TState = "011" else '1'; WriteIO_n0 <= not (( RD_n_int) and (not IORQ_n_int) and (M1_n_int)) when TState = "011" else '1'; -- Hold the monitoring decision so it is valid on the rising edge of the clock -- For instruction fetches the monitor sees these at the end of T2 -- For reads and writes, the data is sampled in the middle of T3 so delay until end of T3 watch_gen : process(CLK_n) begin if falling_edge(CLK_n) then Sync <= Sync0; Read_n <= Read_n0; Write_n <= Write_n0; ReadIO_n <= ReadIO_n0; WriteIO_n <= WriteIO_n0; -- Latch wait seen by T80 on the falling edge, for use on the next rising edge WAIT_n_latched <= WAIT_n; end if; end process; -- Register the exec data on the rising edge of the clock at the end of T2 ex_data_latch : process(CLK_n) begin if rising_edge(CLK_n) then if Sync = '1' then ex_data <= Data; end if; end if; end process; -- Register the read data on the falling edge of clock in the middle of T3 rd_data_latch : process(CLK_n) begin if falling_edge(CLK_n) then if Read_n0 = '0' or ReadIO_n0 = '0' then rd_data <= Data; end if; memory_din <= Data; end if; end process; -- Register the read data on the falling edge of clock in the middle of T3 wr_data_latch : process(CLK_n) begin if falling_edge(CLK_n) then if Write_n0 = '0' or WriteIO_n0 = '0' then wr_data <= Data; end if; end if; end process; -- Mux the data seen by the bus monitor appropriately mon_data <= rd_data when Read_n = '0' or ReadIO_n = '0' else wr_data when Write_n = '0' or WriteIO_n = '0' else ex_data; -- Mark the memory access as done when t3 is reached memory_done <= '1' when state = rd_t3 or state = wr_t3 else '0'; -- Multiplex the bus control signals -- The _int versions come from the T80 -- The mon_ versions come from the state machine below MREQ_n <= MREQ_n_int when state = idle else mon_mreq_n and mon_xx_n; IORQ_n <= IORQ_n_int when state = idle else (mon_iorq_n or mon_yy); WR_n <= WR_n_int when state = idle else (mon_wr_n or mon_yy); RD_n <= RD_n_int when state = idle else (mon_rd_n or mon_yy) and mon_xx_n; RFSH_n <= RFSH_n_int when state = idle else mon_rfsh_n; M1_n <= M1_n_int when state = idle else mon_m1_n; Addr1 <= x"0000" when state = nop_t1 or state = nop_t2 else rfsh_addr when state = nop_t3 or state = nop_t4 else memory_addr when state /= idle else Addr_int; tristate_n <= BUSAK_n_int when state = idle else mon_busak_n1; BUSAK_n <= BUSAK_n_int when state = idle else mon_busak_n; -- Force the address and databus to tristate when reset is asserted tristate_ad_n <= '0' when RESET_n_sync = '0' else BUSAK_n_int when state = idle else mon_busak_n1; -- The Acorn Z80 Second Processor needs ~10ns of address hold time following M1 -- and MREQ being released at the start of T3. Otherwise, the ROM switching -- during NMI doesn't work reliably due to glitches. See: -- https://stardot.org.uk/forums/viewtopic.php?p=212096#p212096 -- -- Reordering the above Addr expression so Addr_int is last instead of -- first seems to fix the issue, but is clearly very dependent on how the Xilinx -- tools route the design. -- -- If the problem recurs, we should switch to something like: -- addr_delay : process(clock) begin if rising_edge(clock) then Addr2 <= Addr1; Addr <= Addr2; end if; end process; Data <= memory_dout when (state = wr_t1 and io_not_mem = '1') or state = wr_wa or state = wr_t2 or state = wr_t3 else Dout when state = idle and Den = '1' else (others => 'Z'); DIRD <= '0' when (state = wr_t1 and io_not_mem = '1') or state = wr_wa or state = wr_t2 or state = wr_t3 else '0' when state = idle and Den = '1' else '1'; Din <= Data; men_access_machine_rising : process(CLK_n, cpu_reset_n) begin if (cpu_reset_n = '0') then state <= idle; memory_rd1 <= '0'; memory_wr1 <= '0'; io_rd1 <= '0'; io_wr1 <= '0'; SS_Step_held <= '0'; mon_rfsh_n <= '1'; mon_m1_n <= '1'; mon_xx_n <= '1'; mon_yy <= '0'; mon_busak_n1 <= '1'; elsif rising_edge(CLK_n) then -- Extend the 1-cycle long request strobes from BusMonCore -- until we are ready to generate a bus cycle if memory_rd = '1' then memory_rd1 <= '1'; elsif state = rd_t1 then memory_rd1 <= '0'; end if; if memory_wr = '1' then memory_wr1 <= '1'; elsif state = wr_t1 then memory_wr1 <= '0'; end if; if io_rd = '1' then io_rd1 <= '1'; elsif state = rd_t1 then io_rd1 <= '0'; end if; if io_wr = '1' then io_wr1 <= '1'; elsif state = wr_t1 then io_wr1 <= '0'; end if; if SS_Step = '1' then SS_Step_held <= '1'; elsif state = idle then SS_Step_held <= '0'; end if; Sync1 <= Sync; -- Main state machine, generating refresh, read and write cycles -- (the timing should exactly match those of the Z80) case state is -- Idle is when T80 is running when idle => if SS_Single = '1' and Sync1 = '1' then -- Load the initial refresh address from I/R in the T80 rfsh_addr <= Regs(199 downto 192) & Regs(207 downto 200); -- Start genering NOP cycles mon_rfsh_n <= '0'; state <= nop_t3; end if; -- NOP cycle when nop_t1 => state <= nop_t2; -- Increment the refresh address (7 bits, just like the Z80) rfsh_addr(6 downto 0) <= rfsh_addr(6 downto 0) + 1; mon_xx_n <= mode; when nop_t2 => if WAIT_n_latched = '1' then mon_m1_n <= '1'; mon_xx_n <= '1'; if SS_Step_held = '1' or SS_Single = '0' then state <= idle; else mon_rfsh_n <= '0'; state <= nop_t3; end if; end if; when nop_t3 => state <= nop_t4; when nop_t4 => mon_rfsh_n <= '1'; -- Sample BUSRQ_n at the *start* of the final T-state -- (hence using BUSRQ_n_sync) if BUSRQ_n_sync = '0' then state <= busack; mon_busak_n1 <= '0'; elsif memory_wr1 = '1' or io_wr1 = '1' then state <= wr_t1; io_not_mem <= io_wr1; mon_yy <= io_wr1; elsif memory_rd1 = '1' or io_rd1 = '1' then state <= rd_t1; io_not_mem <= io_rd1; mon_yy <= io_rd1; else state <= nop_t1; mon_m1_n <= mode; end if; -- Read cycle when rd_t1 => mon_yy <= '0'; if io_not_mem = '1' then state <= rd_wa; else state <= rd_t2; end if; when rd_wa => state <= rd_t2; when rd_t2 => if WAIT_n_latched = '1' then state <= rd_t3; end if; when rd_t3 => -- Sample BUSRQ_n at the *start* of the final T-state -- (hence using BUSRQ_n_sync) if BUSRQ_n_sync = '0' then state <= busack; mon_busak_n1 <= '0'; else state <= nop_t1; mon_m1_n <= mode; end if; -- Write cycle when wr_t1 => mon_yy <= '0'; if io_not_mem = '1' then state <= wr_wa; else state <= wr_t2; end if; when wr_wa => state <= wr_t2; when wr_t2 => if WAIT_n_latched = '1' then state <= wr_t3; end if; when wr_t3 => -- Sample BUSRQ_n at the *start* of the final T-state -- (hence using BUSRQ_n_sync) if BUSRQ_n_sync = '0' then state <= busack; mon_busak_n1 <= '0'; else state <= nop_t1; mon_m1_n <= mode; end if; -- Bus Request/Ack cycle when busack => -- Release BUSAK_n on the next rising edge after BUSRQ_n seen -- (hence using BUSRQ_n) if BUSRQ_n_sync = '1' then state <= nop_t1; mon_m1_n <= mode; mon_busak_n1 <= '1'; end if; end case; end if; end process; men_access_machine_falling : process(CLK_n) begin if falling_edge(CLK_n) then -- For memory access cycles, mreq/iorq/rd/wr all change in the middle of -- the t state, so retime these on the falling edge of clock if state = rd_t1 or state = rd_wa or state = rd_t2 or state = wr_t1 or state = wr_wa or state = wr_t2 then if io_not_mem = '0' then -- Memory cycle mon_mreq_n <= '0'; mon_iorq_n <= '1'; else -- IO cycle mon_mreq_n <= '1'; mon_iorq_n <= '0'; end if; elsif (state = nop_t1 and mode = '0') or state = nop_t3 then -- M1 cycle mon_mreq_n <= '0'; mon_iorq_n <= '1'; else -- Idle cycle mon_mreq_n <= '1'; mon_iorq_n <= '1'; end if; -- Read strobe if (state = nop_t1 and mode = '0') or state = rd_t1 or state = rd_wa or state = rd_t2 then mon_rd_n <= '0'; else mon_rd_n <= '1'; end if; -- Write strobe if (state = wr_t1 and io_not_mem = '1') or state = wr_wa or state = wr_t2 then mon_wr_n <= '0'; else mon_wr_n <= '1'; end if; -- Half-cycle delayed version of BUSRQ_n_sync mon_busak_n2 <= BUSRQ_n_sync; end if; end process; mon_busak_n <= mon_busak_n1 or mon_busak_n2; avr_TxD <= avr_Txd_int; test1 <= Sync1; test2 <= TState(0); test3 <= TState(1); test4 <= TState(2); end behavioral;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:ov7670_controller:1.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_ov7670_controller_1_0 IS PORT ( clk : IN STD_LOGIC; resend : IN STD_LOGIC; config_finished : OUT STD_LOGIC; sioc : OUT STD_LOGIC; siod : INOUT STD_LOGIC; reset : OUT STD_LOGIC; pwdn : OUT STD_LOGIC; xclk : OUT STD_LOGIC ); END system_ov7670_controller_1_0; ARCHITECTURE system_ov7670_controller_1_0_arch OF system_ov7670_controller_1_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_ov7670_controller_1_0_arch: ARCHITECTURE IS "yes"; COMPONENT ov7670_controller IS PORT ( clk : IN STD_LOGIC; resend : IN STD_LOGIC; config_finished : OUT STD_LOGIC; sioc : OUT STD_LOGIC; siod : INOUT STD_LOGIC; reset : OUT STD_LOGIC; pwdn : OUT STD_LOGIC; xclk : OUT STD_LOGIC ); END COMPONENT ov7670_controller; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_ov7670_controller_1_0_arch: ARCHITECTURE IS "ov7670_controller,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_ov7670_controller_1_0_arch : ARCHITECTURE IS "system_ov7670_controller_1_0,ov7670_controller,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_ov7670_controller_1_0_arch: ARCHITECTURE IS "system_ov7670_controller_1_0,ov7670_controller,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=ov7670_controller,x_ipVersion=1.0,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF reset: SIGNAL IS "xilinx.com:signal:reset:1.0 reset RST"; BEGIN U0 : ov7670_controller PORT MAP ( clk => clk, resend => resend, config_finished => config_finished, sioc => sioc, siod => siod, reset => reset, pwdn => pwdn, xclk => xclk ); END system_ov7670_controller_1_0_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:ov7670_controller:1.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_ov7670_controller_1_0 IS PORT ( clk : IN STD_LOGIC; resend : IN STD_LOGIC; config_finished : OUT STD_LOGIC; sioc : OUT STD_LOGIC; siod : INOUT STD_LOGIC; reset : OUT STD_LOGIC; pwdn : OUT STD_LOGIC; xclk : OUT STD_LOGIC ); END system_ov7670_controller_1_0; ARCHITECTURE system_ov7670_controller_1_0_arch OF system_ov7670_controller_1_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_ov7670_controller_1_0_arch: ARCHITECTURE IS "yes"; COMPONENT ov7670_controller IS PORT ( clk : IN STD_LOGIC; resend : IN STD_LOGIC; config_finished : OUT STD_LOGIC; sioc : OUT STD_LOGIC; siod : INOUT STD_LOGIC; reset : OUT STD_LOGIC; pwdn : OUT STD_LOGIC; xclk : OUT STD_LOGIC ); END COMPONENT ov7670_controller; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_ov7670_controller_1_0_arch: ARCHITECTURE IS "ov7670_controller,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_ov7670_controller_1_0_arch : ARCHITECTURE IS "system_ov7670_controller_1_0,ov7670_controller,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_ov7670_controller_1_0_arch: ARCHITECTURE IS "system_ov7670_controller_1_0,ov7670_controller,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=ov7670_controller,x_ipVersion=1.0,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF reset: SIGNAL IS "xilinx.com:signal:reset:1.0 reset RST"; BEGIN U0 : ov7670_controller PORT MAP ( clk => clk, resend => resend, config_finished => config_finished, sioc => sioc, siod => siod, reset => reset, pwdn => pwdn, xclk => xclk ); END system_ov7670_controller_1_0_arch;
----------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov - [email protected] --! @brief System Top level modules and interconnect declarations. ----------------------------------------------------------------------------- --! Standard library. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library commonlib; use commonlib.types_common.all; --! Technology definition library. library techmap; use techmap.gencomp.all; --! CPU, System Bus and common peripheries library. library ambalib; use ambalib.types_amba4.all; --! @brief Declaration of components visible on SoC top level. package types_rocket is --! @name Scala inherited constants. --! @brief The following constants were define in Rocket-chip generator. --! @{ --! @brief Bits allocated for the memory tag value. --! @details This value is defined \i Config.scala and depends of others --! configuration paramters, like number of master, clients, channels --! and so on. It is not used in VHDL implemenation. constant MEM_TAG_BITS : integer := 6; --! @brief SCALA generated value. Not used in VHDL. constant MEM_ADDR_BITS : integer := 26; --! @} --! @name Rocket Chip interrupt pins --! --! Interrupts types: --! 1. Local (inside tile) Software interrupts --! 2. Local (inside tile) interrupts from timer --! 3. External (global) interrupts from PLIC (Platorm-Level Interrupt Controller). --! @} constant CFG_CORE_IRQ_DEBUG : integer := 0; --! Local Timer's interrupt (machine mode) constant CFG_CORE_IRQ_MTIP : integer := CFG_CORE_IRQ_DEBUG + 1; --! Local sofware interrupt (machine mode) constant CFG_CORE_IRQ_MSIP : integer := CFG_CORE_IRQ_MTIP + 1; --! External PLIC's interrupt (machine mode) constant CFG_CORE_IRQ_MEIP : integer := CFG_CORE_IRQ_MSIP + 1; --! External PLIC's interrupt (superuser mode) constant CFG_CORE_IRQ_SEIP : integer := CFG_CORE_IRQ_MEIP + 1; -- Total number of implemented interrupts constant CFG_CORE_IRQ_TOTAL : integer := CFG_CORE_IRQ_SEIP + 1; --! @} --! @name Memory Transaction types. --! @details TileLinkIO interface uses these constant to identify the payload --! size of the transaction. --! @{ constant MT_B : integer := 0; --! int8_t Memory Transaction. constant MT_H : integer := 1; --! int16_t Memory Transaction. constant MT_W : integer := 2; --! int32_t Memory Transaction. constant MT_D : integer := 3; --! int64_t Memory Transaction. constant MT_BU : integer := 4; --! uint8_t Memory Transaction. constant MT_HU : integer := 5; --! uint16_t Memory Transaction. constant MT_WU : integer := 6; --! uint32_t Memory Transaction. constant MT_Q : integer := 7; --! AXI data-width Memory Transaction (default 128-bits). --! @} --! @brief Memory operation types --! @details The union bits [5:1] contains information about current transaction constant M_XRD : std_logic_vector(4 downto 0) := "00000"; --! int load constant M_XWR : std_logic_vector(4 downto 0) := "00001"; --! int store constant M_PFR : std_logic_vector(4 downto 0) := "00010"; --! prefetch with intent to read constant M_PFW : std_logic_vector(4 downto 0) := "00011"; --! prefetch with intent to write constant M_XA_SWAP : std_logic_vector(4 downto 0) := "00100"; constant M_NOP : std_logic_vector(4 downto 0) := "00101"; constant M_XLR : std_logic_vector(4 downto 0) := "00110"; constant M_XSC : std_logic_vector(4 downto 0) := "00111"; constant M_XA_ADD : std_logic_vector(4 downto 0) := "01000"; constant M_XA_XOR : std_logic_vector(4 downto 0) := "01001"; constant M_XA_OR : std_logic_vector(4 downto 0) := "01010"; constant M_XA_AND : std_logic_vector(4 downto 0) := "01011"; constant M_XA_MIN : std_logic_vector(4 downto 0) := "01100"; constant M_XA_MAX : std_logic_vector(4 downto 0) := "01101"; constant M_XA_MINU : std_logic_vector(4 downto 0) := "01110"; constant M_XA_MAXU : std_logic_vector(4 downto 0) := "01111"; constant M_FLUSH : std_logic_vector(4 downto 0) := "10000"; --! write back dirty data and cede R/W permissions constant M_PRODUCE : std_logic_vector(4 downto 0) := "10001"; --! write back dirty data and cede W permissions constant M_CLEAN : std_logic_vector(4 downto 0) := "10011"; --! write back dirty data and retain R/W permissions function isAMO(cmd : std_logic_vector(4 downto 0)) return std_logic; --def isPrefetch(cmd: UInt) = cmd === M_PFR || cmd === M_PFW --def isRead(cmd: UInt) = cmd === M_XRD || cmd === M_XLR || cmd === M_XSC || isAMO(cmd) function isWrite(cmd : std_logic_vector(4 downto 0)) return std_logic; --def isWriteIntent(cmd: UInt) = isWrite(cmd) || cmd === M_PFW || cmd === M_XLR --! <Definitions.scala> Object Acquire {} constant ACQUIRE_GET_SINGLE_DATA_BEAT : std_logic_vector(2 downto 0) := "000"; -- Get a single beat of data constant ACQUIRE_GET_BLOCK_DATA : std_logic_vector(2 downto 0) := "001"; -- Get a whole block of data constant ACQUIRE_PUT_SINGLE_DATA_BEAT : std_logic_vector(2 downto 0) := "010"; -- Put a single beat of data. constant ACQUIRE_PUT_BLOCK_DATA : std_logic_vector(2 downto 0) := "011"; -- Put a whole block of data. constant ACQUIRE_PUT_ATOMIC_DATA : std_logic_vector(2 downto 0) := "100"; -- Performe an atomic memory op constant ACQUIRE_GET_PREFETCH_BLOCK : std_logic_vector(2 downto 0) := "101"; -- Prefetch a whole block of data constant ACQUIRE_PUT_PREFETCH_BLOCK : std_logic_vector(2 downto 0) := "110"; -- Prefetch a whole block of data, with intent to write --! <tilelink.scala> Object Grant {} constant GRANT_ACK_RELEASE : std_logic_vector(3 downto 0) := "0000"; -- For acking Releases constant GRANT_ACK_PREFETCH : std_logic_vector(3 downto 0) := "0001"; -- For acking any kind of Prefetch constant GRANT_ACK_NON_PREFETCH_PUT : std_logic_vector(3 downto 0) := "0011"; -- For acking any kind of non-prfetch Put constant GRANT_SINGLE_BEAT_GET : std_logic_vector(3 downto 0) := "0100"; -- Supplying a single beat of Get constant GRANT_BLOCK_GET : std_logic_vector(3 downto 0) := "0101"; -- Supplying all beats of a GetBlock --! MESI coherence constant CACHED_ACQUIRE_SHARED : std_logic_vector(2 downto 0) := "000"; -- get constant CACHED_ACQUIRE_EXCLUSIVE : std_logic_vector(2 downto 0) := "001"; -- put constant CACHED_GRANT_SHARED : std_logic_vector(3 downto 0) := "0000"; constant CACHED_GRANT_EXCLUSIVE : std_logic_vector(3 downto 0) := "0001"; constant CACHED_GRANT_EXCLUSIVE_ACK : std_logic_vector(3 downto 0) := "0010"; --! @brief Memory Operation size decoder --! @details TileLink bus has encoded Memory Operation size --! in the union[n+1:n] bits of the acquire request. --! @warning Sign bit isn't transmitted in union since 20160930. constant MEMOP_XSIZE_TOTAL : integer := 8; type memop_xsize_type is array (0 to MEMOP_XSIZE_TOTAL-1) of std_logic_vector(2 downto 0); constant opSizeToXSize : memop_xsize_type := ( MT_B => "000", MT_H => "001", MT_W => "010", MT_D => "011", MT_BU => "100", MT_HU => "101", MT_WU => "110", MT_Q => conv_std_logic_vector(log2(CFG_SYSBUS_DATA_BYTES),3) ); type tile_in_type is record a_ready : std_logic; b_valid : std_logic; b_opcode : std_logic_vector(2 downto 0); b_param : std_logic_vector(1 downto 0); b_size : std_logic_vector(3 downto 0); b_source : std_logic_vector(2 downto 0); b_address : std_logic_vector(31 downto 0); b_mask : std_logic_vector(7 downto 0); b_data : std_logic_vector(63 downto 0); c_ready : std_logic; d_valid : std_logic; d_opcode : std_logic_vector(2 downto 0); d_param : std_logic_vector(1 downto 0); d_size : std_logic_vector(3 downto 0); d_source : std_logic_vector(2 downto 0); d_sink : std_logic_vector(3 downto 0); d_addr_lo : std_logic_vector(2 downto 0); d_data : std_logic_vector(63 downto 0); d_error : std_logic; e_ready : std_logic; end record; type tile_out_type is record a_valid : std_logic; a_opcode : std_logic_vector(2 downto 0); a_param : std_logic_vector(2 downto 0); a_size : std_logic_vector(3 downto 0); a_source : std_logic_vector(2 downto 0); a_address : std_logic_vector(31 downto 0); a_mask : std_logic_vector(7 downto 0); a_data : std_logic_vector(63 downto 0); b_ready : std_logic; c_valid : std_logic; c_opcode : std_logic_vector(2 downto 0); c_param : std_logic_vector(2 downto 0); c_size : std_logic_vector(3 downto 0); c_source : std_logic_vector(2 downto 0); c_address : std_logic_vector(31 downto 0); c_data : std_logic_vector(63 downto 0); c_error : std_logic; d_ready : std_logic; e_valid : std_logic; e_sink : std_logic_vector(3 downto 0); end record; --! @brief Decode Acquire request from the Cached/Uncached TileLink --! @param[in] a_type Request type depends of the built_in flag --! @param[in] built_in This flag defines cached or uncached request. For --! the uncached this value is set to 1. --! @param[in] u Union bits. This value is decoding depending of --! types operation (rd/wr) and cached/uncached. procedure procedureDecodeTileAcquire ( a_type : in std_logic_vector(2 downto 0); built_in : in std_logic; u : in std_logic_vector(10 downto 0);--was 16 write : out std_logic; wmask : out std_logic_vector(CFG_SYSBUS_DATA_BYTES-1 downto 0); axi_sz : out std_logic_vector(2 downto 0); byte_addr : out std_logic_vector(2 downto 0); beat_cnt : out integer ); --! @brief RocketTile component declaration. --! @details This module implements Risc-V Core with L1-cache, --! branch predictor and other stuffs of the RocketTile. --! @param[in] xindex1 Cached Tile AXI master index --! @param[in] xindex2 Uncached Tile AXI master index --! @param[in] hartid Tile ID. At least 0 must be implemented. --! @param[in] reset_vector Reset instruction pointer value. --! @param[in] rst Reset signal with active HIGH level. --! @param[in] soft_rst Software Reset via DSU --! @param[in] clk_sys System clock (BUS/CPU clock). --! @param[in] slvo Bus-to-Slave device signals. --! @param[in] msti Bus-to-Master device signals. --! @param[out] msto1 CachedTile-to-Bus request signals. --! @param[out] msto2 UncachedTile-to-Bus request signals. --! @param[in] interrupts Interrupts line supported by Rocket chip. component rocket_l1only is generic ( hartid : integer := 0; reset_vector : integer := 16#1000# ); port ( nrst : in std_logic; clk_sys : in std_logic; msti1 : in axi4_master_in_type; msto1 : out axi4_master_out_type; mstcfg1 : out axi4_master_config_type; msti2 : in axi4_master_in_type; msto2 : out axi4_master_out_type; mstcfg2 : out axi4_master_config_type; interrupts : in std_logic_vector(CFG_CORE_IRQ_TOTAL-1 downto 0) ); end component; end; -- package declaration --! ----------------- package body types_rocket is function isAMO(cmd : std_logic_vector(4 downto 0)) return std_logic is variable t1 : std_logic; begin t1 := '0'; if cmd = M_XA_SWAP then t1 := '1'; end if; return (cmd(3) or t1); end; function isWrite(cmd : std_logic_vector(4 downto 0)) return std_logic is variable ret : std_logic; begin ret := isAMO(cmd); if cmd = M_XWR then ret := '1'; end if; if cmd = M_XSC then ret := '1'; end if; return (ret); end; --! @brief Decode Acquire request from the Cached/Uncached TileLink --! @param[in] a_type Request type depends of the built_in flag --! @param[in] built_in This flag defines cached or uncached request. For --! the uncached this value is set to 1. --! @param[in] u Union bits. This value is decoding depending of --! types operation (rd/wr) and cached/uncached. procedure procedureDecodeTileAcquire( a_type : in std_logic_vector(2 downto 0); built_in : in std_logic; u : in std_logic_vector(10 downto 0);--was 16 write : out std_logic; wmask : out std_logic_vector(CFG_SYSBUS_DATA_BYTES-1 downto 0); axi_sz : out std_logic_vector(2 downto 0); byte_addr : out std_logic_vector(2 downto 0); beat_cnt : out integer ) is begin if built_in = '1' then -- Cached request case a_type is when ACQUIRE_GET_SINGLE_DATA_BEAT => write := '0'; wmask := (others => '0'); --! union used as: --! addr[2:0] & op_sz[1:0] & mem_op_code[M_SZ-1:0] & alloc[0] --! [10:8][7:6][5:1][0] byte_addr := u(10 downto 8);--tst.block.byte_addr; axi_sz := opSizeToXSize(conv_integer(u(7 downto 6))); beat_cnt := 0; when ACQUIRE_GET_PREFETCH_BLOCK | ACQUIRE_PUT_PREFETCH_BLOCK | ACQUIRE_GET_BLOCK_DATA => -- cache line size / data bits width write := '0'; wmask := (others => '0'); byte_addr := (others => '0'); axi_sz := conv_std_logic_vector(CFG_SYSBUS_ADDR_OFFSET,3); beat_cnt := 7;--3;--tlDataBeats-1; when ACQUIRE_PUT_SINGLE_DATA_BEAT => -- Single beat data. write := '1'; --! union used as: --! wmask[log2(64)-1:0] & alloc[0] wmask := u(CFG_SYSBUS_DATA_BYTES downto 1); byte_addr := (others => '0'); axi_sz := conv_std_logic_vector(CFG_SYSBUS_ADDR_OFFSET,3); beat_cnt := 0; when ACQUIRE_PUT_BLOCK_DATA => -- Multibeat data. write := '1'; wmask := (others => '1'); byte_addr := (others => '0'); axi_sz := conv_std_logic_vector(CFG_SYSBUS_ADDR_OFFSET,3); beat_cnt := 7;--3;--tlDataBeats-1; when ACQUIRE_PUT_ATOMIC_DATA => -- Single beat data. 64 bits width write := '1'; --if CFG_NASTI_DATA_BITS = 128 then -- if u(12) = '0' then -- wmask(7 downto 0) := (others => '1'); -- wmask(15 downto 8) := (others => '0'); -- else -- wmask(7 downto 0) := (others => '0'); -- wmask(15 downto 8) := (others => '1'); -- end if; --else wmask := (others => '1'); --end if; byte_addr := (others => '0'); axi_sz := opSizeToXSize(conv_integer(u(7 downto 6))); beat_cnt := 0; when others => write := '0'; wmask := (others => '0'); byte_addr := (others => '0'); axi_sz := (others => '0'); beat_cnt := 0; end case; else --! built_in = '0' --! Cached request case a_type is when CACHED_ACQUIRE_SHARED => --! Uncore/coherence/Metadata.scala --! union = op_code[4:0] & '1'; write := '0'; wmask := (others => '0'); byte_addr := u(10 downto 8);--tst.block.byte_addr; axi_sz := opSizeToXSize(conv_integer(u(7 downto 6))); beat_cnt := 0; when CACHED_ACQUIRE_EXCLUSIVE => -- Single beat data. write := '1'; --! Uncore/coherence/Metadata.scala --! union = op_code[4:0] & '1'; --! unclear how to manage it. --wmask := u(CFG_NASTI_DATA_BYTES downto 1); wmask := (others => '1'); byte_addr := (others => '0'); axi_sz := conv_std_logic_vector(CFG_SYSBUS_ADDR_OFFSET,3); beat_cnt := 0; when others => write := '0'; wmask := (others => '0'); byte_addr := (others => '0'); axi_sz := (others => '0'); beat_cnt := 0; end case; end if; end procedure; end; -- package body
entity var2 is end; use work.pkg.all; architecture behav of var2 is begin process variable v1, v2 : rec_4; begin v2 := v1; wait; end process; end behav;
entity var2 is end; use work.pkg.all; architecture behav of var2 is begin process variable v1, v2 : rec_4; begin v2 := v1; wait; end process; end behav;
entity FIFO is end entity; architecture rtl of fifo is begin end architecture; entity FIFO is end entity; architecture rtl of fifo is begin end architecture;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: user.org:user:axi_nic:1.0 -- IP Revision: 11 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY sys_axi_nic_20_1 IS PORT ( RX_DATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); RX_VALID : IN STD_LOGIC; RX_READY : OUT STD_LOGIC; TX_DATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); TX_VALID : OUT STD_LOGIC; TX_READY : IN STD_LOGIC; s00_axi_aclk : IN STD_LOGIC; s00_axi_aresetn : IN STD_LOGIC; s00_axi_awaddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s00_axi_awvalid : IN STD_LOGIC; s00_axi_awready : OUT STD_LOGIC; s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_wvalid : IN STD_LOGIC; s00_axi_wready : OUT STD_LOGIC; s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s00_axi_bvalid : OUT STD_LOGIC; s00_axi_bready : IN STD_LOGIC; s00_axi_araddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s00_axi_arvalid : IN STD_LOGIC; s00_axi_arready : OUT STD_LOGIC; s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s00_axi_rvalid : OUT STD_LOGIC; s00_axi_rready : IN STD_LOGIC ); END sys_axi_nic_20_1; ARCHITECTURE sys_axi_nic_20_1_arch OF sys_axi_nic_20_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF sys_axi_nic_20_1_arch: ARCHITECTURE IS "yes"; COMPONENT nic_v1_0 IS GENERIC ( C_S00_AXI_DATA_WIDTH : INTEGER; C_S00_AXI_ADDR_WIDTH : INTEGER; USE_1K_NOT_4K_FIFO_DEPTH : BOOLEAN ); PORT ( RX_DATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); RX_VALID : IN STD_LOGIC; RX_READY : OUT STD_LOGIC; TX_DATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); TX_VALID : OUT STD_LOGIC; TX_READY : IN STD_LOGIC; s00_axi_aclk : IN STD_LOGIC; s00_axi_aresetn : IN STD_LOGIC; s00_axi_awaddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s00_axi_awvalid : IN STD_LOGIC; s00_axi_awready : OUT STD_LOGIC; s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_wvalid : IN STD_LOGIC; s00_axi_wready : OUT STD_LOGIC; s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s00_axi_bvalid : OUT STD_LOGIC; s00_axi_bready : IN STD_LOGIC; s00_axi_araddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s00_axi_arvalid : IN STD_LOGIC; s00_axi_arready : OUT STD_LOGIC; s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s00_axi_rvalid : OUT STD_LOGIC; s00_axi_rready : IN STD_LOGIC ); END COMPONENT nic_v1_0; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF RX_DATA: SIGNAL IS "xilinx.com:interface:axis:1.0 RX TDATA"; ATTRIBUTE X_INTERFACE_INFO OF RX_VALID: SIGNAL IS "xilinx.com:interface:axis:1.0 RX TVALID"; ATTRIBUTE X_INTERFACE_INFO OF RX_READY: SIGNAL IS "xilinx.com:interface:axis:1.0 RX TREADY"; ATTRIBUTE X_INTERFACE_INFO OF TX_DATA: SIGNAL IS "xilinx.com:interface:axis:1.0 TX TDATA"; ATTRIBUTE X_INTERFACE_INFO OF TX_VALID: SIGNAL IS "xilinx.com:interface:axis:1.0 TX TVALID"; ATTRIBUTE X_INTERFACE_INFO OF TX_READY: SIGNAL IS "xilinx.com:interface:axis:1.0 TX TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 s00_axi_aclk CLK"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 s00_axi_aresetn RST"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWPROT"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARPROT"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RREADY"; BEGIN U0 : nic_v1_0 GENERIC MAP ( C_S00_AXI_DATA_WIDTH => 32, C_S00_AXI_ADDR_WIDTH => 5, USE_1K_NOT_4K_FIFO_DEPTH => false ) PORT MAP ( RX_DATA => RX_DATA, RX_VALID => RX_VALID, RX_READY => RX_READY, TX_DATA => TX_DATA, TX_VALID => TX_VALID, TX_READY => TX_READY, s00_axi_aclk => s00_axi_aclk, s00_axi_aresetn => s00_axi_aresetn, s00_axi_awaddr => s00_axi_awaddr, s00_axi_awprot => s00_axi_awprot, s00_axi_awvalid => s00_axi_awvalid, s00_axi_awready => s00_axi_awready, s00_axi_wdata => s00_axi_wdata, s00_axi_wstrb => s00_axi_wstrb, s00_axi_wvalid => s00_axi_wvalid, s00_axi_wready => s00_axi_wready, s00_axi_bresp => s00_axi_bresp, s00_axi_bvalid => s00_axi_bvalid, s00_axi_bready => s00_axi_bready, s00_axi_araddr => s00_axi_araddr, s00_axi_arprot => s00_axi_arprot, s00_axi_arvalid => s00_axi_arvalid, s00_axi_arready => s00_axi_arready, s00_axi_rdata => s00_axi_rdata, s00_axi_rresp => s00_axi_rresp, s00_axi_rvalid => s00_axi_rvalid, s00_axi_rready => s00_axi_rready ); END sys_axi_nic_20_1_arch;
library ieee; use ieee.std_logic_1164.all; use work.arch_defs.all; entity PipeReg is generic ( BITS : natural := 32); port( data : in std_logic_vector(BITS-1 downto 0); enable : in std_logic; -- load/enable. clr : in std_logic; -- async. clear. clk : in std_logic; -- clock. output : out std_logic_vector(BITS-1 downto 0) -- output. ); end PipeReg; architecture behav of PipeReg is begin process(clk, clr) begin if clr = '1' then output <= (others => '0'); elsif rising_edge(clk) then if enable = '1' then output <= data; end if; end if; end process; end behav;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc31.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY vests35 IS END vests35; ARCHITECTURE c04s03b01x01p01n01i00031arch OF vests35 IS -- -- -- Declaration of composite types -- - array types and subtypes -- TYPE ut_chary IS ARRAY (CHARACTER RANGE <>) OF INTEGER; -- unconstrained array type TYPE ct_word IS ARRAY (0 TO 15) OF BIT; -- constrained array type SUBTYPE ust_subchary IS ut_chary; -- unconstrained array subtype SUBTYPE cst_str10 IS STRING ( 1 TO 10 ); -- constrained array subtype SUBTYPE cst_digit IS ut_chary ('0' TO '9'); -- constrained array subtype -- -- Declaration of composite types -- - records types and subtypes -- TYPE month_name IS (Jan, Feb, Mar, Apr, May, Jun, Jul, Aug, Sep, Oct, Nov, Dec ); TYPE rt_date IS RECORD day : INTEGER RANGE 1 TO 31; month : month_name; year : INTEGER RANGE 0 TO 4000; END RECORD; -- SUBTYPE rst_date IS rt_date; BEGIN TESTING: PROCESS -- -- Constant declarations - without range constraint -- CONSTANT STRING_con_1 : STRING := "sailing"; CONSTANT STRING_con_2 : STRING := ( 's', 'a', 'i', 'l', 'i', 'n', 'g'); CONSTANT BIT_VECTOR_con_1 : BIT_VECTOR := B"10101110"; CONSTANT BIT_VECTOR_con_2 : BIT_VECTOR := ( '1', '0', '1', '0', '1', '1', '1', '0'); CONSTANT ut_chary_con : ut_chary := ( 1, 2, 3, 9, 8, 7); CONSTANT ct_word_con : ct_word := ( '0', '0', '0', '0', '0', '0', '0', '0', '0', '0', '0', '0', '0', '0', '0', '0'); CONSTANT cst_str10_con_1 : cst_str10 := "abcdefghij"; CONSTANT cst_str10_con_2 : cst_str10 := ( 'a', 'b', 'c', 'd', 'e', 'f', 'g', 'h', 'i', 'j'); CONSTANT cst_digit_con : cst_digit := ( 0, 1, 2, 3, 4, 5, 6, 7, 8, 9); CONSTANT rt_date_con : rt_date := (1, Jan, 1989); CONSTANT rst_date_con : rst_date := (1, Apr, 2000); ---------------------------------------------------------------------------------------------------------- BEGIN ASSERT STRING_con_1(1) = 's' REPORT "STRING_con_1(1) not properly intialized" SEVERITY FAILURE; ASSERT STRING_con_1(2) = 'a' REPORT "STRING_con_1(2) not properly intialized" SEVERITY FAILURE; ASSERT STRING_con_1(3) = 'i' REPORT "STRING_con_1(3) not properly intialized" SEVERITY FAILURE; ASSERT STRING_con_1(4) = 'l' REPORT "STRING_con_1(4) not properly intialized" SEVERITY FAILURE; ASSERT STRING_con_1(5) = 'i' REPORT "STRING_con_1(5) not properly intialized" SEVERITY FAILURE; ASSERT STRING_con_1(6) = 'n' REPORT "STRING_con_1(6) not properly intialized" SEVERITY FAILURE; ASSERT STRING_con_1(7) = 'g' REPORT "STRING_con_1(7) not properly intialized" SEVERITY FAILURE; ASSERT STRING_con_2(1) = 's' REPORT "STRING_con_2(1) not properly intialized" SEVERITY FAILURE; ASSERT STRING_con_2(2) = 'a' REPORT "STRING_con_2(2) not properly intialized" SEVERITY FAILURE; ASSERT STRING_con_2(3) = 'i' REPORT "STRING_con_2(3) not properly intialized" SEVERITY FAILURE; ASSERT STRING_con_2(4) = 'l' REPORT "STRING_con_2(4) not properly intialized" SEVERITY FAILURE; ASSERT STRING_con_2(5) = 'i' REPORT "STRING_con_2(5) not properly intialized" SEVERITY FAILURE; ASSERT STRING_con_2(6) = 'n' REPORT "STRING_con_2(6) not properly intialized" SEVERITY FAILURE; ASSERT STRING_con_2(7) = 'g' REPORT "STRING_con_2(7) not properly intialized" SEVERITY FAILURE; ASSERT BIT_VECTOR_con_1(0) = '1' REPORT "BIT_VECTOR_con_1(1) not properly intialized" SEVERITY FAILURE; ASSERT BIT_VECTOR_con_1(1) = '0' REPORT "BIT_VECTOR_con_1(2) not properly intialized" SEVERITY FAILURE; ASSERT BIT_VECTOR_con_1(2) = '1' REPORT "BIT_VECTOR_con_1(3) not properly intialized" SEVERITY FAILURE; ASSERT BIT_VECTOR_con_1(3) = '0' REPORT "BIT_VECTOR_con_1(4) not properly intialized" SEVERITY FAILURE; ASSERT BIT_VECTOR_con_1(4) = '1' REPORT "BIT_VECTOR_con_1(5) not properly intialized" SEVERITY FAILURE; ASSERT BIT_VECTOR_con_1(5) = '1' REPORT "BIT_VECTOR_con_1(6) not properly intialized" SEVERITY FAILURE; ASSERT BIT_VECTOR_con_1(6) = '1' REPORT "BIT_VECTOR_con_1(7) not properly intialized" SEVERITY FAILURE; ASSERT BIT_VECTOR_con_1(7) = '0' REPORT "BIT_VECTOR_con_1(8) not properly intialized" SEVERITY FAILURE; ASSERT BIT_VECTOR_con_2(0) = '1' REPORT "BIT_VECTOR_con_2(1) not properly intialized" SEVERITY FAILURE; ASSERT BIT_VECTOR_con_2(1) = '0' REPORT "BIT_VECTOR_con_2(2) not properly intialized" SEVERITY FAILURE; ASSERT BIT_VECTOR_con_2(2) = '1' REPORT "BIT_VECTOR_con_2(3) not properly intialized" SEVERITY FAILURE; ASSERT BIT_VECTOR_con_2(3) = '0' REPORT "BIT_VECTOR_con_2(4) not properly intialized" SEVERITY FAILURE; ASSERT BIT_VECTOR_con_2(4) = '1' REPORT "BIT_VECTOR_con_2(5) not properly intialized" SEVERITY FAILURE; ASSERT BIT_VECTOR_con_2(5) = '1' REPORT "BIT_VECTOR_con_2(6) not properly intialized" SEVERITY FAILURE; ASSERT BIT_VECTOR_con_2(6) = '1' REPORT "BIT_VECTOR_con_2(7) not properly intialized" SEVERITY FAILURE; ASSERT BIT_VECTOR_con_2(7) = '0' REPORT "BIT_VECTOR_con_2(8) not properly intialized" SEVERITY FAILURE; ASSERT ut_chary_con(NUL) = 1 REPORT "ut_chary_con('a') not properly intialized" SEVERITY FAILURE; ASSERT ut_chary_con(SOH) = 2 REPORT "ut_chary_con('b') not properly intialized" SEVERITY FAILURE; ASSERT ut_chary_con(STX) = 3 REPORT "ut_chary_con('c') not properly intialized" SEVERITY FAILURE; ASSERT ut_chary_con(ETX) = 9 REPORT "ut_chary_con('d') not properly intialized" SEVERITY FAILURE; ASSERT ut_chary_con(EOT) = 8 REPORT "ut_chary_con('e') not properly intialized" SEVERITY FAILURE; ASSERT ut_chary_con(ENQ) = 7 REPORT "ut_chary_con('f') not properly intialized" SEVERITY FAILURE; FOR I IN 0 TO 15 LOOP ASSERT ct_word_con(I) = '0' REPORT "ct_word_con(I) not properly intialized" SEVERITY FAILURE; END LOOP; ASSERT cst_str10_con_1(1) = 'a' REPORT "cst_str10_con_1(1) not properly intialized" SEVERITY FAILURE; ASSERT cst_str10_con_1(2) = 'b' REPORT "cst_str10_con_1(2) not properly intialized" SEVERITY FAILURE; ASSERT cst_str10_con_1(3) = 'c' REPORT "cst_str10_con_1(3) not properly intialized" SEVERITY FAILURE; ASSERT cst_str10_con_1(4) = 'd' REPORT "cst_str10_con_1(4) not properly intialized" SEVERITY FAILURE; ASSERT cst_str10_con_1(5) = 'e' REPORT "cst_str10_con_1(5) not properly intialized" SEVERITY FAILURE; ASSERT cst_str10_con_1(6) = 'f' REPORT "cst_str10_con_1(6) not properly intialized" SEVERITY FAILURE; ASSERT cst_str10_con_1(7) = 'g' REPORT "cst_str10_con_1(7) not properly intialized" SEVERITY FAILURE; ASSERT cst_str10_con_1(8) = 'h' REPORT "cst_str10_con_1(8) not properly intialized" SEVERITY FAILURE; ASSERT cst_str10_con_1(9) = 'i' REPORT "cst_str10_con_1(9) not properly intialized" SEVERITY FAILURE; ASSERT cst_str10_con_1(10)= 'j' REPORT "cst_str10_con_1(10)not properly intialized" SEVERITY FAILURE; ASSERT cst_str10_con_2(1) = 'a' REPORT "cst_str10_con_2(1) not properly intialized" SEVERITY FAILURE; ASSERT cst_str10_con_2(2) = 'b' REPORT "cst_str10_con_2(2) not properly intialized" SEVERITY FAILURE; ASSERT cst_str10_con_2(3) = 'c' REPORT "cst_str10_con_2(3) not properly intialized" SEVERITY FAILURE; ASSERT cst_str10_con_2(4) = 'd' REPORT "cst_str10_con_2(4) not properly intialized" SEVERITY FAILURE; ASSERT cst_str10_con_2(5) = 'e' REPORT "cst_str10_con_2(5) not properly intialized" SEVERITY FAILURE; ASSERT cst_str10_con_2(6) = 'f' REPORT "cst_str10_con_2(6) not properly intialized" SEVERITY FAILURE; ASSERT cst_str10_con_2(7) = 'g' REPORT "cst_str10_con_2(7) not properly intialized" SEVERITY FAILURE; ASSERT cst_str10_con_2(8) = 'h' REPORT "cst_str10_con_2(8) not properly intialized" SEVERITY FAILURE; ASSERT cst_str10_con_2(9) = 'i' REPORT "cst_str10_con_2(9) not properly intialized" SEVERITY FAILURE; ASSERT cst_str10_con_2(10)= 'j' REPORT "cst_str10_con_2(10)not properly intialized" SEVERITY FAILURE; ASSERT cst_digit_con('0') = 0 REPORT "cst_digit_con('0') not properly intialized" SEVERITY FAILURE; ASSERT cst_digit_con('1') = 1 REPORT "cst_digit_con('1') not properly intialized" SEVERITY FAILURE; ASSERT cst_digit_con('2') = 2 REPORT "cst_digit_con('2') not properly intialized" SEVERITY FAILURE; ASSERT cst_digit_con('3') = 3 REPORT "cst_digit_con('3') not properly intialized" SEVERITY FAILURE; ASSERT cst_digit_con('4') = 4 REPORT "cst_digit_con('4') not properly intialized" SEVERITY FAILURE; ASSERT cst_digit_con('5') = 5 REPORT "cst_digit_con('5') not properly intialized" SEVERITY FAILURE; ASSERT cst_digit_con('6') = 6 REPORT "cst_digit_con('6') not properly intialized" SEVERITY FAILURE; ASSERT cst_digit_con('7') = 7 REPORT "cst_digit_con('7') not properly intialized" SEVERITY FAILURE; ASSERT cst_digit_con('8') = 8 REPORT "cst_digit_con('8') not properly intialized" SEVERITY FAILURE; ASSERT cst_digit_con('9') = 9 REPORT "cst_digit_con('9') not properly intialized" SEVERITY FAILURE; ASSERT rt_date_con.day = 1 REPORT "rt_date_con.day not properly intialized" SEVERITY FAILURE; ASSERT rt_date_con.month = Jan REPORT "rt_date_con.month not properly intialized" SEVERITY FAILURE; ASSERT rt_date_con.year = 1989 REPORT "rt_date_con.year not properly intialized" SEVERITY FAILURE; ASSERT rst_date_con.day = 1 REPORT "rst_date_con.day not properly intialized" SEVERITY FAILURE; ASSERT rst_date_con.month = Apr REPORT "rst_date_con.month not properly intialized" SEVERITY FAILURE; ASSERT rst_date_con.year = 2000 REPORT "rst_date_con.year not properly intialized" SEVERITY FAILURE; --------------------------------------------------------------------------------------------- assert NOT( STRING_con_1(1) = 's' and STRING_con_1(2) = 'a' and STRING_con_1(3) = 'i' and STRING_con_1(4) = 'l' and STRING_con_1(5) = 'i' and STRING_con_1(6) = 'n' and STRING_con_1(7) = 'g' and STRING_con_2(1) = 's' and STRING_con_2(2) = 'a' and STRING_con_2(3) = 'i' and STRING_con_2(4) = 'l' and STRING_con_2(5) = 'i' and STRING_con_2(6) = 'n' and STRING_con_2(7) = 'g' and BIT_VECTOR_con_1(0) = '1' and BIT_VECTOR_con_1(1) = '0' and BIT_VECTOR_con_1(2) = '1' and BIT_VECTOR_con_1(3) = '0' and BIT_VECTOR_con_1(4) = '1' and BIT_VECTOR_con_1(5) = '1' and BIT_VECTOR_con_1(6) = '1' and BIT_VECTOR_con_1(7) = '0' and BIT_VECTOR_con_2(0) = '1' and BIT_VECTOR_con_2(1) = '0' and BIT_VECTOR_con_2(2) = '1' and BIT_VECTOR_con_2(3) = '0' and BIT_VECTOR_con_2(4) = '1' and BIT_VECTOR_con_2(5) = '1' and BIT_VECTOR_con_2(6) = '1' and BIT_VECTOR_con_2(7) = '0' and ut_chary_con(NUL) = 1 and ut_chary_con(SOH) = 2 and ut_chary_con(STX) = 3 and ut_chary_con(ETX) = 9 and ut_chary_con(EOT) = 8 and ut_chary_con(ENQ) = 7 and ct_word_con(0) = '0' and ct_word_con(1) = '0' and ct_word_con(2) = '0' and ct_word_con(3) = '0' and ct_word_con(4) = '0' and ct_word_con(5) = '0' and ct_word_con(6) = '0' and ct_word_con(7) = '0' and ct_word_con(8) = '0' and ct_word_con(9) = '0' and ct_word_con(10) = '0' and ct_word_con(11) = '0' and ct_word_con(12) = '0' and ct_word_con(13) = '0' and ct_word_con(14) = '0' and ct_word_con(15) = '0' and cst_str10_con_1(1) = 'a' and cst_str10_con_1(2) = 'b' and cst_str10_con_1(3) = 'c' and cst_str10_con_1(4) = 'd' and cst_str10_con_1(5) = 'e' and cst_str10_con_1(6) = 'f' and cst_str10_con_1(7) = 'g' and cst_str10_con_1(8) = 'h' and cst_str10_con_1(9) = 'i' and cst_str10_con_1(10)= 'j' and cst_str10_con_2(1) = 'a' and cst_str10_con_2(2) = 'b' and cst_str10_con_2(3) = 'c' and cst_str10_con_2(4) = 'd' and cst_str10_con_2(5) = 'e' and cst_str10_con_2(6) = 'f' and cst_str10_con_2(7) = 'g' and cst_str10_con_2(8) = 'h' and cst_str10_con_2(9) = 'i' and cst_str10_con_2(10)= 'j' and cst_digit_con('0') = 0 and cst_digit_con('1') = 1 and cst_digit_con('2') = 2 and cst_digit_con('3') = 3 and cst_digit_con('4') = 4 and cst_digit_con('5') = 5 and cst_digit_con('6') = 6 and cst_digit_con('7') = 7 and cst_digit_con('8') = 8 and cst_digit_con('9') = 9 and rt_date_con.day = 1 and rt_date_con.month = Jan and rt_date_con.year = 1989 and rst_date_con.day = 1 and rst_date_con.month = Apr and rst_date_con.year = 2000 ) report "***PASSED TEST: /src/ch04/sc03/sb01/ss01/p001/s010101.vhd" severity NOTE; assert ( STRING_con_1(1) = 's' and STRING_con_1(2) = 'a' and STRING_con_1(3) = 'i' and STRING_con_1(4) = 'l' and STRING_con_1(5) = 'i' and STRING_con_1(6) = 'n' and STRING_con_1(7) = 'g' and STRING_con_2(1) = 's' and STRING_con_2(2) = 'a' and STRING_con_2(3) = 'i' and STRING_con_2(4) = 'l' and STRING_con_2(5) = 'i' and STRING_con_2(6) = 'n' and STRING_con_2(7) = 'g' and BIT_VECTOR_con_1(0) = '1' and BIT_VECTOR_con_1(1) = '0' and BIT_VECTOR_con_1(2) = '1' and BIT_VECTOR_con_1(3) = '0' and BIT_VECTOR_con_1(4) = '1' and BIT_VECTOR_con_1(5) = '1' and BIT_VECTOR_con_1(6) = '1' and BIT_VECTOR_con_1(7) = '0' and BIT_VECTOR_con_2(0) = '1' and BIT_VECTOR_con_2(1) = '0' and BIT_VECTOR_con_2(2) = '1' and BIT_VECTOR_con_2(3) = '0' and BIT_VECTOR_con_2(4) = '1' and BIT_VECTOR_con_2(5) = '1' and BIT_VECTOR_con_2(6) = '1' and BIT_VECTOR_con_2(7) = '0' and ut_chary_con(NUL) = 1 and ut_chary_con(SOH) = 2 and ut_chary_con(STX) = 3 and ut_chary_con(ETX) = 9 and ut_chary_con(EOT) = 8 and ut_chary_con(ENQ) = 7 and ct_word_con(0) = '0' and ct_word_con(1) = '0' and ct_word_con(2) = '0' and ct_word_con(3) = '0' and ct_word_con(4) = '0' and ct_word_con(5) = '0' and ct_word_con(6) = '0' and ct_word_con(7) = '0' and ct_word_con(8) = '0' and ct_word_con(9) = '0' and ct_word_con(10) = '0' and ct_word_con(11) = '0' and ct_word_con(12) = '0' and ct_word_con(13) = '0' and ct_word_con(14) = '0' and ct_word_con(15) = '0' and cst_str10_con_1(1) = 'a' and cst_str10_con_1(2) = 'b' and cst_str10_con_1(3) = 'c' and cst_str10_con_1(4) = 'd' and cst_str10_con_1(5) = 'e' and cst_str10_con_1(6) = 'f' and cst_str10_con_1(7) = 'g' and cst_str10_con_1(8) = 'h' and cst_str10_con_1(9) = 'i' and cst_str10_con_1(10)= 'j' and cst_str10_con_2(1) = 'a' and cst_str10_con_2(2) = 'b' and cst_str10_con_2(3) = 'c' and cst_str10_con_2(4) = 'd' and cst_str10_con_2(5) = 'e' and cst_str10_con_2(6) = 'f' and cst_str10_con_2(7) = 'g' and cst_str10_con_2(8) = 'h' and cst_str10_con_2(9) = 'i' and cst_str10_con_2(10)= 'j' and cst_digit_con('0') = 0 and cst_digit_con('1') = 1 and cst_digit_con('2') = 2 and cst_digit_con('3') = 3 and cst_digit_con('4') = 4 and cst_digit_con('5') = 5 and cst_digit_con('6') = 6 and cst_digit_con('7') = 7 and cst_digit_con('8') = 8 and cst_digit_con('9') = 9 and rt_date_con.day = 1 and rt_date_con.month = Jan and rt_date_con.year = 1989 and rst_date_con.day = 1 and rst_date_con.month = Apr and rst_date_con.year = 2000 ) report "***FAILED TEST: c04s03b01x01p01n01i00031 - A constant declares a constant of the specified type." severity ERROR; wait; END PROCESS TESTING; END c04s03b01x01p01n01i00031arch;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: txreg_dgen.vhd -- -- Description: -- Used for write interface stimulus generation -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.txreg_pkg.ALL; ENTITY txreg_dgen IS GENERIC ( C_DIN_WIDTH : INTEGER := 32; C_DOUT_WIDTH : INTEGER := 32; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT ( RESET : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; PRC_WR_EN : IN STD_LOGIC; FULL : IN STD_LOGIC; WR_EN : OUT STD_LOGIC; WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) ); END ENTITY; ARCHITECTURE fg_dg_arch OF txreg_dgen IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); SIGNAL pr_w_en : STD_LOGIC := '0'; SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); BEGIN WR_EN <= PRC_WR_EN ; WR_DATA <= wr_data_i AFTER 100 ns; ---------------------------------------------- -- Generation of DATA ---------------------------------------------- gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE rd_gen_inst1:txreg_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+N ) PORT MAP( CLK => WR_CLK, RESET => RESET, RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), ENABLE => pr_w_en ); END GENERATE; pr_w_en <= PRC_WR_EN AND NOT FULL; wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0); END ARCHITECTURE;
------------------------------------------------------------------------------ -- Context scheduler -- -- Project : -- URL : $URL: $ -- Author : Christian Plessl <[email protected]> -- Company : Swiss Federal Institute of Technology (ETH) Zurich -- Last changed: $Id: $ ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.AuxPkg.all; use work.archConfigPkg.all; use work.ZArchPkg.all; use work.ComponentsPkg.all; use work.ConfigPkg.all; entity Scheduler is port ( ClkxC : in std_logic; RstxRB : in std_logic; SchedulerSelectxSI : in std_logic; SchedContextSequencerxDI : in EngineScheduleControlType; SchedTemporalPartitioningxDI : in EngineScheduleControlType; EngineScheduleControlxEO : out EngineScheduleControlType ); begin end Scheduler; architecture arch of Scheduler is begin -- arch process (SchedContextSequencerxDI, SchedTemporalPartitioningxDI, SchedulerSelectxSI) begin if (SchedulerSelectxSI = '0') then EngineScheduleControlxEO <= SchedContextSequencerxDI; else EngineScheduleControlxEO <= SchedTemporalPartitioningxDI; end if; end process; end arch;
-- File name: pcie_top.vhd -- Created: 2009-04-13 -- Author: Jevin Sweval -- Lab Section: 337-02 -- Version: 1.0 Initial Design Entry -- Description: PCIe top level use work.pcie.all; use work.aes.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity pcie_top is port ( clk : in std_logic; nrst : in std_logic; rx_data : in byte; -- rx_data_k : in std_logic; -- rx_status : in std_logic_vector(2 downto 0); -- rx_elec_idle : in std_logic; phy_status : in std_logic; rx_valid : in std_logic; -- tx_data_aes : in byte; aes_done : in std_logic; -- tx_detect_rx : out std_logic; tx_elec_idle : out std_logic; tx_comp : out std_logic; rx_pol : out std_logic; power_down : out std_logic_vector(1 downto 0); tx_data : out byte; -- tx_data_k : out std_logic; -- got_key : out std_logic; -- got_pt : out std_logic;-- send_ct : out std_logic-- ); end entity pcie_top; architecture structural of pcie_top is signal state_d, state_q : state_type; signal subblock : subblock_type; signal i : g_index; signal num_shifts : index; signal filtered : slice; signal round_num : round_type; signal round_key : key_type; signal enc_key : key_type; signal sub_bytes_out : byte; signal shift_rows_out : row; signal mix_columns_out : col; signal add_round_key_out : byte; signal load_out : byte; signal filtered_key : byte; signal start_key : std_logic; signal key_done : std_logic; signal sbox_lookup : byte; begin tx_elec_idle <= '0'; tx_detect_rx <= '0'; tx_comp <= '0'; rx_pol <= '0'; power_down <= "00"; bridge_b : entity work.bridge(behavioral) port map ( clk => clk, nrst => nrst, rx_data => rx_data, tx_data_aes => tx_data_aes, rx_data_k => rx_data_k, tx_data => tx_data, tx_data_k => tx_data_k, got_key => got_key, got_pt => got_pt, send_ct => send_ct, aes_done => aes_done ); end architecture structural;
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2014.1 (lin64) Build 881834 Fri Apr 4 14:00:25 MDT 2014 -- Date : Mon May 26 11:13:41 2014 -- Host : macbook running 64-bit Arch Linux -- Command : write_vhdl -force -mode synth_stub /home/keith/Documents/VHDL-lib/top/stereo_radio/ip/bram/bram_stub.vhdl -- Design : bram -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity bram is Port ( clka : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 15 downto 0 ); clkb : in STD_LOGIC; addrb : in STD_LOGIC_VECTOR ( 10 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 15 downto 0 ) ); end bram; architecture stub of bram is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clka,wea[0:0],addra[10:0],dina[15:0],clkb,addrb[10:0],doutb[15:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "blk_mem_gen_v8_2,Vivado 2014.1"; begin end;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library unisim; use unisim.BUFG; -- pragma translate_on library techmap; use techmap.gencomp.all; use techmap.allclkgen.all; entity vga_clkgen is port ( resetn : in std_logic; sel : in std_logic_vector(1 downto 0); clk25 : in std_logic; clk50 : in std_logic; clkout : out std_logic ); end; architecture struct of vga_clkgen is component BUFG port ( O : out std_logic; I : in std_logic); end component; signal clk65, clksel : std_logic; begin -- 65 MHz clock generator clkgen65 : clkmul_virtex2 generic map (13, 5) port map (resetn, clk25, clk65); clk_select : process (clk25, clk50, clk65, sel) begin case sel is when "00" => clksel <= clk25; when "01" => clksel <= clk50; when "10" => clksel <= clk65; when others => clksel <= '0'; end case; end process; bufg1 : BUFG port map (I => clksel, O => clkout); end;
------------------------------------------------------------------------------- -- Entity: rom -- Author: Waj -- Date : 11-May-13, 26-May-13 ------------------------------------------------------------------------------- -- Description: (ECS Uebung 9) -- Program memory for simple von-Neumann MCU with registerd read data output. ------------------------------------------------------------------------------- -- Total # of FFs: DW ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.mcu_pkg.all; entity rom is port(clk : in std_logic; -- ROM bus signals bus_in : in t_bus2ros; bus_out : out t_ros2bus ); end rom; architecture rtl of rom is type t_rom is array (0 to 2**AWL-1) of std_logic_vector(DW-1 downto 0); constant rom_table : t_rom := ( --------------------------------------------------------------------------- -- program code ----------------------------------------------------------- --------------------------------------------------------------------------- -- addr Opcode Rdest Rsrc1 Rsrc2 description --------------------------------------------------------------------------- 0 => OPC(setil) & reg(0) & "01000000", -- r0 = r0 + "01000000" 1 => OPC(setil) & reg(1) & "01000001", -- r1 = r1 + "01000001" 2 => OPC(setil) & reg(2) & "01000010", -- r2 = r2 + "01000010" 3 => OPC(setil) & reg(6) & "00000001", -- r6 = r6 + "00000001" 4 => OPC(setih) & reg(6) & "11110000", -- r6 = r6 + "11110000" 5 => OPC(setil) & reg(7) & "00000000", -- r7 = r7 + "00000000" 6 => OPC(setih) & reg(7) & "11110000", -- r7 = r7 + "11110000" 7 => OPC(ld) & reg(3) & reg(0) & "---" & "--", -- r3 = *r0 8 => OPC(add) & reg(3) & reg(3) & reg(6) & "--", -- r3 = r3 + r6 9 => OPC(st) & reg(3) & reg(0) & "---" & "--", -- *r0 = r3 10 => OPC(ld) & reg(4) & reg(1) & "---" & "--", -- r4 = *r1 11 => OPC(add) & reg(4) & reg(4) & reg(7) & "--", -- r4 = r4 + r7 12 => OPC(st) & reg(4) & reg(1) & "---" & "--", -- *r1 = r4 13 => OPC(add) & reg(5) & reg(3) & reg(4) & "--", -- r5 = r3 + r4 14 => OPC(st) & reg(5) & reg(2) & "---" & "--", -- *r2 = r5 15 => OPC(bov) & "---" & "00000010", -- bov "00000010" 16 => OPC(jmp) & "---" & "00000111", -- jmp "00000111" others => (others => '1') ); begin ----------------------------------------------------------------------------- -- sequential process: ROM table with registerd output ----------------------------------------------------------------------------- P_rom: process(clk) begin if rising_edge(clk) then bus_out.data <= rom_table(to_integer(unsigned(bus_in.addr))); end if; end process; end rtl;
------------------------------------------------------------------------------- -- $Id: pf_dpram_select.vhd,v 1.1.2.1 2009/10/06 21:15:01 gburch Exp $ ------------------------------------------------------------------------------- -- pf_dpram_select.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_dpram_select.vhd -- -- Description: This vhdl design file uses three input parameters describing -- the desired storage depth, data width, and FPGA family type. -- From these, the design selects the optimum Block RAM -- primitive for the basic storage element and connects them -- in parallel to accomodate the desired data width. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_dpram_select.vhd -- ------------------------------------------------------------------------------- -- Author: D. Thorpe -- Revision: $Revision: 1.1.2.1 $ -- Date: $Date: 2009/10/06 21:15:01 $ -- -- History: -- DET Oct. 7, 2001 First Version -- - Adopted design concepts from Goran Bilski's -- opb_bram.vhd design in the formulation of this -- design for the Mauna Loa packet FIFO dual port -- core function. -- -- DET Oct-31-2001 -- - Changed the generic input parameter C_FAMILY of type string -- back to the boolean type parameter C_VIRTEX_II. XST support -- change. -- -- -- GAB 10/05/09 -- ^^^^^^ -- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and -- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d -- -- Updated legal header -- ~~~~~~ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library unisim; use unisim.all; -- uses BRAM primitives ------------------------------------------------------------------------------- entity pf_dpram_select is generic ( C_DP_DATA_WIDTH : Integer := 32; C_DP_ADDRESS_WIDTH : Integer := 9; C_VIRTEX_II : Boolean := true ); port ( -- Write Port signals Wr_rst : In std_logic; Wr_Clk : in std_logic; Wr_Enable : In std_logic; Wr_Req : In std_logic; Wr_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1); Wr_Data : In std_logic_vector(0 to C_DP_DATA_WIDTH-1); -- Read Port Signals Rd_rst : In std_logic; Rd_Clk : in std_logic; Rd_Enable : In std_logic; Rd_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1); Rd_Data : out std_logic_vector(0 to C_DP_DATA_WIDTH-1) ); end entity pf_dpram_select; architecture implementation of pf_dpram_select is Type family_type is ( any , x4k , x4ke , x4kl , x4kex , x4kxl , x4kxv , x4kxla , spartan , spartanxl, spartan2 , spartan2e, virtex , virtexe , virtex2 , virtex2p , unsupported ); Type bram_prim_type is ( use_srl , B4_S1_S1 , B4_S2_S2 , B4_S4_S4 , B4_S8_S8 , B4_S16_S16 , B16_S1_S1 , B16_S2_S2 , B16_S4_S4 , B16_S9_S9 , B16_S18_S18 , B16_S36_S36 , indeterminate ); ----------------------------------------------------------------------------- -- This function converts the input C_VIRTEX_II boolean type to an enumerated -- type. Only Virtex and Virtex II types are currently supported. This -- used to convert a string to a family type function but string support in -- the synthesis tools was found to be mutually exclusive between Synplicity -- and XST. ----------------------------------------------------------------------------- function get_prim_family (vertex2_select : boolean) return family_type is Variable prim_family : family_type; begin If (vertex2_select) Then prim_family := virtex2; else prim_family := virtex; End if; Return (prim_family); end function get_prim_family; ----------------------------------------------------------------------------- -- This function chooses the optimum BRAM primitive to utilize as -- specified by the inputs for data depth, data width, and FPGA part family. ----------------------------------------------------------------------------- function get_bram_primitive (target_depth: integer; target_width: integer; family : family_type ) return bram_prim_type is Variable primitive : bram_prim_type; begin Case family Is When virtex2p | virtex2 => Case target_depth Is When 1 | 2 => primitive := indeterminate; -- depth is too small for BRAM -- based fifo control logic When 4 | 8 | 16 => -- primitive := use_srl; -- activate when SRL FIFO incorporated Case target_width Is -- use BRAM for now When 1 => primitive := B16_S1_S1; When 2 => primitive := B16_S2_S2; When 3 | 4 => primitive := B16_S4_S4; When 5 | 6 | 7 | 8 | 9 => primitive := B16_S9_S9; When 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 => primitive := B16_S18_S18; When others => primitive := B16_S36_S36; End case; when 32 | 64 | 128 | 256 | 512 => Case target_width Is When 1 => primitive := B16_S1_S1; When 2 => primitive := B16_S2_S2; When 3 | 4 => primitive := B16_S4_S4; When 5 | 6 | 7 | 8 | 9 => primitive := B16_S9_S9; When 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 => primitive := B16_S18_S18; When others => primitive := B16_S36_S36; End case; When 1024 => Case target_width Is When 1 => primitive := B16_S1_S1; When 2 => primitive := B16_S2_S2; When 3 | 4 => primitive := B16_S4_S4; When 5 | 6 | 7 | 8 | 9 => primitive := B16_S9_S9; When others => primitive := B16_S18_S18; End case; When 2048 => Case target_width Is When 1 => primitive := B16_S1_S1; When 2 => primitive := B16_S2_S2; When 3 | 4 => primitive := B16_S4_S4; When others => primitive := B16_S9_S9; End case; When 4096 => Case target_width Is When 1 => primitive := B16_S1_S1; When 2 => primitive := B16_S2_S2; When others => primitive := B16_S4_S4; End case; When 8192 => Case target_width Is When 1 => primitive := B16_S1_S1; When others => primitive := B16_S2_S2; End case; When 16384 => primitive := B16_S1_S1; When others => primitive := indeterminate; End case; When spartan2 | spartan2e | virtex | virtexe => Case target_depth Is When 1 | 2 => primitive := indeterminate; -- depth is too small for BRAM -- based fifo control logic When 4 | 8 | 16 => -- primitive := use_srl; -- activate this when SRL FIFO is -- incorporated Case target_width Is -- use BRAM for now When 1 => primitive := B4_S1_S1; When 2 => primitive := B4_S2_S2; When 3 | 4 => primitive := B4_S4_S4; When 5 | 6 | 7 | 8 => primitive := B4_S8_S8; When others => primitive := B4_S16_S16; End case; when 32 | 64 | 128 | 256 => Case target_width Is When 1 => primitive := B4_S1_S1; When 2 => primitive := B4_S2_S2; When 3 | 4 => primitive := B4_S4_S4; When 5 | 6 | 7 | 8 => primitive := B4_S8_S8; When others => primitive := B4_S16_S16; End case; when 512 => Case target_width Is When 1 => primitive := B4_S1_S1; When 2 => primitive := B4_S2_S2; When 3 | 4 => primitive := B4_S4_S4; When others => primitive := B4_S8_S8; End case; When 1024 => Case target_width Is When 1 => primitive := B4_S1_S1; When 2 => primitive := B4_S2_S2; When others => primitive := B4_S4_S4; End case; When 2048 => Case target_width Is When 1 => primitive := B4_S1_S1; When others => primitive := B4_S2_S2; End case; When 4096 => primitive := B4_S1_S1; When others => primitive := indeterminate; End case; When others => primitive := indeterminate; End case; Return primitive; end function get_bram_primitive; ----------------------------------------------------------------------------- -- This function calculates the number of BRAM primitives required as -- specified by the inputs for data width and BRAM primitive type. ----------------------------------------------------------------------------- function get_num_prims (bram_prim : bram_prim_type; mem_width : integer) return integer is Variable bram_num : integer; begin Case bram_prim Is When B16_S1_S1 | B4_S1_S1 => bram_num := mem_width; When B16_S2_S2 | B4_S2_S2 => bram_num := (mem_width+1)/2; When B16_S4_S4 | B4_S4_S4 => bram_num := (mem_width+3)/4; When B4_S8_S8 => bram_num := (mem_width+7)/8; When B16_S9_S9 => bram_num := (mem_width+8)/9; When B4_S16_S16 => bram_num := (mem_width+15)/16; When B16_S18_S18 => bram_num := (mem_width+17)/18; When B16_S36_S36 => bram_num := (mem_width+35)/36; When others => bram_num := 1; End case; Return (bram_num); end function get_num_prims; -- Now set the global CONSTANTS needed for IF-Generates -- Determine the number of BRAM storage locations needed constant FIFO_DEPTH : Integer := 2**C_DP_ADDRESS_WIDTH; -- Convert the input C_VIRTEX_II generic boolean to enumerated type Constant BRAM_FAMILY : family_type := get_prim_family(C_VIRTEX_II); -- Select the optimum BRAM primitive to use constant BRAM_PRIMITIVE : bram_prim_type := get_bram_primitive(FIFO_DEPTH, C_DP_DATA_WIDTH, BRAM_FAMILY); -- Calculate how many of the selected primitives are needed -- to populate the desired data width constant BRAM_NUM : integer := get_num_prims(BRAM_PRIMITIVE, C_DP_DATA_WIDTH); begin -- architecture ---------------------------------------------------------------------------- -- Using VII 512 x 36 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB16_S36_S36 : if (BRAM_PRIMITIVE = B16_S36_S36) generate component RAMB16_S36_S36 port (DIA : in STD_LOGIC_VECTOR (31 downto 0); DIB : in STD_LOGIC_VECTOR (31 downto 0); DIPA : in STD_LOGIC_VECTOR (3 downto 0); DIPB : in STD_LOGIC_VECTOR (3 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in STD_LOGIC_VECTOR (8 downto 0); ADDRB : in STD_LOGIC_VECTOR (8 downto 0); DOA : out STD_LOGIC_VECTOR (31 downto 0); DOB : out STD_LOGIC_VECTOR (31 downto 0); DOPA : out STD_LOGIC_VECTOR (3 downto 0); DOPB : out STD_LOGIC_VECTOR (3 downto 0)); end component; Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep Constant PRIM_PDBUS_WIDTH : integer := 4; -- 4 parity data bits Constant PRIM_DBUS_WIDTH : integer := 32; -- 4 parity data bits Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH + PRIM_PDBUS_WIDTH; -- (data + parity) Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); type pdbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= Wr_rst; port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= Rd_rst; -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i); port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i); port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB16_512x32 : RAMB16_S36_S36 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), DIPA => slice_a_pdbus_in(i), DIPB => slice_b_pdbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, SSRA => port_a_ssr, SSRB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i), DOPA => slice_a_pdbus_out(i), DOPB => slice_b_pdbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB16_S36_S36; --========================================================================== ---------------------------------------------------------------------------- -- Using VII 1024 x 18 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB16_S18_S18 : if (BRAM_PRIMITIVE = B16_S18_S18) generate component RAMB16_S18_S18 port (DIA : in STD_LOGIC_VECTOR (15 downto 0); DIB : in STD_LOGIC_VECTOR (15 downto 0); DIPA : in STD_LOGIC_VECTOR (1 downto 0); DIPB : in STD_LOGIC_VECTOR (1 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in STD_LOGIC_VECTOR (9 downto 0); ADDRB : in STD_LOGIC_VECTOR (9 downto 0); DOA : out STD_LOGIC_VECTOR (15 downto 0); DOB : out STD_LOGIC_VECTOR (15 downto 0); DOPA : out STD_LOGIC_VECTOR (1 downto 0); DOPB : out STD_LOGIC_VECTOR (1 downto 0) ); end component; Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep Constant PRIM_PDBUS_WIDTH : integer := 2; -- 2 parity data bits Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH + PRIM_PDBUS_WIDTH; -- (data + parity) Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); type pdbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= Wr_rst; port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= Rd_rst; -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i); port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i); port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB16_1024x18 : RAMB16_S18_S18 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), DIPA => slice_a_pdbus_in(i), DIPB => slice_b_pdbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, SSRA => port_a_ssr, SSRB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i), DOPA => slice_a_pdbus_out(i), DOPB => slice_b_pdbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB16_S18_S18; --========================================================================== ---------------------------------------------------------------------------- -- Using VII 2048 x 9 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB16_S9_S9 : if (BRAM_PRIMITIVE = B16_S9_S9) generate component RAMB16_S9_S9 port ( DIA : in std_logic_vector (7 downto 0); DIB : in std_logic_vector (7 downto 0); DIPA : in std_logic_vector (0 downto 0); DIPB : in std_logic_vector (0 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (10 downto 0); ADDRB : in std_logic_vector (10 downto 0); DOA : out std_logic_vector (7 downto 0); DOB : out std_logic_vector (7 downto 0); DOPA : out std_logic_vector (0 downto 0); DOPB : out std_logic_vector (0 downto 0) ); end component; Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep Constant PRIM_PDBUS_WIDTH : integer := 1; -- 1 parity data bit Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH + PRIM_PDBUS_WIDTH; -- (data + parity) Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); type pdbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= Wr_rst; port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= Rd_rst; -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i); port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i); port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB16_2048x9 : RAMB16_S9_S9 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), DIPA => slice_a_pdbus_in(i), DIPB => slice_b_pdbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, SSRA => port_a_ssr, SSRB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i), DOPA => slice_a_pdbus_out(i), DOPB => slice_b_pdbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB16_S9_S9; --========================================================================== ---------------------------------------------------------------------------- -- Using VII 4096 x 4 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB16_S4_S4 : if (BRAM_PRIMITIVE = B16_S4_S4) generate component RAMB16_S4_S4 port ( DIA : in std_logic_vector (3 downto 0); DIB : in std_logic_vector (3 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (11 downto 0); ADDRB : in std_logic_vector (11 downto 0); DOA : out std_logic_vector (3 downto 0); DOB : out std_logic_vector (3 downto 0) ); end component; Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH + PRIM_PDBUS_WIDTH; -- (data + parity) Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --type pdbus_slice_array is array(BRAM_NUM downto 1) of -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= Wr_rst; port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= Rd_rst; -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate --slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); --port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i); port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); --slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); --port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i); port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB16_4096x4 : RAMB16_S4_S4 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, SSRA => port_a_ssr, SSRB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB16_S4_S4; --========================================================================== ---------------------------------------------------------------------------- -- Using VII 8192 x 2 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB16_S2_S2 : if (BRAM_PRIMITIVE = B16_S2_S2) generate component RAMB16_S2_S2 port ( DIA : in std_logic_vector (1 downto 0); DIB : in std_logic_vector (1 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (12 downto 0); ADDRB : in std_logic_vector (12 downto 0); DOA : out std_logic_vector (1 downto 0); DOB : out std_logic_vector (1 downto 0) ); end component; Constant PRIM_ADDR_WIDTH : integer := 13; -- 8192 deep Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH + PRIM_PDBUS_WIDTH; -- (data + parity) Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --type pdbus_slice_array is array(BRAM_NUM downto 1) of -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= Wr_rst; port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= Rd_rst; -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate --slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); --port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i); port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); --slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); --port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i); port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB16_8192x2 : RAMB16_S2_S2 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, SSRA => port_a_ssr, SSRB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB16_S2_S2; --========================================================================== ---------------------------------------------------------------------------- -- Using VII 16384 x 1 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB16_S1_S1 : if (BRAM_PRIMITIVE = B16_S1_S1) generate component RAMB16_S1_S1 port ( DIA : in std_logic_vector (0 downto 0); DIB : in std_logic_vector (0 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (13 downto 0); ADDRB : in std_logic_vector (13 downto 0); DOA : out std_logic_vector (0 downto 0); DOB : out std_logic_vector (0 downto 0) ); end component; Constant PRIM_ADDR_WIDTH : integer := 14; -- 16384 deep Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bits Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH + PRIM_PDBUS_WIDTH; -- (data + parity) Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --type pdbus_slice_array is array(BRAM_NUM downto 1) of -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= Wr_rst; port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= Rd_rst; -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate --slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); --port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i); port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); --slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); --port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i); port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB16_16384x1 : RAMB16_S1_S1 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, SSRA => port_a_ssr, SSRB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB16_S1_S1; --========================================================================== -- End of Virtex-II and Virtex-II Pro support --/////////////////////////////////////////////////////////////////////////// --/////////////////////////////////////////////////////////////////////////// -- Start Spartan-II, Spartan-IIE, Virtex, and VirtexE support ---------------------------------------------------------------------------- -- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE -- 4096 x 1 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB4_S1_S1 : if (BRAM_PRIMITIVE = B4_S1_S1) generate component RAMB4_S1_S1 port ( DIA : in std_logic_vector (0 downto 0); DIB : in std_logic_vector (0 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; RSTA : in std_logic; RSTB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (11 downto 0); ADDRB : in std_logic_vector (11 downto 0); DOA : out std_logic_vector (0 downto 0); DOB : out std_logic_vector (0 downto 0)); end component; Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bit Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; Signal slice_a_dbus_out : dbus_slice_array; Signal slice_b_dbus_in : dbus_slice_array; Signal slice_b_dbus_out : dbus_slice_array; Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= wr_rst; -- no output reset value port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= rd_rst; -- no output reset value -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB4_4096x1 : RAMB4_S1_S1 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, RSTA => port_a_ssr, RSTB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB4_S1_S1; --========================================================================== ---------------------------------------------------------------------------- -- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE -- 2048 x 2 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB4_S2_S2 : if (BRAM_PRIMITIVE = B4_S2_S2) generate component RAMB4_S2_S2 port ( DIA : in std_logic_vector (1 downto 0); DIB : in std_logic_vector (1 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; RSTA : in std_logic; RSTB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (10 downto 0); ADDRB : in std_logic_vector (10 downto 0); DOA : out std_logic_vector (1 downto 0); DOB : out std_logic_vector (1 downto 0)); end component; Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; Signal slice_a_dbus_out : dbus_slice_array; Signal slice_b_dbus_in : dbus_slice_array; Signal slice_b_dbus_out : dbus_slice_array; Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= wr_rst; -- no output reset value port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= rd_rst; -- no output reset value -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB4_2048x2 : RAMB4_S2_S2 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, RSTA => port_a_ssr, RSTB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB4_S2_S2; --========================================================================== ---------------------------------------------------------------------------- -- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE -- 1024 x 4 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB4_S4_S4 : if (BRAM_PRIMITIVE = B4_S4_S4) generate component RAMB4_S4_S4 port ( DIA : in std_logic_vector (3 downto 0); DIB : in std_logic_vector (3 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; RSTA : in std_logic; RSTB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (9 downto 0); ADDRB : in std_logic_vector (9 downto 0); DOA : out std_logic_vector (3 downto 0); DOB : out std_logic_vector (3 downto 0)); end component; Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; Signal slice_a_dbus_out : dbus_slice_array; Signal slice_b_dbus_in : dbus_slice_array; Signal slice_b_dbus_out : dbus_slice_array; Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= wr_rst; -- no output reset value port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= rd_rst; -- no output reset value -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB4_1024x4 : RAMB4_S4_S4 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, RSTA => port_a_ssr, RSTB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB4_S4_S4; --========================================================================== ---------------------------------------------------------------------------- -- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE -- 512 x 8 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB4_S8_S8 : if (BRAM_PRIMITIVE = B4_S8_S8) generate component RAMB4_S8_S8 port ( DIA : in std_logic_vector (7 downto 0); DIB : in std_logic_vector (7 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; RSTA : in std_logic; RSTB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (8 downto 0); ADDRB : in std_logic_vector (8 downto 0); DOA : out std_logic_vector (7 downto 0); DOB : out std_logic_vector (7 downto 0)); end component; Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; Signal slice_a_dbus_out : dbus_slice_array; Signal slice_b_dbus_in : dbus_slice_array; Signal slice_b_dbus_out : dbus_slice_array; Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= wr_rst; -- no output reset value port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= rd_rst; -- no output reset value -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB4_512x8 : RAMB4_S8_S8 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, RSTA => port_a_ssr, RSTB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB4_S8_S8; --========================================================================== ---------------------------------------------------------------------------- -- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE -- 256 x 16 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB4_S16_S16 : if (BRAM_PRIMITIVE = B4_S16_S16) generate component RAMB4_S16_S16 port (DIA : in STD_LOGIC_VECTOR (15 downto 0); DIB : in STD_LOGIC_VECTOR (15 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; RSTA : in std_logic; RSTB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in STD_LOGIC_VECTOR (7 downto 0); ADDRB : in STD_LOGIC_VECTOR (7 downto 0); DOA : out STD_LOGIC_VECTOR (15 downto 0); DOB : out STD_LOGIC_VECTOR (15 downto 0)); end component; Constant PRIM_ADDR_WIDTH : integer := 8; -- 256 deep Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; Signal slice_a_dbus_out : dbus_slice_array; Signal slice_b_dbus_in : dbus_slice_array; Signal slice_b_dbus_out : dbus_slice_array; Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= wr_rst; -- no output reset value port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= rd_rst; -- no output reset value -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB4_256x16 : RAMB4_S16_S16 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, RSTA => port_a_ssr, RSTB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB4_S16_S16; --========================================================================== UNSUPPORTED_FAMILY : if (BRAM_PRIMITIVE = indeterminate) generate begin -- assert (false) -- report "Unsupported Part Family Selected or FIFO Depth/Width is invalid!" -- severity failure; -- end generate UNSUPPORTED_FAMILY; end architecture implementation;
------------------------------------------------------------------------------- -- $Id: pf_dpram_select.vhd,v 1.1.2.1 2009/10/06 21:15:01 gburch Exp $ ------------------------------------------------------------------------------- -- pf_dpram_select.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_dpram_select.vhd -- -- Description: This vhdl design file uses three input parameters describing -- the desired storage depth, data width, and FPGA family type. -- From these, the design selects the optimum Block RAM -- primitive for the basic storage element and connects them -- in parallel to accomodate the desired data width. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_dpram_select.vhd -- ------------------------------------------------------------------------------- -- Author: D. Thorpe -- Revision: $Revision: 1.1.2.1 $ -- Date: $Date: 2009/10/06 21:15:01 $ -- -- History: -- DET Oct. 7, 2001 First Version -- - Adopted design concepts from Goran Bilski's -- opb_bram.vhd design in the formulation of this -- design for the Mauna Loa packet FIFO dual port -- core function. -- -- DET Oct-31-2001 -- - Changed the generic input parameter C_FAMILY of type string -- back to the boolean type parameter C_VIRTEX_II. XST support -- change. -- -- -- GAB 10/05/09 -- ^^^^^^ -- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and -- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d -- -- Updated legal header -- ~~~~~~ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library unisim; use unisim.all; -- uses BRAM primitives ------------------------------------------------------------------------------- entity pf_dpram_select is generic ( C_DP_DATA_WIDTH : Integer := 32; C_DP_ADDRESS_WIDTH : Integer := 9; C_VIRTEX_II : Boolean := true ); port ( -- Write Port signals Wr_rst : In std_logic; Wr_Clk : in std_logic; Wr_Enable : In std_logic; Wr_Req : In std_logic; Wr_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1); Wr_Data : In std_logic_vector(0 to C_DP_DATA_WIDTH-1); -- Read Port Signals Rd_rst : In std_logic; Rd_Clk : in std_logic; Rd_Enable : In std_logic; Rd_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1); Rd_Data : out std_logic_vector(0 to C_DP_DATA_WIDTH-1) ); end entity pf_dpram_select; architecture implementation of pf_dpram_select is Type family_type is ( any , x4k , x4ke , x4kl , x4kex , x4kxl , x4kxv , x4kxla , spartan , spartanxl, spartan2 , spartan2e, virtex , virtexe , virtex2 , virtex2p , unsupported ); Type bram_prim_type is ( use_srl , B4_S1_S1 , B4_S2_S2 , B4_S4_S4 , B4_S8_S8 , B4_S16_S16 , B16_S1_S1 , B16_S2_S2 , B16_S4_S4 , B16_S9_S9 , B16_S18_S18 , B16_S36_S36 , indeterminate ); ----------------------------------------------------------------------------- -- This function converts the input C_VIRTEX_II boolean type to an enumerated -- type. Only Virtex and Virtex II types are currently supported. This -- used to convert a string to a family type function but string support in -- the synthesis tools was found to be mutually exclusive between Synplicity -- and XST. ----------------------------------------------------------------------------- function get_prim_family (vertex2_select : boolean) return family_type is Variable prim_family : family_type; begin If (vertex2_select) Then prim_family := virtex2; else prim_family := virtex; End if; Return (prim_family); end function get_prim_family; ----------------------------------------------------------------------------- -- This function chooses the optimum BRAM primitive to utilize as -- specified by the inputs for data depth, data width, and FPGA part family. ----------------------------------------------------------------------------- function get_bram_primitive (target_depth: integer; target_width: integer; family : family_type ) return bram_prim_type is Variable primitive : bram_prim_type; begin Case family Is When virtex2p | virtex2 => Case target_depth Is When 1 | 2 => primitive := indeterminate; -- depth is too small for BRAM -- based fifo control logic When 4 | 8 | 16 => -- primitive := use_srl; -- activate when SRL FIFO incorporated Case target_width Is -- use BRAM for now When 1 => primitive := B16_S1_S1; When 2 => primitive := B16_S2_S2; When 3 | 4 => primitive := B16_S4_S4; When 5 | 6 | 7 | 8 | 9 => primitive := B16_S9_S9; When 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 => primitive := B16_S18_S18; When others => primitive := B16_S36_S36; End case; when 32 | 64 | 128 | 256 | 512 => Case target_width Is When 1 => primitive := B16_S1_S1; When 2 => primitive := B16_S2_S2; When 3 | 4 => primitive := B16_S4_S4; When 5 | 6 | 7 | 8 | 9 => primitive := B16_S9_S9; When 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 => primitive := B16_S18_S18; When others => primitive := B16_S36_S36; End case; When 1024 => Case target_width Is When 1 => primitive := B16_S1_S1; When 2 => primitive := B16_S2_S2; When 3 | 4 => primitive := B16_S4_S4; When 5 | 6 | 7 | 8 | 9 => primitive := B16_S9_S9; When others => primitive := B16_S18_S18; End case; When 2048 => Case target_width Is When 1 => primitive := B16_S1_S1; When 2 => primitive := B16_S2_S2; When 3 | 4 => primitive := B16_S4_S4; When others => primitive := B16_S9_S9; End case; When 4096 => Case target_width Is When 1 => primitive := B16_S1_S1; When 2 => primitive := B16_S2_S2; When others => primitive := B16_S4_S4; End case; When 8192 => Case target_width Is When 1 => primitive := B16_S1_S1; When others => primitive := B16_S2_S2; End case; When 16384 => primitive := B16_S1_S1; When others => primitive := indeterminate; End case; When spartan2 | spartan2e | virtex | virtexe => Case target_depth Is When 1 | 2 => primitive := indeterminate; -- depth is too small for BRAM -- based fifo control logic When 4 | 8 | 16 => -- primitive := use_srl; -- activate this when SRL FIFO is -- incorporated Case target_width Is -- use BRAM for now When 1 => primitive := B4_S1_S1; When 2 => primitive := B4_S2_S2; When 3 | 4 => primitive := B4_S4_S4; When 5 | 6 | 7 | 8 => primitive := B4_S8_S8; When others => primitive := B4_S16_S16; End case; when 32 | 64 | 128 | 256 => Case target_width Is When 1 => primitive := B4_S1_S1; When 2 => primitive := B4_S2_S2; When 3 | 4 => primitive := B4_S4_S4; When 5 | 6 | 7 | 8 => primitive := B4_S8_S8; When others => primitive := B4_S16_S16; End case; when 512 => Case target_width Is When 1 => primitive := B4_S1_S1; When 2 => primitive := B4_S2_S2; When 3 | 4 => primitive := B4_S4_S4; When others => primitive := B4_S8_S8; End case; When 1024 => Case target_width Is When 1 => primitive := B4_S1_S1; When 2 => primitive := B4_S2_S2; When others => primitive := B4_S4_S4; End case; When 2048 => Case target_width Is When 1 => primitive := B4_S1_S1; When others => primitive := B4_S2_S2; End case; When 4096 => primitive := B4_S1_S1; When others => primitive := indeterminate; End case; When others => primitive := indeterminate; End case; Return primitive; end function get_bram_primitive; ----------------------------------------------------------------------------- -- This function calculates the number of BRAM primitives required as -- specified by the inputs for data width and BRAM primitive type. ----------------------------------------------------------------------------- function get_num_prims (bram_prim : bram_prim_type; mem_width : integer) return integer is Variable bram_num : integer; begin Case bram_prim Is When B16_S1_S1 | B4_S1_S1 => bram_num := mem_width; When B16_S2_S2 | B4_S2_S2 => bram_num := (mem_width+1)/2; When B16_S4_S4 | B4_S4_S4 => bram_num := (mem_width+3)/4; When B4_S8_S8 => bram_num := (mem_width+7)/8; When B16_S9_S9 => bram_num := (mem_width+8)/9; When B4_S16_S16 => bram_num := (mem_width+15)/16; When B16_S18_S18 => bram_num := (mem_width+17)/18; When B16_S36_S36 => bram_num := (mem_width+35)/36; When others => bram_num := 1; End case; Return (bram_num); end function get_num_prims; -- Now set the global CONSTANTS needed for IF-Generates -- Determine the number of BRAM storage locations needed constant FIFO_DEPTH : Integer := 2**C_DP_ADDRESS_WIDTH; -- Convert the input C_VIRTEX_II generic boolean to enumerated type Constant BRAM_FAMILY : family_type := get_prim_family(C_VIRTEX_II); -- Select the optimum BRAM primitive to use constant BRAM_PRIMITIVE : bram_prim_type := get_bram_primitive(FIFO_DEPTH, C_DP_DATA_WIDTH, BRAM_FAMILY); -- Calculate how many of the selected primitives are needed -- to populate the desired data width constant BRAM_NUM : integer := get_num_prims(BRAM_PRIMITIVE, C_DP_DATA_WIDTH); begin -- architecture ---------------------------------------------------------------------------- -- Using VII 512 x 36 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB16_S36_S36 : if (BRAM_PRIMITIVE = B16_S36_S36) generate component RAMB16_S36_S36 port (DIA : in STD_LOGIC_VECTOR (31 downto 0); DIB : in STD_LOGIC_VECTOR (31 downto 0); DIPA : in STD_LOGIC_VECTOR (3 downto 0); DIPB : in STD_LOGIC_VECTOR (3 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in STD_LOGIC_VECTOR (8 downto 0); ADDRB : in STD_LOGIC_VECTOR (8 downto 0); DOA : out STD_LOGIC_VECTOR (31 downto 0); DOB : out STD_LOGIC_VECTOR (31 downto 0); DOPA : out STD_LOGIC_VECTOR (3 downto 0); DOPB : out STD_LOGIC_VECTOR (3 downto 0)); end component; Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep Constant PRIM_PDBUS_WIDTH : integer := 4; -- 4 parity data bits Constant PRIM_DBUS_WIDTH : integer := 32; -- 4 parity data bits Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH + PRIM_PDBUS_WIDTH; -- (data + parity) Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); type pdbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= Wr_rst; port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= Rd_rst; -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i); port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i); port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB16_512x32 : RAMB16_S36_S36 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), DIPA => slice_a_pdbus_in(i), DIPB => slice_b_pdbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, SSRA => port_a_ssr, SSRB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i), DOPA => slice_a_pdbus_out(i), DOPB => slice_b_pdbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB16_S36_S36; --========================================================================== ---------------------------------------------------------------------------- -- Using VII 1024 x 18 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB16_S18_S18 : if (BRAM_PRIMITIVE = B16_S18_S18) generate component RAMB16_S18_S18 port (DIA : in STD_LOGIC_VECTOR (15 downto 0); DIB : in STD_LOGIC_VECTOR (15 downto 0); DIPA : in STD_LOGIC_VECTOR (1 downto 0); DIPB : in STD_LOGIC_VECTOR (1 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in STD_LOGIC_VECTOR (9 downto 0); ADDRB : in STD_LOGIC_VECTOR (9 downto 0); DOA : out STD_LOGIC_VECTOR (15 downto 0); DOB : out STD_LOGIC_VECTOR (15 downto 0); DOPA : out STD_LOGIC_VECTOR (1 downto 0); DOPB : out STD_LOGIC_VECTOR (1 downto 0) ); end component; Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep Constant PRIM_PDBUS_WIDTH : integer := 2; -- 2 parity data bits Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH + PRIM_PDBUS_WIDTH; -- (data + parity) Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); type pdbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= Wr_rst; port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= Rd_rst; -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i); port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i); port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB16_1024x18 : RAMB16_S18_S18 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), DIPA => slice_a_pdbus_in(i), DIPB => slice_b_pdbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, SSRA => port_a_ssr, SSRB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i), DOPA => slice_a_pdbus_out(i), DOPB => slice_b_pdbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB16_S18_S18; --========================================================================== ---------------------------------------------------------------------------- -- Using VII 2048 x 9 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB16_S9_S9 : if (BRAM_PRIMITIVE = B16_S9_S9) generate component RAMB16_S9_S9 port ( DIA : in std_logic_vector (7 downto 0); DIB : in std_logic_vector (7 downto 0); DIPA : in std_logic_vector (0 downto 0); DIPB : in std_logic_vector (0 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (10 downto 0); ADDRB : in std_logic_vector (10 downto 0); DOA : out std_logic_vector (7 downto 0); DOB : out std_logic_vector (7 downto 0); DOPA : out std_logic_vector (0 downto 0); DOPB : out std_logic_vector (0 downto 0) ); end component; Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep Constant PRIM_PDBUS_WIDTH : integer := 1; -- 1 parity data bit Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH + PRIM_PDBUS_WIDTH; -- (data + parity) Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); type pdbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= Wr_rst; port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= Rd_rst; -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i); port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i); port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB16_2048x9 : RAMB16_S9_S9 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), DIPA => slice_a_pdbus_in(i), DIPB => slice_b_pdbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, SSRA => port_a_ssr, SSRB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i), DOPA => slice_a_pdbus_out(i), DOPB => slice_b_pdbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB16_S9_S9; --========================================================================== ---------------------------------------------------------------------------- -- Using VII 4096 x 4 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB16_S4_S4 : if (BRAM_PRIMITIVE = B16_S4_S4) generate component RAMB16_S4_S4 port ( DIA : in std_logic_vector (3 downto 0); DIB : in std_logic_vector (3 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (11 downto 0); ADDRB : in std_logic_vector (11 downto 0); DOA : out std_logic_vector (3 downto 0); DOB : out std_logic_vector (3 downto 0) ); end component; Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH + PRIM_PDBUS_WIDTH; -- (data + parity) Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --type pdbus_slice_array is array(BRAM_NUM downto 1) of -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= Wr_rst; port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= Rd_rst; -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate --slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); --port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i); port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); --slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); --port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i); port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB16_4096x4 : RAMB16_S4_S4 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, SSRA => port_a_ssr, SSRB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB16_S4_S4; --========================================================================== ---------------------------------------------------------------------------- -- Using VII 8192 x 2 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB16_S2_S2 : if (BRAM_PRIMITIVE = B16_S2_S2) generate component RAMB16_S2_S2 port ( DIA : in std_logic_vector (1 downto 0); DIB : in std_logic_vector (1 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (12 downto 0); ADDRB : in std_logic_vector (12 downto 0); DOA : out std_logic_vector (1 downto 0); DOB : out std_logic_vector (1 downto 0) ); end component; Constant PRIM_ADDR_WIDTH : integer := 13; -- 8192 deep Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH + PRIM_PDBUS_WIDTH; -- (data + parity) Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --type pdbus_slice_array is array(BRAM_NUM downto 1) of -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= Wr_rst; port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= Rd_rst; -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate --slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); --port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i); port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); --slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); --port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i); port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB16_8192x2 : RAMB16_S2_S2 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, SSRA => port_a_ssr, SSRB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB16_S2_S2; --========================================================================== ---------------------------------------------------------------------------- -- Using VII 16384 x 1 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB16_S1_S1 : if (BRAM_PRIMITIVE = B16_S1_S1) generate component RAMB16_S1_S1 port ( DIA : in std_logic_vector (0 downto 0); DIB : in std_logic_vector (0 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (13 downto 0); ADDRB : in std_logic_vector (13 downto 0); DOA : out std_logic_vector (0 downto 0); DOB : out std_logic_vector (0 downto 0) ); end component; Constant PRIM_ADDR_WIDTH : integer := 14; -- 16384 deep Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bits Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH + PRIM_PDBUS_WIDTH; -- (data + parity) Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --type pdbus_slice_array is array(BRAM_NUM downto 1) of -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= Wr_rst; port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= Rd_rst; -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate --slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); --port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i); port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); --slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); --port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i); port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB16_16384x1 : RAMB16_S1_S1 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, SSRA => port_a_ssr, SSRB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB16_S1_S1; --========================================================================== -- End of Virtex-II and Virtex-II Pro support --/////////////////////////////////////////////////////////////////////////// --/////////////////////////////////////////////////////////////////////////// -- Start Spartan-II, Spartan-IIE, Virtex, and VirtexE support ---------------------------------------------------------------------------- -- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE -- 4096 x 1 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB4_S1_S1 : if (BRAM_PRIMITIVE = B4_S1_S1) generate component RAMB4_S1_S1 port ( DIA : in std_logic_vector (0 downto 0); DIB : in std_logic_vector (0 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; RSTA : in std_logic; RSTB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (11 downto 0); ADDRB : in std_logic_vector (11 downto 0); DOA : out std_logic_vector (0 downto 0); DOB : out std_logic_vector (0 downto 0)); end component; Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bit Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; Signal slice_a_dbus_out : dbus_slice_array; Signal slice_b_dbus_in : dbus_slice_array; Signal slice_b_dbus_out : dbus_slice_array; Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= wr_rst; -- no output reset value port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= rd_rst; -- no output reset value -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB4_4096x1 : RAMB4_S1_S1 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, RSTA => port_a_ssr, RSTB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB4_S1_S1; --========================================================================== ---------------------------------------------------------------------------- -- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE -- 2048 x 2 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB4_S2_S2 : if (BRAM_PRIMITIVE = B4_S2_S2) generate component RAMB4_S2_S2 port ( DIA : in std_logic_vector (1 downto 0); DIB : in std_logic_vector (1 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; RSTA : in std_logic; RSTB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (10 downto 0); ADDRB : in std_logic_vector (10 downto 0); DOA : out std_logic_vector (1 downto 0); DOB : out std_logic_vector (1 downto 0)); end component; Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; Signal slice_a_dbus_out : dbus_slice_array; Signal slice_b_dbus_in : dbus_slice_array; Signal slice_b_dbus_out : dbus_slice_array; Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= wr_rst; -- no output reset value port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= rd_rst; -- no output reset value -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB4_2048x2 : RAMB4_S2_S2 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, RSTA => port_a_ssr, RSTB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB4_S2_S2; --========================================================================== ---------------------------------------------------------------------------- -- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE -- 1024 x 4 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB4_S4_S4 : if (BRAM_PRIMITIVE = B4_S4_S4) generate component RAMB4_S4_S4 port ( DIA : in std_logic_vector (3 downto 0); DIB : in std_logic_vector (3 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; RSTA : in std_logic; RSTB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (9 downto 0); ADDRB : in std_logic_vector (9 downto 0); DOA : out std_logic_vector (3 downto 0); DOB : out std_logic_vector (3 downto 0)); end component; Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; Signal slice_a_dbus_out : dbus_slice_array; Signal slice_b_dbus_in : dbus_slice_array; Signal slice_b_dbus_out : dbus_slice_array; Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= wr_rst; -- no output reset value port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= rd_rst; -- no output reset value -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB4_1024x4 : RAMB4_S4_S4 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, RSTA => port_a_ssr, RSTB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB4_S4_S4; --========================================================================== ---------------------------------------------------------------------------- -- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE -- 512 x 8 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB4_S8_S8 : if (BRAM_PRIMITIVE = B4_S8_S8) generate component RAMB4_S8_S8 port ( DIA : in std_logic_vector (7 downto 0); DIB : in std_logic_vector (7 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; RSTA : in std_logic; RSTB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (8 downto 0); ADDRB : in std_logic_vector (8 downto 0); DOA : out std_logic_vector (7 downto 0); DOB : out std_logic_vector (7 downto 0)); end component; Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; Signal slice_a_dbus_out : dbus_slice_array; Signal slice_b_dbus_in : dbus_slice_array; Signal slice_b_dbus_out : dbus_slice_array; Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= wr_rst; -- no output reset value port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= rd_rst; -- no output reset value -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB4_512x8 : RAMB4_S8_S8 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, RSTA => port_a_ssr, RSTB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB4_S8_S8; --========================================================================== ---------------------------------------------------------------------------- -- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE -- 256 x 16 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB4_S16_S16 : if (BRAM_PRIMITIVE = B4_S16_S16) generate component RAMB4_S16_S16 port (DIA : in STD_LOGIC_VECTOR (15 downto 0); DIB : in STD_LOGIC_VECTOR (15 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; RSTA : in std_logic; RSTB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in STD_LOGIC_VECTOR (7 downto 0); ADDRB : in STD_LOGIC_VECTOR (7 downto 0); DOA : out STD_LOGIC_VECTOR (15 downto 0); DOB : out STD_LOGIC_VECTOR (15 downto 0)); end component; Constant PRIM_ADDR_WIDTH : integer := 8; -- 256 deep Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; Signal slice_a_dbus_out : dbus_slice_array; Signal slice_b_dbus_in : dbus_slice_array; Signal slice_b_dbus_out : dbus_slice_array; Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= wr_rst; -- no output reset value port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= rd_rst; -- no output reset value -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB4_256x16 : RAMB4_S16_S16 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, RSTA => port_a_ssr, RSTB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB4_S16_S16; --========================================================================== UNSUPPORTED_FAMILY : if (BRAM_PRIMITIVE = indeterminate) generate begin -- assert (false) -- report "Unsupported Part Family Selected or FIFO Depth/Width is invalid!" -- severity failure; -- end generate UNSUPPORTED_FAMILY; end architecture implementation;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use work.tce_util.all; entity ffaccel_input_mux_12 is generic ( BUSW_0 : integer := 32; BUSW_1 : integer := 32; BUSW_2 : integer := 32; BUSW_3 : integer := 32; BUSW_4 : integer := 32; BUSW_5 : integer := 32; BUSW_6 : integer := 32; BUSW_7 : integer := 32; BUSW_8 : integer := 32; BUSW_9 : integer := 32; BUSW_10 : integer := 32; BUSW_11 : integer := 32; DATAW : integer := 32); port ( databus0 : in std_logic_vector(BUSW_0-1 downto 0); databus1 : in std_logic_vector(BUSW_1-1 downto 0); databus2 : in std_logic_vector(BUSW_2-1 downto 0); databus3 : in std_logic_vector(BUSW_3-1 downto 0); databus4 : in std_logic_vector(BUSW_4-1 downto 0); databus5 : in std_logic_vector(BUSW_5-1 downto 0); databus6 : in std_logic_vector(BUSW_6-1 downto 0); databus7 : in std_logic_vector(BUSW_7-1 downto 0); databus8 : in std_logic_vector(BUSW_8-1 downto 0); databus9 : in std_logic_vector(BUSW_9-1 downto 0); databus10 : in std_logic_vector(BUSW_10-1 downto 0); databus11 : in std_logic_vector(BUSW_11-1 downto 0); data : out std_logic_vector(DATAW-1 downto 0); databus_cntrl : in std_logic_vector(3 downto 0)); end ffaccel_input_mux_12; architecture rtl of ffaccel_input_mux_12 is begin -- If width of input bus is greater than width of output, -- using the LSB bits. -- If width of input bus is smaller than width of output, -- using zero extension to generate extra bits. sel : process (databus_cntrl, databus0, databus1, databus2, databus3, databus4, databus5, databus6, databus7, databus8, databus9, databus10, databus11) begin data <= (others => '0'); case databus_cntrl is when "0000" => data <= tce_ext(databus0, data'length); when "0001" => data <= tce_ext(databus1, data'length); when "0010" => data <= tce_ext(databus2, data'length); when "0011" => data <= tce_ext(databus3, data'length); when "0100" => data <= tce_ext(databus4, data'length); when "0101" => data <= tce_ext(databus5, data'length); when "0110" => data <= tce_ext(databus6, data'length); when "0111" => data <= tce_ext(databus7, data'length); when "1000" => data <= tce_ext(databus8, data'length); when "1001" => data <= tce_ext(databus9, data'length); when "1010" => data <= tce_ext(databus10, data'length); when others => data <= tce_ext(databus11, data'length); end case; end process sel; end rtl;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use work.tce_util.all; entity ffaccel_input_mux_12 is generic ( BUSW_0 : integer := 32; BUSW_1 : integer := 32; BUSW_2 : integer := 32; BUSW_3 : integer := 32; BUSW_4 : integer := 32; BUSW_5 : integer := 32; BUSW_6 : integer := 32; BUSW_7 : integer := 32; BUSW_8 : integer := 32; BUSW_9 : integer := 32; BUSW_10 : integer := 32; BUSW_11 : integer := 32; DATAW : integer := 32); port ( databus0 : in std_logic_vector(BUSW_0-1 downto 0); databus1 : in std_logic_vector(BUSW_1-1 downto 0); databus2 : in std_logic_vector(BUSW_2-1 downto 0); databus3 : in std_logic_vector(BUSW_3-1 downto 0); databus4 : in std_logic_vector(BUSW_4-1 downto 0); databus5 : in std_logic_vector(BUSW_5-1 downto 0); databus6 : in std_logic_vector(BUSW_6-1 downto 0); databus7 : in std_logic_vector(BUSW_7-1 downto 0); databus8 : in std_logic_vector(BUSW_8-1 downto 0); databus9 : in std_logic_vector(BUSW_9-1 downto 0); databus10 : in std_logic_vector(BUSW_10-1 downto 0); databus11 : in std_logic_vector(BUSW_11-1 downto 0); data : out std_logic_vector(DATAW-1 downto 0); databus_cntrl : in std_logic_vector(3 downto 0)); end ffaccel_input_mux_12; architecture rtl of ffaccel_input_mux_12 is begin -- If width of input bus is greater than width of output, -- using the LSB bits. -- If width of input bus is smaller than width of output, -- using zero extension to generate extra bits. sel : process (databus_cntrl, databus0, databus1, databus2, databus3, databus4, databus5, databus6, databus7, databus8, databus9, databus10, databus11) begin data <= (others => '0'); case databus_cntrl is when "0000" => data <= tce_ext(databus0, data'length); when "0001" => data <= tce_ext(databus1, data'length); when "0010" => data <= tce_ext(databus2, data'length); when "0011" => data <= tce_ext(databus3, data'length); when "0100" => data <= tce_ext(databus4, data'length); when "0101" => data <= tce_ext(databus5, data'length); when "0110" => data <= tce_ext(databus6, data'length); when "0111" => data <= tce_ext(databus7, data'length); when "1000" => data <= tce_ext(databus8, data'length); when "1001" => data <= tce_ext(databus9, data'length); when "1010" => data <= tce_ext(databus10, data'length); when others => data <= tce_ext(databus11, data'length); end case; end process sel; end rtl;
------------------------------------------------------------------------------- -- axi_bram_ctrl_top.vhd ------------------------------------------------------------------------------- -- -- -- (c) Copyright [2010 - 2013] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ------------------------------------------------------------------------------- -- Filename: axi_bram_ctrl_top.vhd -- -- Description: This file is the top level module for the AXI BRAM -- controller IP core. -- -- VHDL-Standard: VHDL'93 -- ------------------------------------------------------------------------------- -- Structure: -- axi_bram_ctrl_top.vhd (v3_0) -- | -- |-- full_axi.vhd -- | -- sng_port_arb.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- wr_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- ecc_gen.vhd -- | -- | -- rd_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- ecc_gen.vhd -- | -- |-- axi_lite.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- ecc_gen.vhd -- -- -- ------------------------------------------------------------------------------- -- -- History: -- -- ^^^^^^ -- JLJ 2/1/2011 v1.03a -- ~~~~~~ -- Migrate to v1.03a. -- Plus minor code cleanup. -- ^^^^^^ -- JLJ 2/2/2011 v1.03a -- ~~~~~~ -- Remove library version # dependency. Replace with work library. -- ^^^^^^ -- JLJ 2/9/2011 v1.03a -- ~~~~~~ -- Update Create_Size_Default function to support 512 & 1024-bit BRAM. -- Replace usage of Create_Size_Default function. -- ^^^^^^ -- JLJ 2/15/2011 v1.03a -- ~~~~~~ -- Initial integration of Hsiao ECC algorithm. -- Add C_ECC_TYPE top level parameter on full_axi module. -- Update ECC signal sizes for 128-bit support. -- ^^^^^^ -- JLJ 2/16/2011 v1.03a -- ~~~~~~ -- Update WE size based on 128-bit ECC configuration. -- ^^^^^^ -- JLJ 2/22/2011 v1.03a -- ~~~~~~ -- Add C_ECC_TYPE top level parameter on axi_lite module. -- ^^^^^^ -- JLJ 2/23/2011 v1.03a -- ~~~~~~ -- Set C_ECC_TYPE = 1 for Hsiao DV regressions. -- ^^^^^^ -- JLJ 2/24/2011 v1.03a -- ~~~~~~ -- Move Find_ECC_Size function to package. -- ^^^^^^ -- JLJ 3/17/2011 v1.03a -- ~~~~~~ -- Add comments as noted in Spyglass runs. -- ^^^^^^ -- JLJ 5/6/2011 v1.03a -- ~~~~~~ -- Remove C_FAMILY from top level. -- Remove C_FAMILY in axi_lite sub module. -- ^^^^^^ -- JLJ 6/23/2011 v1.03a -- ~~~~~~ -- Migrate 9-bit ECC to 16-bit ECC for 128-bit BRAM data width. -- ^^^^^^ -- -- -- ------------------------------------------------------------------------------- -- Library declarations library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.numeric_std.all; library work; use work.axi_lite; use work.full_axi; use work.axi_bram_ctrl_funcs.all; ------------------------------------------------------------------------------ entity axi_bram_ctrl_top is generic ( -- AXI Parameters C_BRAM_ADDR_WIDTH : integer := 12; -- Width of AXI address bus (in bits) C_S_AXI_ADDR_WIDTH : integer := 32; -- Width of AXI address bus (in bits) C_S_AXI_DATA_WIDTH : integer := 32; -- Width of AXI data bus (in bits) C_S_AXI_ID_WIDTH : INTEGER := 4; -- AXI ID vector width C_S_AXI_PROTOCOL : string := "AXI4"; -- Set to AXI4LITE to optimize out burst transaction support C_S_AXI_SUPPORTS_NARROW_BURST : INTEGER := 1; -- Support for narrow burst operations C_SINGLE_PORT_BRAM : INTEGER := 0; -- Enable single port usage of BRAM -- C_FAMILY : string := "virtex6"; -- Specify the target architecture type -- AXI-Lite Register Parameters C_S_AXI_CTRL_ADDR_WIDTH : integer := 32; -- Width of AXI-Lite address bus (in bits) C_S_AXI_CTRL_DATA_WIDTH : integer := 32; -- Width of AXI-Lite data bus (in bits) -- ECC Parameters C_ECC : integer := 0; -- Enables or disables ECC functionality C_ECC_TYPE : integer := 1; C_FAULT_INJECT : integer := 0; -- Enable fault injection registers -- (default = disabled) C_ECC_ONOFF_RESET_VALUE : integer := 1 -- By default, ECC checking is on -- (can disable ECC @ reset by setting this to 0) -- Reserved parameters for future implementations. -- C_ENABLE_AXI_CTRL_REG_IF : integer := 1; -- By default the ECC AXI-Lite register interface is enabled -- C_CE_FAILING_REGISTERS : integer := 1; -- Enable CE (correctable error) failing registers -- C_UE_FAILING_REGISTERS : integer := 1; -- Enable UE (uncorrectable error) failing registers -- C_ECC_STATUS_REGISTERS : integer := 1; -- Enable ECC status registers -- C_ECC_ONOFF_REGISTER : integer := 1; -- Enable ECC on/off control register -- C_CE_COUNTER_WIDTH : integer := 0 -- Selects CE counter width/threshold to assert ECC_Interrupt ); port ( -- AXI Interface Signals -- AXI Clock and Reset S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; ECC_Interrupt : out std_logic := '0'; ECC_UE : out std_logic := '0'; -- AXI Write Address Channel Signals (AW) S_AXI_AWID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWLEN : in std_logic_vector(7 downto 0); S_AXI_AWSIZE : in std_logic_vector(2 downto 0); S_AXI_AWBURST : in std_logic_vector(1 downto 0); S_AXI_AWLOCK : in std_logic; S_AXI_AWCACHE : in std_logic_vector(3 downto 0); S_AXI_AWPROT : in std_logic_vector(2 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; -- AXI Write Data Channel Signals (W) S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector(C_S_AXI_DATA_WIDTH/8-1 downto 0); S_AXI_WLAST : in std_logic; S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; -- AXI Write Data Response Channel Signals (B) S_AXI_BID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; -- AXI Read Address Channel Signals (AR) S_AXI_ARID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARLEN : in std_logic_vector(7 downto 0); S_AXI_ARSIZE : in std_logic_vector(2 downto 0); S_AXI_ARBURST : in std_logic_vector(1 downto 0); S_AXI_ARLOCK : in std_logic; S_AXI_ARCACHE : in std_logic_vector(3 downto 0); S_AXI_ARPROT : in std_logic_vector(2 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; -- AXI Read Data Channel Signals (R) S_AXI_RID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RLAST : out std_logic; S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; -- AXI-Lite ECC Register Interface Signals -- AXI-Lite Clock and Reset -- Note: AXI-Lite Control IF and AXI IF share the same clock. -- S_AXI_CTRL_ACLK : in std_logic; -- S_AXI_CTRL_ARESETN : in std_logic; -- AXI-Lite Write Address Channel Signals (AW) S_AXI_CTRL_AWVALID : in std_logic; S_AXI_CTRL_AWREADY : out std_logic; S_AXI_CTRL_AWADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); -- AXI-Lite Write Data Channel Signals (W) S_AXI_CTRL_WDATA : in std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); S_AXI_CTRL_WVALID : in std_logic; S_AXI_CTRL_WREADY : out std_logic; -- AXI-Lite Write Data Response Channel Signals (B) S_AXI_CTRL_BRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_BVALID : out std_logic; S_AXI_CTRL_BREADY : in std_logic; -- AXI-Lite Read Address Channel Signals (AR) S_AXI_CTRL_ARADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); S_AXI_CTRL_ARVALID : in std_logic; S_AXI_CTRL_ARREADY : out std_logic; -- AXI-Lite Read Data Channel Signals (R) S_AXI_CTRL_RDATA : out std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); S_AXI_CTRL_RRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_RVALID : out std_logic; S_AXI_CTRL_RREADY : in std_logic; -- BRAM Interface Signals (Port A) BRAM_Rst_A : out std_logic; BRAM_Clk_A : out std_logic; BRAM_En_A : out std_logic; BRAM_WE_A : out std_logic_vector (C_S_AXI_DATA_WIDTH/8 + C_ECC*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); BRAM_Addr_A : out std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0); BRAM_WrData_A : out std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); BRAM_RdData_A : in std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); -- BRAM Interface Signals (Port B) BRAM_Rst_B : out std_logic; BRAM_Clk_B : out std_logic; BRAM_En_B : out std_logic; BRAM_WE_B : out std_logic_vector (C_S_AXI_DATA_WIDTH/8 + C_ECC*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); BRAM_Addr_B : out std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0); BRAM_WrData_B : out std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); BRAM_RdData_B : in std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*8*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0) ); end entity axi_bram_ctrl_top; ------------------------------------------------------------------------------- architecture implementation of axi_bram_ctrl_top is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- All functions defined in axi_bram_ctrl_funcs package. ------------------------------------------------------------------------------- -- Constants ------------------------------------------------------------------------------- -- Model behavior of AXI Interconnect in simulation for wrapping of ID values. constant C_SIM_ONLY : std_logic := '1'; -- Reset active level (common through core) constant C_RESET_ACTIVE : std_logic := '0'; -- Create top level constant to assign fixed value to ARSIZE and AWSIZE -- when narrow bursting is parameterized out of the IP core instantiation. -- constant AXI_FIXED_SIZE_WO_NARROW : std_logic_vector (2 downto 0) := Create_Size_Default; -- v1.03a constant AXI_FIXED_SIZE_WO_NARROW : integer := log2 (C_S_AXI_DATA_WIDTH/8); -- Only instantiate logic based on C_S_AXI_PROTOCOL. constant IF_IS_AXI4 : boolean := (Equal_String (C_S_AXI_PROTOCOL, "AXI4")); constant IF_IS_AXI4LITE : boolean := (Equal_String (C_S_AXI_PROTOCOL, "AXI4LITE")); -- Determine external ECC width. -- Use function defined in axi_bram_ctrl_funcs package. constant C_ECC_WIDTH : integer := Find_ECC_Size (C_ECC, C_S_AXI_DATA_WIDTH); constant C_ECC_FULL_BIT_WIDTH : integer := Find_ECC_Full_Bit_Size (C_ECC, C_S_AXI_DATA_WIDTH); -- Set internal parameters for ECC register enabling when C_ECC = 1 constant C_ENABLE_AXI_CTRL_REG_IF_I : integer := C_ECC; constant C_CE_FAILING_REGISTERS_I : integer := C_ECC; constant C_UE_FAILING_REGISTERS_I : integer := 0; -- Remove all UE registers -- Catastrophic error indicated with ECC_UE & Interrupt flags. constant C_ECC_STATUS_REGISTERS_I : integer := C_ECC; constant C_ECC_ONOFF_REGISTER_I : integer := C_ECC; constant C_CE_COUNTER_WIDTH : integer := 8 * C_ECC; -- Counter only sized when C_ECC = 1. -- Selects CE counter width/threshold to assert ECC_Interrupt -- Hard coded at 8-bits to capture and count up to 256 correctable errors. --constant C_ECC_TYPE : integer := 1; -- v1.03a -- ECC algorithm format, 0 = Hamming code, 1 = Hsiao code ------------------------------------------------------------------------------- -- Signals ------------------------------------------------------------------------------- -- Internal BRAM Signals -- Port A signal bram_en_a_int : std_logic := '0'; signal bram_we_a_int : std_logic_vector (((C_S_AXI_DATA_WIDTH+C_ECC_FULL_BIT_WIDTH)/8)-1 downto 0) := (others => '0'); signal bram_addr_a_int : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal bram_wrdata_a_int : std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) := (others => '0'); signal bram_rddata_a_int : std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) := (others => '0'); -- Port B signal bram_addr_b_int : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal bram_en_b_int : std_logic := '0'; signal bram_we_b_int : std_logic_vector (((C_S_AXI_DATA_WIDTH+C_ECC_FULL_BIT_WIDTH)/8)-1 downto 0) := (others => '0'); signal bram_wrdata_b_int : std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) := (others => '0'); signal bram_rddata_b_int : std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) := (others => '0'); signal axi_awsize_int : std_logic_vector(2 downto 0) := (others => '0'); signal axi_arsize_int : std_logic_vector(2 downto 0) := (others => '0'); signal S_AXI_ARREADY_int : std_logic := '0'; signal S_AXI_AWREADY_int : std_logic := '0'; signal S_AXI_RID_int : std_logic_vector (C_S_AXI_ID_WIDTH-1 downto 0) := (others => '0'); signal S_AXI_BID_int : std_logic_vector (C_S_AXI_ID_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Architecture Body ------------------------------------------------------------------------------- begin -- *** BRAM Port A Output Signals *** BRAM_Rst_A <= not (S_AXI_ARESETN); BRAM_Clk_A <= S_AXI_ACLK; BRAM_En_A <= bram_en_a_int; BRAM_WE_A ((((C_S_AXI_DATA_WIDTH + C_ECC_FULL_BIT_WIDTH)/8) - 1) downto (C_ECC_FULL_BIT_WIDTH/8)) <= bram_we_a_int((C_S_AXI_DATA_WIDTH/8)-1 downto 0); BRAM_Addr_A <= bram_addr_a_int; bram_rddata_a_int (C_S_AXI_DATA_WIDTH-1 downto 0) <= BRAM_RdData_A ((C_S_AXI_DATA_WIDTH + C_ECC_FULL_BIT_WIDTH - 1) downto (C_ECC_FULL_BIT_WIDTH)); BRAM_WrData_A ((C_S_AXI_DATA_WIDTH + C_ECC_FULL_BIT_WIDTH - 1) downto (C_ECC_FULL_BIT_WIDTH)) <= bram_wrdata_a_int(C_S_AXI_DATA_WIDTH-1 downto 0); -- Added for 13.3 -- Drive unused upper ECC bits to '0' -- For bram_block compatibility, must drive unused upper bits to '0' for ECC 128-bit use case. GEN_128_ECC_WR: if (C_S_AXI_DATA_WIDTH = 128) and (C_ECC = 1) generate begin BRAM_WrData_A ((C_ECC_FULL_BIT_WIDTH - 1) downto (C_ECC_WIDTH)) <= (others => '0'); BRAM_WrData_A ((C_ECC_WIDTH-1) downto 0) <= bram_wrdata_a_int(C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH); BRAM_WE_A ((C_ECC_FULL_BIT_WIDTH/8) - 1 downto 0) <= bram_we_a_int(((C_S_AXI_DATA_WIDTH+C_ECC_FULL_BIT_WIDTH)/8)-1 downto (C_S_AXI_DATA_WIDTH/8)); bram_rddata_a_int (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH) <= BRAM_RdData_A ((C_ECC_WIDTH-1) downto 0); end generate GEN_128_ECC_WR; GEN_ECC_WR: if ( not (C_S_AXI_DATA_WIDTH = 128) and (C_ECC = 1)) generate begin BRAM_WrData_A ((C_ECC_WIDTH - 1) downto 0) <= bram_wrdata_a_int(C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH); BRAM_WE_A ((C_ECC_FULL_BIT_WIDTH/8) - 1 downto 0) <= bram_we_a_int(((C_S_AXI_DATA_WIDTH+C_ECC_FULL_BIT_WIDTH)/8)-1 downto (C_S_AXI_DATA_WIDTH/8)); bram_rddata_a_int (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH) <= BRAM_RdData_A ((C_ECC_WIDTH-1) downto 0); end generate GEN_ECC_WR; -- *** BRAM Port B Output Signals *** GEN_PORT_B: if (C_SINGLE_PORT_BRAM = 0) generate begin BRAM_Rst_B <= not (S_AXI_ARESETN); BRAM_WE_B ((((C_S_AXI_DATA_WIDTH + C_ECC_FULL_BIT_WIDTH)/8) - 1) downto (C_ECC_FULL_BIT_WIDTH/8)) <= bram_we_b_int((C_S_AXI_DATA_WIDTH/8)-1 downto 0); BRAM_Addr_B <= bram_addr_b_int; BRAM_En_B <= bram_en_b_int; bram_rddata_b_int (C_S_AXI_DATA_WIDTH-1 downto 0) <= BRAM_RdData_B ((C_S_AXI_DATA_WIDTH + C_ECC_FULL_BIT_WIDTH - 1) downto (C_ECC_FULL_BIT_WIDTH)); BRAM_WrData_B ((C_S_AXI_DATA_WIDTH + C_ECC_FULL_BIT_WIDTH - 1) downto (C_ECC_FULL_BIT_WIDTH)) <= bram_wrdata_b_int(C_S_AXI_DATA_WIDTH-1 downto 0); -- 13.3 -- BRAM_WrData_B <= bram_wrdata_b_int; -- Added for 13.3 -- Drive unused upper ECC bits to '0' -- For bram_block compatibility, must drive unused upper bits to '0' for ECC 128-bit use case. GEN_128_ECC_WR: if (C_S_AXI_DATA_WIDTH = 128) and (C_ECC = 1) generate begin BRAM_WrData_B ((C_ECC_FULL_BIT_WIDTH - 1) downto (C_ECC_WIDTH)) <= (others => '0'); BRAM_WrData_B ((C_ECC_WIDTH-1) downto 0) <= bram_wrdata_b_int(C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH); BRAM_WE_B ((C_ECC_FULL_BIT_WIDTH/8) - 1 downto 0) <= bram_we_b_int(((C_S_AXI_DATA_WIDTH+C_ECC_FULL_BIT_WIDTH)/8)-1 downto (C_S_AXI_DATA_WIDTH/8)); bram_rddata_b_int (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH) <= BRAM_RdData_B ((C_ECC_WIDTH-1) downto 0); end generate GEN_128_ECC_WR; GEN_ECC_WR: if ( not (C_S_AXI_DATA_WIDTH = 128) and (C_ECC = 1)) generate begin BRAM_WrData_B ((C_ECC_WIDTH - 1) downto 0) <= bram_wrdata_b_int(C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH); BRAM_WE_B ((C_ECC_FULL_BIT_WIDTH/8) - 1 downto 0) <= bram_we_b_int(((C_S_AXI_DATA_WIDTH+C_ECC_FULL_BIT_WIDTH)/8)-1 downto (C_S_AXI_DATA_WIDTH/8)); bram_rddata_b_int (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto C_S_AXI_DATA_WIDTH) <= BRAM_RdData_B ((C_ECC_WIDTH-1) downto 0); end generate GEN_ECC_WR; end generate GEN_PORT_B; GEN_NO_PORT_B: if (C_SINGLE_PORT_BRAM = 1) generate begin BRAM_Rst_B <= '0'; BRAM_WE_B <= (others => '0'); BRAM_WrData_B <= (others => '0'); BRAM_Addr_B <= (others => '0'); BRAM_En_B <= '0'; end generate GEN_NO_PORT_B; --------------------------------------------------------------------------- -- -- Generate: GEN_BRAM_CLK_B -- Purpose: Only drive BRAM_Clk_B when dual port BRAM is enabled. -- --------------------------------------------------------------------------- GEN_BRAM_CLK_B: if (C_SINGLE_PORT_BRAM = 0) generate begin BRAM_Clk_B <= S_AXI_ACLK; end generate GEN_BRAM_CLK_B; --------------------------------------------------------------------------- -- -- Generate: GEN_NO_BRAM_CLK_B -- Purpose: Drive default value for BRAM_Clk_B when single port -- BRAM is enabled and no clock is necessary on the inactive -- BRAM port. -- --------------------------------------------------------------------------- GEN_NO_BRAM_CLK_B: if (C_SINGLE_PORT_BRAM = 1) generate begin BRAM_Clk_B <= '0'; end generate GEN_NO_BRAM_CLK_B; --------------------------------------------------------------------------- -- Generate top level ARSIZE and AWSIZE signals for rd_chnl and wr_chnl -- respectively, based on design parameter setting of generic, -- C_S_AXI_SUPPORTS_NARROW_BURST. --------------------------------------------------------------------------- -- -- Generate: GEN_W_NARROW -- Purpose: Create internal AWSIZE and ARSIZE signal for write and -- read channel modules based on top level AXI signal inputs. -- --------------------------------------------------------------------------- GEN_W_NARROW: if (C_S_AXI_SUPPORTS_NARROW_BURST = 1) and (IF_IS_AXI4) generate begin axi_awsize_int <= S_AXI_AWSIZE; axi_arsize_int <= S_AXI_ARSIZE; end generate GEN_W_NARROW; --------------------------------------------------------------------------- -- -- Generate: GEN_WO_NARROW -- Purpose: Create internal AWSIZE and ARSIZE signal for write and -- read channel modules based on hard coded -- value that indicates all AXI transfers will be equal in -- size to the AXI data bus. -- --------------------------------------------------------------------------- GEN_WO_NARROW: if (C_S_AXI_SUPPORTS_NARROW_BURST = 0) or (IF_IS_AXI4LITE) generate begin -- axi_awsize_int <= AXI_FIXED_SIZE_WO_NARROW; -- When AXI-LITE (no narrow transfers supported) -- axi_arsize_int <= AXI_FIXED_SIZE_WO_NARROW; -- v1.03a axi_awsize_int <= std_logic_vector (to_unsigned (AXI_FIXED_SIZE_WO_NARROW, 3)); axi_arsize_int <= std_logic_vector (to_unsigned (AXI_FIXED_SIZE_WO_NARROW, 3)); end generate GEN_WO_NARROW; S_AXI_ARREADY <= S_AXI_ARREADY_int; S_AXI_AWREADY <= S_AXI_AWREADY_int; --------------------------------------------------------------------------- -- -- Generate: GEN_AXI_LITE -- Purpose: Create internal signals for lower level write and read -- channel modules to discard unused AXI signals when the -- AXI protocol is set up for AXI-LITE. -- --------------------------------------------------------------------------- GEN_AXI4LITE: if (IF_IS_AXI4LITE) generate begin -- For simulation purposes ONLY -- AXI Interconnect handles this in real system topologies. S_AXI_BID <= S_AXI_BID_int; S_AXI_RID <= S_AXI_RID_int; ----------------------------------------------------------------------- -- -- Generate: GEN_SIM_ONLY -- Purpose: Mimic behavior of AXI Interconnect in simulation. -- In real hardware system, AXI Interconnect stores and -- wraps value of ARID to RID and AWID to BID. -- ----------------------------------------------------------------------- GEN_SIM_ONLY: if (C_SIM_ONLY = '1') generate begin ------------------------------------------------------------------- -- Must register and wrap the AWID signal REG_BID: process (S_AXI_ACLK) begin if (S_AXI_ACLK'event and S_AXI_ACLK = '1') then if (S_AXI_ARESETN = C_RESET_ACTIVE) then S_AXI_BID_int <= (others => '0'); elsif (S_AXI_AWVALID = '1') and (S_AXI_AWREADY_int = '1') then S_AXI_BID_int <= S_AXI_AWID; else S_AXI_BID_int <= S_AXI_BID_int; end if; end if; end process REG_BID; ------------------------------------------------------------------- -- Must register and wrap the ARID signal REG_RID: process (S_AXI_ACLK) begin if (S_AXI_ACLK'event and S_AXI_ACLK = '1') then if (S_AXI_ARESETN = C_RESET_ACTIVE) then S_AXI_RID_int <= (others => '0'); elsif (S_AXI_ARVALID = '1') and (S_AXI_ARREADY_int = '1') then S_AXI_RID_int <= S_AXI_ARID; else S_AXI_RID_int <= S_AXI_RID_int; end if; end if; end process REG_RID; ------------------------------------------------------------------- end generate GEN_SIM_ONLY; --------------------------------------------------------------------------- -- -- Generate: GEN_HW -- Purpose: Drive default values of RID and BID. In real system -- these are left unconnected and AXI Interconnect is -- responsible for values. -- --------------------------------------------------------------------------- GEN_HW: if (C_SIM_ONLY = '0') generate begin S_AXI_BID_int <= (others => '0'); S_AXI_RID_int <= (others => '0'); end generate GEN_HW; --------------------------------------------------------------------------- -- Instance: I_AXI_LITE -- -- Description: -- This module is for the AXI-Lite -- instantiation of the BRAM controller interface. -- -- Responsible for shared address pipelining between the -- write address (AW) and read address (AR) channels. -- Controls (seperately) the data flows for the write data -- (W), write response (B), and read data (R) channels. -- -- Creates a shared port to BRAM (for all read and write -- transactions) or dual BRAM port utilization based on a -- generic parameter setting. -- -- Instantiates ECC register block if enabled and -- generates ECC logic, when enabled. -- -- --------------------------------------------------------------------------- I_AXI_LITE : entity work.axi_lite generic map ( C_S_AXI_PROTOCOL => C_S_AXI_PROTOCOL , C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH , C_SINGLE_PORT_BRAM => C_SINGLE_PORT_BRAM , -- C_FAMILY => C_FAMILY , C_S_AXI_CTRL_ADDR_WIDTH => C_S_AXI_CTRL_ADDR_WIDTH , C_S_AXI_CTRL_DATA_WIDTH => C_S_AXI_CTRL_DATA_WIDTH , C_ECC => C_ECC , C_ECC_TYPE => C_ECC_TYPE , -- v1.03a C_ECC_WIDTH => C_ECC_WIDTH , -- 8-bits for ECC (32 & 64-bit data widths) C_ENABLE_AXI_CTRL_REG_IF => C_ENABLE_AXI_CTRL_REG_IF_I , -- Use internal constants determined by C_ECC C_FAULT_INJECT => C_FAULT_INJECT , C_CE_FAILING_REGISTERS => C_CE_FAILING_REGISTERS_I , C_UE_FAILING_REGISTERS => C_UE_FAILING_REGISTERS_I , C_ECC_STATUS_REGISTERS => C_ECC_STATUS_REGISTERS_I , C_ECC_ONOFF_REGISTER => C_ECC_ONOFF_REGISTER_I , C_ECC_ONOFF_RESET_VALUE => C_ECC_ONOFF_RESET_VALUE , C_CE_COUNTER_WIDTH => C_CE_COUNTER_WIDTH ) port map ( S_AXI_AClk => S_AXI_ACLK , S_AXI_AResetn => S_AXI_ARESETN , ECC_Interrupt => ECC_Interrupt , ECC_UE => ECC_UE , AXI_AWADDR => S_AXI_AWADDR , AXI_AWVALID => S_AXI_AWVALID , AXI_AWREADY => S_AXI_AWREADY_int , AXI_WDATA => S_AXI_WDATA , AXI_WSTRB => S_AXI_WSTRB , AXI_WVALID => S_AXI_WVALID , AXI_WREADY => S_AXI_WREADY , AXI_BRESP => S_AXI_BRESP , AXI_BVALID => S_AXI_BVALID , AXI_BREADY => S_AXI_BREADY , AXI_ARADDR => S_AXI_ARADDR , AXI_ARVALID => S_AXI_ARVALID , AXI_ARREADY => S_AXI_ARREADY_int , AXI_RDATA => S_AXI_RDATA , AXI_RRESP => S_AXI_RRESP , AXI_RLAST => S_AXI_RLAST , AXI_RVALID => S_AXI_RVALID , AXI_RREADY => S_AXI_RREADY , -- Add AXI-Lite ECC Register Ports -- Note: AXI-Lite Control IF and AXI IF share the same clock. -- S_AXI_CTRL_ACLK => S_AXI_CTRL_ACLK , -- S_AXI_CTRL_ARESETN => S_AXI_CTRL_ARESETN , AXI_CTRL_AWVALID => S_AXI_CTRL_AWVALID , AXI_CTRL_AWREADY => S_AXI_CTRL_AWREADY , AXI_CTRL_AWADDR => S_AXI_CTRL_AWADDR , AXI_CTRL_WDATA => S_AXI_CTRL_WDATA , AXI_CTRL_WVALID => S_AXI_CTRL_WVALID , AXI_CTRL_WREADY => S_AXI_CTRL_WREADY , AXI_CTRL_BRESP => S_AXI_CTRL_BRESP , AXI_CTRL_BVALID => S_AXI_CTRL_BVALID , AXI_CTRL_BREADY => S_AXI_CTRL_BREADY , AXI_CTRL_ARADDR => S_AXI_CTRL_ARADDR , AXI_CTRL_ARVALID => S_AXI_CTRL_ARVALID , AXI_CTRL_ARREADY => S_AXI_CTRL_ARREADY , AXI_CTRL_RDATA => S_AXI_CTRL_RDATA , AXI_CTRL_RRESP => S_AXI_CTRL_RRESP , AXI_CTRL_RVALID => S_AXI_CTRL_RVALID , AXI_CTRL_RREADY => S_AXI_CTRL_RREADY , BRAM_En_A => bram_en_a_int , BRAM_WE_A => bram_we_a_int , BRAM_Addr_A => bram_addr_a_int , BRAM_WrData_A => bram_wrdata_a_int , BRAM_RdData_A => bram_rddata_a_int , BRAM_En_B => bram_en_b_int , BRAM_WE_B => bram_we_b_int , BRAM_Addr_B => bram_addr_b_int , BRAM_WrData_B => bram_wrdata_b_int , BRAM_RdData_B => bram_rddata_b_int ); end generate GEN_AXI4LITE; --------------------------------------------------------------------------- -- -- Generate: GEN_AXI -- Purpose: Only create internal signals for lower level write and read -- channel modules to assign AXI signals when the -- AXI protocol is set up for non AXI-LITE IF connections. -- For AXI4, all AXI signals are assigned to lower level modules. -- -- For AXI-Lite connections, generate statement above will -- create default values on these signals (assigned here). -- --------------------------------------------------------------------------- GEN_AXI4: if (IF_IS_AXI4) generate begin --------------------------------------------------------------------------- -- Instance: I_FULL_AXI -- -- Description: -- Full AXI BRAM controller logic. -- Instantiates wr_chnl and rd_chnl modules. -- If enabled, ECC register interface is included. -- --------------------------------------------------------------------------- I_FULL_AXI : entity work.full_axi generic map ( C_S_AXI_ID_WIDTH => C_S_AXI_ID_WIDTH , C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH , C_S_AXI_PROTOCOL => C_S_AXI_PROTOCOL , C_SINGLE_PORT_BRAM => C_SINGLE_PORT_BRAM , C_S_AXI_SUPPORTS_NARROW_BURST => C_S_AXI_SUPPORTS_NARROW_BURST , C_S_AXI_CTRL_ADDR_WIDTH => C_S_AXI_CTRL_ADDR_WIDTH , C_S_AXI_CTRL_DATA_WIDTH => C_S_AXI_CTRL_DATA_WIDTH , C_ECC => C_ECC , C_ECC_WIDTH => C_ECC_WIDTH , -- 8-bits for ECC (32 & 64-bit data widths) C_ECC_TYPE => C_ECC_TYPE , -- v1.03a C_FAULT_INJECT => C_FAULT_INJECT , C_ECC_ONOFF_RESET_VALUE => C_ECC_ONOFF_RESET_VALUE , C_ENABLE_AXI_CTRL_REG_IF => C_ENABLE_AXI_CTRL_REG_IF_I , -- Use internal constants determined by C_ECC C_CE_FAILING_REGISTERS => C_CE_FAILING_REGISTERS_I , C_UE_FAILING_REGISTERS => C_UE_FAILING_REGISTERS_I , C_ECC_STATUS_REGISTERS => C_ECC_STATUS_REGISTERS_I , C_ECC_ONOFF_REGISTER => C_ECC_ONOFF_REGISTER_I , C_CE_COUNTER_WIDTH => C_CE_COUNTER_WIDTH ) port map ( S_AXI_AClk => S_AXI_ACLK , S_AXI_AResetn => S_AXI_ARESETN , ECC_Interrupt => ECC_Interrupt , ECC_UE => ECC_UE , S_AXI_AWID => S_AXI_AWID , S_AXI_AWADDR => S_AXI_AWADDR(C_S_AXI_ADDR_WIDTH-1 downto 0), S_AXI_AWLEN => S_AXI_AWLEN , S_AXI_AWSIZE => axi_awsize_int , S_AXI_AWBURST => S_AXI_AWBURST , S_AXI_AWLOCK => S_AXI_AWLOCK , S_AXI_AWCACHE => S_AXI_AWCACHE , S_AXI_AWPROT => S_AXI_AWPROT , S_AXI_AWVALID => S_AXI_AWVALID , S_AXI_AWREADY => S_AXI_AWREADY_int , S_AXI_WDATA => S_AXI_WDATA , S_AXI_WSTRB => S_AXI_WSTRB , S_AXI_WLAST => S_AXI_WLAST , S_AXI_WVALID => S_AXI_WVALID , S_AXI_WREADY => S_AXI_WREADY , S_AXI_BID => S_AXI_BID , S_AXI_BRESP => S_AXI_BRESP , S_AXI_BVALID => S_AXI_BVALID , S_AXI_BREADY => S_AXI_BREADY , S_AXI_ARID => S_AXI_ARID , S_AXI_ARADDR => S_AXI_ARADDR(C_S_AXI_ADDR_WIDTH-1 downto 0), S_AXI_ARLEN => S_AXI_ARLEN , S_AXI_ARSIZE => axi_arsize_int , S_AXI_ARBURST => S_AXI_ARBURST , S_AXI_ARLOCK => S_AXI_ARLOCK , S_AXI_ARCACHE => S_AXI_ARCACHE , S_AXI_ARPROT => S_AXI_ARPROT , S_AXI_ARVALID => S_AXI_ARVALID , S_AXI_ARREADY => S_AXI_ARREADY_int , S_AXI_RID => S_AXI_RID , S_AXI_RDATA => S_AXI_RDATA , S_AXI_RRESP => S_AXI_RRESP , S_AXI_RLAST => S_AXI_RLAST , S_AXI_RVALID => S_AXI_RVALID , S_AXI_RREADY => S_AXI_RREADY , -- Add AXI-Lite ECC Register Ports -- Note: AXI-Lite Control IF and AXI IF share the same clock. -- S_AXI_CTRL_ACLK => S_AXI_CTRL_ACLK , -- S_AXI_CTRL_ARESETN => S_AXI_CTRL_ARESETN , S_AXI_CTRL_AWVALID => S_AXI_CTRL_AWVALID , S_AXI_CTRL_AWREADY => S_AXI_CTRL_AWREADY , S_AXI_CTRL_AWADDR => S_AXI_CTRL_AWADDR , S_AXI_CTRL_WDATA => S_AXI_CTRL_WDATA , S_AXI_CTRL_WVALID => S_AXI_CTRL_WVALID , S_AXI_CTRL_WREADY => S_AXI_CTRL_WREADY , S_AXI_CTRL_BRESP => S_AXI_CTRL_BRESP , S_AXI_CTRL_BVALID => S_AXI_CTRL_BVALID , S_AXI_CTRL_BREADY => S_AXI_CTRL_BREADY , S_AXI_CTRL_ARADDR => S_AXI_CTRL_ARADDR , S_AXI_CTRL_ARVALID => S_AXI_CTRL_ARVALID , S_AXI_CTRL_ARREADY => S_AXI_CTRL_ARREADY , S_AXI_CTRL_RDATA => S_AXI_CTRL_RDATA , S_AXI_CTRL_RRESP => S_AXI_CTRL_RRESP , S_AXI_CTRL_RVALID => S_AXI_CTRL_RVALID , S_AXI_CTRL_RREADY => S_AXI_CTRL_RREADY , BRAM_En_A => bram_en_a_int , BRAM_WE_A => bram_we_a_int , BRAM_WrData_A => bram_wrdata_a_int , BRAM_Addr_A => bram_addr_a_int , BRAM_RdData_A => bram_rddata_a_int (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) , BRAM_En_B => bram_en_b_int , BRAM_WE_B => bram_we_b_int , BRAM_Addr_B => bram_addr_b_int , BRAM_WrData_B => bram_wrdata_b_int , BRAM_RdData_B => bram_rddata_b_int (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) ); -- v1.02a -- Seperate instantiations for wr_chnl and rd_chnl moved to -- full_axi module. end generate GEN_AXI4; end architecture implementation;
library ieee; use ieee.std_logic_1164.all; package general is component clock_counter is generic ( f: integer := 50000000 ); port ( clock_i: in std_logic; clock_o: out std_logic ); end component; component random_gen is generic( N : integer := 24 ); port ( input : in std_logic; clock : in std_logic; output : out integer ); end component; component conv_7seg is port ( digit: in std_logic_vector(3 downto 0); seg: out std_logic_vector(6 downto 0) ); end component; component conv_7seg_int is port ( digit: in integer; seg: out std_logic_vector(6 downto 0) ); end component; end package;
entity test is end test; architecture only of test is type small is range 1 to 3; begin -- only p: process begin -- process p assert small'image(1) = "1" report "TEST FAILED image 1" severity FAILURE; report "TEST PASSED image 1" severity NOTE; assert small'image(2) = "2" report "TEST FAILED image 2" severity FAILURE; report "TEST PASSED image 2" severity NOTE; assert small'image(3) = "3" report "TEST FAILED image 3" severity FAILURE; report "TEST PASSED image 3" severity NOTE; wait; end process p; end only;
entity test is end test; architecture only of test is type small is range 1 to 3; begin -- only p: process begin -- process p assert small'image(1) = "1" report "TEST FAILED image 1" severity FAILURE; report "TEST PASSED image 1" severity NOTE; assert small'image(2) = "2" report "TEST FAILED image 2" severity FAILURE; report "TEST PASSED image 2" severity NOTE; assert small'image(3) = "3" report "TEST FAILED image 3" severity FAILURE; report "TEST PASSED image 3" severity NOTE; wait; end process p; end only;
entity test is end test; architecture only of test is type small is range 1 to 3; begin -- only p: process begin -- process p assert small'image(1) = "1" report "TEST FAILED image 1" severity FAILURE; report "TEST PASSED image 1" severity NOTE; assert small'image(2) = "2" report "TEST FAILED image 2" severity FAILURE; report "TEST PASSED image 2" severity NOTE; assert small'image(3) = "3" report "TEST FAILED image 3" severity FAILURE; report "TEST PASSED image 3" severity NOTE; wait; end process p; end only;
library verilog; use verilog.vl_types.all; entity F2DSS_ACE_MISC_STICKYFLAG32 is port( PCLK : in vl_logic; PRESETN : in vl_logic; SET : in vl_logic_vector(31 downto 0); CLR : in vl_logic_vector(31 downto 0); FLAG : out vl_logic_vector(31 downto 0) ); end F2DSS_ACE_MISC_STICKYFLAG32;
library verilog; use verilog.vl_types.all; entity F2DSS_ACE_MISC_STICKYFLAG32 is port( PCLK : in vl_logic; PRESETN : in vl_logic; SET : in vl_logic_vector(31 downto 0); CLR : in vl_logic_vector(31 downto 0); FLAG : out vl_logic_vector(31 downto 0) ); end F2DSS_ACE_MISC_STICKYFLAG32;
library verilog; use verilog.vl_types.all; entity F2DSS_ACE_MISC_STICKYFLAG32 is port( PCLK : in vl_logic; PRESETN : in vl_logic; SET : in vl_logic_vector(31 downto 0); CLR : in vl_logic_vector(31 downto 0); FLAG : out vl_logic_vector(31 downto 0) ); end F2DSS_ACE_MISC_STICKYFLAG32;
-------------------------------------------------------------------------------- -- Title : 16z091-01 module -- Project : 16z091-01 -------------------------------------------------------------------------------- -- File : rx_ctrl.vhd -- Author : Susanne Reinfelder -- Email : [email protected] -- Organization: MEN Mikro Elektronik Nuremberg GmbH -- Created : 22.11.2010 -------------------------------------------------------------------------------- -- Simulator : ModelSim PE 6.6a / ModelSim AE 6.5e sp1 -- Synthesis : -------------------------------------------------------------------------------- -- Description : -- combines modules to build the 16z091-01 module -------------------------------------------------------------------------------- -- Hierarchy : -- * ip_16z091_01 -- rx_module -- rx_ctrl -- rx_get_data -- rx_fifo -- rx_len_cntr -- wb_master -- wb_slave -- tx_module -- tx_ctrl -- tx_put_data -- tx_compl_timeout -- tx_fifo_data -- tx_fifo_header -- error -- err_fifo -- init -- interrupt_core -- interrupt_wb -------------------------------------------------------------------------------- -- Copyright (c) 2016, MEN Mikro Elektronik GmbH -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library work; use work.fpga_pkg_2.all; entity ip_16z091_01 is generic( FPGA_FAMILY : family_type := NONE; NR_OF_WB_SLAVES : natural range 63 DOWNTO 1 := 12; READY_LATENCY : natural := 2; -- only specify values between 0 and 2 FIFO_MAX_USEDW : std_logic_vector(9 downto 0) := "1111111001"; -- = 1017 DW; -- set this value to "1111111111" - (READY_LATENCY + 1) WBM_SUSPEND_FIFO_ACCESS : std_logic_vector(9 downto 0) := "1111111011"; -- = 1019 DW WBM_RESUME_FIFO_ACCESS : std_logic_vector(9 downto 0) := "1111110111"; -- = 1015 DW WBS_SUSPEND_FIFO_ACCESS : std_logic_vector(9 downto 0) := "1111111100"; -- = 1020 DW, one place spare for put_stuffing WBS_RESUME_FIFO_ACCESS : std_logic_vector(9 downto 0) := "1111110111"; -- = 1015 DW PCIE_REQUEST_LENGTH : std_logic_vector(9 downto 0) := "0000100000"; -- 32DW = 128Byte RX_FIFO_DEPTH : natural := 1024; -- valid values are: 2^(RX_LPM_WIDTHU-1) < RX_FIFO_DEPTH <= 2^(RX_LPM_WIDTHU) RX_LPM_WIDTHU : natural := 10; TX_HEADER_FIFO_DEPTH : natural := 32; -- valid values are: 2^(TX_HEADER_LPM_WIDTHU-1) < TX_HEADER_FIFO_DEPTH <= 2^(TX_HEADER_LPM_WIDTHU) TX_HEADER_LPM_WIDTHU : natural := 5; TX_DATA_FIFO_DEPTH : natural := 1024; -- valid values are: 2^(TX_DATA_LPM_WIDTHU-1) < TX_DATA_FIFO_DEPTH <= 2^(TX_DATA_LPM_WIDTHU) TX_DATA_LPM_WIDTHU : natural := 10 ); port( clk : in std_logic; wb_clk : in std_logic; clk_500 : in std_logic; -- 500 Hz clock rst : in std_logic; wb_rst : in std_logic; -- IP Core core_clk : in std_logic; rx_st_data0 : in std_logic_vector(63 downto 0); rx_st_err0 : in std_logic; rx_st_valid0 : in std_logic; rx_st_sop0 : in std_logic; rx_st_eop0 : in std_logic; rx_st_be0 : in std_logic_vector(7 downto 0); rx_st_bardec0 : in std_logic_vector(7 downto 0); tx_st_ready0 : in std_logic; tx_fifo_full0 : in std_logic; tx_fifo_empty0 : in std_logic; tx_fifo_rdptr0 : in std_logic_vector(3 downto 0); tx_fifo_wrptr0 : in std_logic_vector(3 downto 0); pme_to_sr : in std_logic; tl_cfg_add : in std_logic_vector(3 downto 0); tl_cfg_ctl : in std_logic_vector(31 downto 0); tl_cfg_ctl_wr : in std_logic; tl_cfg_sts : in std_logic_vector(52 downto 0); tl_cfg_sts_wr : in std_logic; app_int_ack : in std_logic; app_msi_ack : in std_logic; rx_st_mask0 : out std_logic; rx_st_ready0 : out std_logic; tx_st_err0 : out std_logic; tx_st_valid0 : out std_logic; tx_st_sop0 : out std_logic; tx_st_eop0 : out std_logic; tx_st_data0 : out std_logic_vector(63 downto 0); pme_to_cr : out std_logic; app_int_sts : out std_logic; app_msi_req : out std_logic; app_msi_tc : out std_logic_vector(2 downto 0); app_msi_num : out std_logic_vector(4 downto 0); pex_msi_num : out std_logic_vector(4 downto 0); derr_cor_ext_rcv : in std_logic_vector(1 downto 0); derr_cor_ext_rpl : in std_logic; derr_rpl : in std_logic; r2c_err0 : in std_logic; cpl_err : out std_logic_vector(6 downto 0); cpl_pending : out std_logic; -- Wishbone master wbm_ack : in std_logic; wbm_dat_i : in std_logic_vector(31 downto 0); wbm_stb : out std_logic; --wbm_cyc : out std_logic; wbm_cyc_o : out std_logic_vector(NR_OF_WB_SLAVES - 1 downto 0); --new wbm_we : out std_logic; wbm_sel : out std_logic_vector(3 downto 0); wbm_adr : out std_logic_vector(31 downto 0); wbm_dat_o : out std_logic_vector(31 downto 0); wbm_cti : out std_logic_vector(2 downto 0); wbm_tga : out std_logic; --wb_bar_dec : out std_logic_vector(6 downto 0); -- Wishbone slave wbs_cyc : in std_logic; wbs_stb : in std_logic; wbs_we : in std_logic; wbs_sel : in std_logic_vector(3 downto 0); wbs_adr : in std_logic_vector(31 downto 0); wbs_dat_i : in std_logic_vector(31 downto 0); wbs_cti : in std_logic_vector(2 downto 0); wbs_tga : in std_logic; -- 0: memory, 1: I/O wbs_ack : out std_logic; wbs_err : out std_logic; wbs_dat_o : out std_logic_vector(31 downto 0); -- interrupt wb_int : in std_logic; wb_pwr_enable : in std_logic; wb_int_num : in std_logic_vector(4 downto 0); wb_int_ack : out std_logic; wb_int_num_allowed : out std_logic_vector(5 downto 0); -- error error_timeout : out std_logic; error_cor_ext_rcv : out std_logic_vector(1 downto 0); error_cor_ext_rpl : out std_logic; error_rpl : out std_logic; error_r2c0 : out std_logic; error_msi_num : out std_logic; -- debug port rx_debug_out : out std_logic_vector(3 downto 0) ); end entity ip_16z091_01; architecture ip_16z091_01_arch of ip_16z091_01 is -- functions ------------------------------------------------------------------ function convert_family( fpga_family_in : family_type ) return string is begin case fpga_family_in is when CYCLONE4 => return "Cyclone IV GX"; when CYCLONE5 => return "Cyclone V"; when ARRIA2_GX => return "Arria II GX"; when others => assert false report "undefined family_type in function convert_family in rx_module.vhd" severity failure; return "none"; end case; end function convert_family; -- constants ------------------------------------------------------------------ constant DEVICE_FAMILY_INT : string := convert_family(FPGA_FAMILY); -- internal signals ----------------------------------------------------------- -- rx_module: signal rx_fifo_wr_out_int : std_logic_vector(31 downto 0); signal rx_fifo_wr_empty_int : std_logic; signal rx_fifo_wr_rd_enable_int : std_logic; signal rx_fifo_c_rd_enable_int : std_logic; signal rx_fifo_c_empty_int : std_logic; signal rx_fifo_c_out_int : std_logic_vector(31 downto 0); signal tag_nbr_int : std_logic_vector(7 downto 0); signal rx_tag_rcvd_int : std_logic; signal ecrc_err_int : std_logic; signal type_fmt_err_int : std_logic_vector(1 downto 0); -- tx_module: signal tx_fifo_c_head_clr_int : std_logic; signal tx_fifo_c_data_clr_int : std_logic; signal tx_fifo_c_head_full_int : std_logic; signal tx_fifo_c_data_full_int : std_logic; signal tx_fifo_c_data_usedw_int : std_logic_vector(9 downto 0); signal tx_fifo_c_head_enable_int : std_logic; signal tx_fifo_c_data_enable_int : std_logic; signal tx_fifo_c_head_in_int : std_logic_vector(31 downto 0); signal tx_fifo_c_data_in_int : std_logic_vector(31 downto 0); signal bus_dev_func_int : std_logic_vector(15 downto 0); signal max_read_int : std_logic_vector(2 downto 0); signal max_payload_int : std_logic_vector(2 downto 0); signal tx_fifo_wr_head_clr_int : std_logic; signal tx_fifo_wr_head_enable_int : std_logic; signal tx_fifo_wr_head_in_int : std_logic_vector(31 downto 0); signal tx_fifo_wr_head_full_int : std_logic; signal tx_fifo_w_data_clr_int : std_logic; signal tx_fifo_w_data_enable_int : std_logic; signal tx_fifo_w_data_in_int : std_logic_vector(31 downto 0); signal tx_fifo_w_data_full_int : std_logic; signal tx_fifo_w_data_usedw_int : std_logic_vector(9 downto 0); signal tx_fifo_wr_head_usedw_int : std_logic_vector(4 downto 0); -- error: signal ecrc_err_wb_int : std_logic; signal tag_id_wb_int : std_logic_vector(7 downto 0); signal tx_timeout_int : std_logic; signal timeout_wb_int : std_logic; signal wb_num_err_int : std_logic; signal tx_compl_abort_int : std_logic; -- interrupt signal cfg_msicsr_int : std_logic_vector(15 downto 0); signal wb_pwr_en_int : std_logic; signal wb_num_int_int : std_logic_vector(4 downto 0); signal wb_inter_int : std_logic; signal inter_ack_int : std_logic; signal num_allowed_int : std_logic_vector(5 downto 0); signal ack_ok_int : std_logic; ------------------------------------------------------------------------------- -- components ----------------------------------------------------------------- component rx_module generic( DEVICE_FAMILY : string := "unused"; READY_LATENCY : natural := 2; -- only specify values between 0 and 2 FIFO_MAX_USEDW : std_logic_vector(9 downto 0) := "1111111001"; -- = 1017 DW; -- set this value to "1111111111" - (READY_LATENCY + 1) RX_FIFO_DEPTH : natural := 1024; -- valid values are: 2^(RX_LPM_WIDTHU-1) < RX_FIFO_DEPTH <= 2^(RX_LPM_WIDTHU) RX_LPM_WIDTHU : natural := 10 ); port( clk : in std_logic; wb_clk : in std_logic; rst : in std_logic; -- IP Core rx_st_data0 : in std_logic_vector(63 downto 0); rx_st_err0 : in std_logic; rx_st_valid0 : in std_logic; rx_st_sop0 : in std_logic; rx_st_eop0 : in std_logic; rx_st_be0 : in std_logic_vector(7 downto 0); rx_st_bardec0 : in std_logic_vector(7 downto 0); rx_st_mask0 : out std_logic; rx_st_ready0 : out std_logic; -- FIFO rx_fifo_c_rd_enable : in std_logic; rx_fifo_wr_rd_enable : in std_logic; rx_fifo_c_empty : out std_logic; rx_fifo_wr_empty : out std_logic; rx_fifo_c_out : out std_logic_vector(31 downto 0); rx_fifo_wr_out : out std_logic_vector(31 downto 0); -- Tx Module rx_tag_nbr : out std_logic_vector(7 downto 0); rx_tag_rcvd : out std_logic; -- error rx_type_fmt_err : out std_logic_vector(1 downto 0); rx_ecrc_err : out std_logic; -- debug port rx_debug_out : out std_logic_vector(3 downto 0) ); end component; component z091_01_wb_master generic( NR_OF_WB_SLAVES : natural range 63 DOWNTO 1 := 12; SUSPEND_FIFO_ACCESS : std_logic_vector(9 downto 0) := "1111111011"; -- = 1019 DW RESUME_FIFO_ACCESS : std_logic_vector(9 downto 0) := "1111110111" -- = 1015 DW ); port( wb_clk : in std_logic; wb_rst : in std_logic; -- Rx Module rx_fifo_wr_out : in std_logic_vector(31 downto 0); rx_fifo_wr_empty : in std_logic; rx_fifo_wr_rd_enable : out std_logic; -- Tx Module tx_fifo_c_head_full : in std_logic; tx_fifo_c_data_full : in std_logic; tx_fifo_c_data_usedw : in std_logic_vector(9 downto 0); tx_fifo_c_head_enable : out std_logic; tx_fifo_c_data_enable : out std_logic; tx_fifo_c_head_in : out std_logic_vector(31 downto 0); tx_fifo_c_data_in : out std_logic_vector(31 downto 0); tx_fifo_c_data_clr : out std_logic; tx_fifo_c_head_clr : out std_logic; -- Wishbone wbm_ack : in std_logic; wbm_dat_i : in std_logic_vector(31 downto 0); wbm_stb : out std_logic; --wbm_cyc : out std_logic; wbm_cyc_o : out std_logic_vector(NR_OF_WB_SLAVES - 1 downto 0); --new wbm_we : out std_logic; wbm_sel : out std_logic_vector(3 downto 0); wbm_adr : out std_logic_vector(31 downto 0); wbm_dat_o : out std_logic_vector(31 downto 0); wbm_cti : out std_logic_vector(2 downto 0); wbm_tga : out std_logic; --wb_bar_dec : out std_logic_vector(6 downto 0); -- error ecrc_err_in : in std_logic; err_tag_id : in std_logic_vector(7 downto 0); ecrc_err_out : out std_logic ); end component; component error port( clk : in std_logic; rst : in std_logic; wb_clk : in std_logic; wb_rst : in std_logic; -- RxModule rx_tag_id : in std_logic_vector(7 downto 0); rx_ecrc_err : in std_logic; rx_type_fmt_err : in std_logic_vector(1 downto 0); -- TxModule tx_compl_abort : in std_logic; tx_timeout : in std_logic; -- Interrupt wb_num_err : in std_logic; -- Wishbone error_ecrc_err : out std_logic; error_timeout : out std_logic; error_tag_id : out std_logic_vector(7 downto 0); error_cor_ext_rcv : out std_logic_vector(1 downto 0); error_cor_ext_rpl : out std_logic; error_rpl : out std_logic; error_r2c0 : out std_logic; error_msi_num : out std_logic; -- IP Core derr_cor_ext_rcv : in std_logic_vector(1 downto 0); derr_cor_ext_rpl : in std_logic; derr_rpl : in std_logic; r2c_err0 : in std_logic; cpl_err : out std_logic_vector(6 downto 0); cpl_pending : out std_logic ); end component; component tx_module generic( DEVICE_FAMILY : string := "unused"; TX_HEADER_FIFO_DEPTH : natural := 32; -- valid values are: 2^(TX_HEADER_LPM_WIDTHU-1) < TX_HEADER_FIFO_DEPTH <= 2^(TX_HEADER_LPM_WIDTHU) TX_HEADER_LPM_WIDTHU : natural := 5; TX_DATA_FIFO_DEPTH : natural := 1024; -- valid values are: 2^(TX_DATA_LPM_WIDTHU-1) < TX_DATA_FIFO_DEPTH <= 2^(TX_DATA_LPM_WIDTHU) TX_DATA_LPM_WIDTHU : natural := 10 ); port( clk : in std_logic; rst : in std_logic; wb_clk : in std_logic; wb_rst : in std_logic; clk_500 : in std_logic; -- 500 Hz clock -- IP Core tx_st_ready0 : in std_logic; tx_fifo_full0 : in std_logic; tx_fifo_empty0 : in std_logic; tx_fifo_rdptr0 : in std_logic_vector(3 downto 0); tx_fifo_wrptr0 : in std_logic_vector(3 downto 0); pme_to_sr : in std_logic; tx_st_err0 : out std_logic; tx_st_valid0 : out std_logic; tx_st_sop0 : out std_logic; tx_st_eop0 : out std_logic; tx_st_data0 : out std_logic_vector(63 downto 0); pme_to_cr : out std_logic; -- Rx Module rx_tag_nbr : in std_logic_vector(7 downto 0); rx_tag_rcvd : in std_logic; -- Wishbone Master tx_fifo_c_data_clr : in std_logic; tx_fifo_c_head_clr : in std_logic; tx_fifo_c_head_enable : in std_logic; tx_fifo_c_data_enable : in std_logic; tx_fifo_c_head_in : in std_logic_vector(31 downto 0); tx_fifo_c_data_in : in std_logic_vector(31 downto 0); tx_fifo_c_head_full : out std_logic; tx_fifo_c_data_full : out std_logic; tx_fifo_c_data_usedw : out std_logic_vector(9 downto 0); -- Wishbone Slave tx_fifo_wr_head_clr : in std_logic; tx_fifo_wr_head_enable : in std_logic; tx_fifo_wr_head_in : in std_logic_vector(31 downto 0); tx_fifo_w_data_clr : in std_logic; tx_fifo_w_data_enable : in std_logic; tx_fifo_w_data_in : in std_logic_vector(31 downto 0); tx_fifo_wr_head_full : out std_logic; tx_fifo_w_data_full : out std_logic; tx_fifo_w_data_usedw : out std_logic_vector(9 downto 0); tx_fifo_wr_head_usedw : out std_logic_vector(4 downto 0); -- init bus_dev_func : in std_logic_vector(15 downto 0); max_payload : in std_logic_vector(2 downto 0); -- error tx_compl_abort : out std_logic; tx_timeout : out std_logic ); end component; component init port( core_clk : in std_logic; -- synchronous to core_clk from hard IP core clk : in std_logic; rst : in std_logic; -- IP core tl_cfg_add : in std_logic_vector(3 downto 0); tl_cfg_ctl : in std_logic_vector(31 downto 0); tl_cfg_ctl_wr : in std_logic; tl_cfg_sts : in std_logic_vector(52 downto 0); tl_cfg_sts_wr : in std_logic; -- interrupt module cfg_msicsr : out std_logic_vector(15 downto 0); -- Tx Module bus_dev_func : out std_logic_vector(15 downto 0); max_read : out std_logic_vector(2 downto 0); max_payload : out std_logic_vector(2 downto 0) ); end component; component z091_01_wb_slave generic( PCIE_REQUEST_LENGTH : std_logic_vector(9 downto 0) := "0000100000"; -- 32DW = 128Byte SUSPEND_FIFO_ACCESS : std_logic_vector(9 downto 0) := "1111111100"; -- = 1020 DW, must be < or = (FIFO max size - 6) RESUME_FIFO_ACCESS : std_logic_vector(9 downto 0) := "1111110111" -- = 1015 DW ); port( wb_clk : in std_logic; wb_rst : in std_logic; -- Wishbone wbs_cyc : in std_logic; wbs_stb : in std_logic; wbs_we : in std_logic; wbs_sel : in std_logic_vector(3 downto 0); wbs_adr : in std_logic_vector(31 downto 0); wbs_dat_i : in std_logic_vector(31 downto 0); wbs_cti : in std_logic_vector(2 downto 0); wbs_tga : in std_logic; -- 0: memory, 1: I/O wbs_ack : out std_logic; wbs_err : out std_logic; wbs_dat_o : out std_logic_vector(31 downto 0); -- Rx Module rx_fifo_c_empty : in std_logic; rx_fifo_c_out : in std_logic_vector(31 downto 0); rx_fifo_c_rd_enable : out std_logic; -- Tx Module tx_fifo_wr_head_full : in std_logic; tx_fifo_w_data_full : in std_logic; tx_fifo_w_data_usedw : in std_logic_vector(9 downto 0); tx_fifo_wr_head_usedw : in std_logic_vector(4 downto 0); tx_fifo_wr_head_clr : out std_logic; tx_fifo_wr_head_enable : out std_logic; tx_fifo_wr_head_in : out std_logic_vector(31 downto 0); tx_fifo_w_data_clr : out std_logic; tx_fifo_w_data_enable : out std_logic; tx_fifo_w_data_in : out std_logic_vector(31 downto 0); max_read : in std_logic_vector(2 downto 0); -- error error_ecrc_err : in std_logic; error_timeout : in std_logic ); end component; component interrupt_core port( clk : in std_logic; rst : in std_logic; -- IP Core app_int_ack : in std_logic; app_msi_ack : in std_logic; app_int_sts : out std_logic; app_msi_req : out std_logic; app_msi_tc : out std_logic_vector(2 downto 0); app_msi_num : out std_logic_vector(4 downto 0); pex_msi_num : out std_logic_vector(4 downto 0); -- interrupt_wb wb_pwr_en : in std_logic; wb_num_int : in std_logic_vector(4 downto 0); wb_inter : in std_logic; ack_ok : in std_logic; inter_ack : out std_logic; num_allowed : out std_logic_vector(5 downto 0); -- init cfg_msicsr : in std_logic_vector(15 downto 0); -- error wb_num_err : out std_logic ); end component; component interrupt_wb port( wb_clk : in std_logic; wb_rst : in std_logic; -- interrupt_core inter_ack : in std_logic; num_allowed : in std_logic_vector(5 downto 0); wb_pwr_en : out std_logic; wb_num_int : out std_logic_vector(4 downto 0); wb_inter : out std_logic; ack_ok : out std_logic; -- Wishbone wb_int : in std_logic; wb_pwr_enable : in std_logic; -- =1 if wb_int_num should be for power management, else -- for normal interrupt wb_int_num : in std_logic_vector(4 downto 0); wb_int_ack : out std_logic; wb_int_num_allowed : out std_logic_vector(5 downto 0) -- =0 if MSI not allowed, else: nbr. of allocated signals ); end component; ------------------------------------------------------------------------------- begin -- instanciate components -------------------------------------------------- rx_module_comp : rx_module generic map( DEVICE_FAMILY => DEVICE_FAMILY_INT, READY_LATENCY => READY_LATENCY, FIFO_MAX_USEDW => FIFO_MAX_USEDW, RX_FIFO_DEPTH => RX_FIFO_DEPTH, RX_LPM_WIDTHU => RX_LPM_WIDTHU ) port map( clk => clk, wb_clk => wb_clk, rst => rst, -- IP Core rx_st_data0 => rx_st_data0, rx_st_err0 => rx_st_err0, rx_st_valid0 => rx_st_valid0, rx_st_sop0 => rx_st_sop0, rx_st_eop0 => rx_st_eop0, rx_st_be0 => rx_st_be0, rx_st_bardec0 => rx_st_bardec0, rx_st_mask0 => rx_st_mask0, rx_st_ready0 => rx_st_ready0, -- FIFO rx_fifo_c_rd_enable => rx_fifo_c_rd_enable_int, rx_fifo_wr_rd_enable => rx_fifo_wr_rd_enable_int, rx_fifo_c_empty => rx_fifo_c_empty_int, rx_fifo_wr_empty => rx_fifo_wr_empty_int, rx_fifo_c_out => rx_fifo_c_out_int, rx_fifo_wr_out => rx_fifo_wr_out_int, -- Tx Module rx_tag_nbr => tag_nbr_int, rx_tag_rcvd => rx_tag_rcvd_int, -- error rx_type_fmt_err => type_fmt_err_int, rx_ecrc_err => ecrc_err_int, -- debug port rx_debug_out => rx_debug_out ); wb_master_comp : z091_01_wb_master generic map( NR_OF_WB_SLAVES => NR_OF_WB_SLAVES, SUSPEND_FIFO_ACCESS => WBM_SUSPEND_FIFO_ACCESS, RESUME_FIFO_ACCESS => WBM_RESUME_FIFO_ACCESS ) port map( wb_clk => wb_clk, wb_rst => wb_rst, -- Rx Module rx_fifo_wr_out => rx_fifo_wr_out_int, rx_fifo_wr_empty => rx_fifo_wr_empty_int, rx_fifo_wr_rd_enable => rx_fifo_wr_rd_enable_int, -- Tx Module tx_fifo_c_head_full => tx_fifo_c_head_full_int, tx_fifo_c_data_full => tx_fifo_c_data_full_int, tx_fifo_c_data_usedw => tx_fifo_c_data_usedw_int, tx_fifo_c_head_enable => tx_fifo_c_head_enable_int, tx_fifo_c_data_enable => tx_fifo_c_data_enable_int, tx_fifo_c_head_in => tx_fifo_c_head_in_int, tx_fifo_c_data_in => tx_fifo_c_data_in_int, tx_fifo_c_data_clr => tx_fifo_c_data_clr_int, tx_fifo_c_head_clr => tx_fifo_c_head_clr_int, -- Wishbone wbm_ack => wbm_ack, wbm_dat_i => wbm_dat_i, wbm_stb => wbm_stb, --wbm_cyc => wbm_cyc, wbm_cyc_o => wbm_cyc_o, wbm_we => wbm_we, wbm_sel => wbm_sel, wbm_adr => wbm_adr, wbm_dat_o => wbm_dat_o, wbm_cti => wbm_cti, wbm_tga => wbm_tga, --wb_bar_dec => wb_bar_dec, -- error ecrc_err_in => ecrc_err_wb_int, err_tag_id => tag_id_wb_int, ecrc_err_out => open ); error_comp : error port map( clk => clk, rst => rst, wb_clk => wb_clk, wb_rst => wb_rst, -- RxModule rx_tag_id => tag_nbr_int, rx_ecrc_err => ecrc_err_int, rx_type_fmt_err => type_fmt_err_int, -- TxModule tx_compl_abort => tx_compl_abort_int, tx_timeout => tx_timeout_int, -- Interrupt wb_num_err => wb_num_err_int, -- Wishbone error_ecrc_err => ecrc_err_wb_int, error_timeout => timeout_wb_int, error_tag_id => tag_id_wb_int, error_cor_ext_rcv => error_cor_ext_rcv, error_cor_ext_rpl => error_cor_ext_rpl, error_rpl => error_rpl, error_r2c0 => error_r2c0, error_msi_num => error_msi_num, -- IP Core derr_cor_ext_rcv => derr_cor_ext_rcv, derr_cor_ext_rpl => derr_cor_ext_rpl, derr_rpl => derr_rpl, r2c_err0 => r2c_err0, cpl_err => cpl_err, cpl_pending => cpl_pending ); tx_module_comp : tx_module generic map( DEVICE_FAMILY => DEVICE_FAMILY_INT, TX_HEADER_FIFO_DEPTH => TX_HEADER_FIFO_DEPTH, TX_HEADER_LPM_WIDTHU => TX_HEADER_LPM_WIDTHU, TX_DATA_FIFO_DEPTH => TX_DATA_FIFO_DEPTH, TX_DATA_LPM_WIDTHU => TX_DATA_LPM_WIDTHU ) port map( clk => clk, rst => rst, wb_clk => wb_clk, wb_rst => wb_rst, clk_500 => clk_500, -- IP Core tx_st_ready0 => tx_st_ready0, tx_fifo_full0 => tx_fifo_full0, tx_fifo_empty0 => tx_fifo_empty0, tx_fifo_rdptr0 => tx_fifo_rdptr0, tx_fifo_wrptr0 => tx_fifo_wrptr0, pme_to_sr => pme_to_sr, tx_st_err0 => tx_st_err0, tx_st_valid0 => tx_st_valid0, tx_st_sop0 => tx_st_sop0, tx_st_eop0 => tx_st_eop0, tx_st_data0 => tx_st_data0, pme_to_cr => pme_to_cr, -- Wishbone Master tx_fifo_c_data_clr => tx_fifo_c_data_clr_int, tx_fifo_c_head_clr => tx_fifo_c_head_clr_int, tx_fifo_c_head_enable => tx_fifo_c_head_enable_int, tx_fifo_c_data_enable => tx_fifo_c_data_enable_int, tx_fifo_c_head_in => tx_fifo_c_head_in_int, tx_fifo_c_data_in => tx_fifo_c_data_in_int, tx_fifo_c_head_full => tx_fifo_c_head_full_int, tx_fifo_c_data_full => tx_fifo_c_data_full_int, tx_fifo_c_data_usedw => tx_fifo_c_data_usedw_int, -- Wishbone Slave tx_fifo_wr_head_clr => tx_fifo_wr_head_clr_int, tx_fifo_wr_head_enable => tx_fifo_wr_head_enable_int, tx_fifo_wr_head_in => tx_fifo_wr_head_in_int, tx_fifo_wr_head_full => tx_fifo_wr_head_full_int, tx_fifo_w_data_clr => tx_fifo_w_data_clr_int, tx_fifo_w_data_enable => tx_fifo_w_data_enable_int, tx_fifo_w_data_in => tx_fifo_w_data_in_int, tx_fifo_w_data_full => tx_fifo_w_data_full_int, tx_fifo_w_data_usedw => tx_fifo_w_data_usedw_int, tx_fifo_wr_head_usedw => tx_fifo_wr_head_usedw_int, -- Rx Module rx_tag_nbr => tag_nbr_int, rx_tag_rcvd => rx_tag_rcvd_int, -- init bus_dev_func => bus_dev_func_int, -- max_read => max_read_int, max_payload => max_payload_int, -- error tx_compl_abort => tx_compl_abort_int, tx_timeout => tx_timeout_int ); init_comp : init port map( core_clk => core_clk, -- synchronous to core_clk from hard IP core clk => clk, rst => rst, -- IP core tl_cfg_add => tl_cfg_add, tl_cfg_ctl => tl_cfg_ctl, tl_cfg_ctl_wr => tl_cfg_ctl_wr, tl_cfg_sts => tl_cfg_sts, tl_cfg_sts_wr => tl_cfg_sts_wr, -- interrupt module cfg_msicsr => cfg_msicsr_int, -- Tx Module bus_dev_func => bus_dev_func_int, max_read => max_read_int, max_payload => max_payload_int ); wb_slave_comp : z091_01_wb_slave generic map( PCIE_REQUEST_LENGTH => PCIE_REQUEST_LENGTH, -- 32DW = 128Byte SUSPEND_FIFO_ACCESS => WBS_SUSPEND_FIFO_ACCESS, -- = 1020 DW, one place spare for put_stuffing RESUME_FIFO_ACCESS => WBS_RESUME_FIFO_ACCESS -- = 1015 DW ) port map( wb_clk => wb_clk, wb_rst => wb_rst, -- Wishbone wbs_cyc => wbs_cyc, wbs_stb => wbs_stb, wbs_we => wbs_we, wbs_sel => wbs_sel, wbs_adr => wbs_adr, wbs_dat_i => wbs_dat_i, wbs_cti => wbs_cti, wbs_tga => wbs_tga, wbs_ack => wbs_ack, wbs_err => wbs_err, wbs_dat_o => wbs_dat_o, -- Rx Module rx_fifo_c_empty => rx_fifo_c_empty_int, rx_fifo_c_out => rx_fifo_c_out_int, rx_fifo_c_rd_enable => rx_fifo_c_rd_enable_int, -- Tx Module tx_fifo_wr_head_full => tx_fifo_wr_head_full_int, tx_fifo_w_data_full => tx_fifo_w_data_full_int, tx_fifo_w_data_usedw => tx_fifo_w_data_usedw_int, tx_fifo_wr_head_usedw => tx_fifo_wr_head_usedw_int, tx_fifo_wr_head_clr => tx_fifo_wr_head_clr_int, tx_fifo_wr_head_enable => tx_fifo_wr_head_enable_int, tx_fifo_wr_head_in => tx_fifo_wr_head_in_int, tx_fifo_w_data_clr => tx_fifo_w_data_clr_int, tx_fifo_w_data_enable => tx_fifo_w_data_enable_int, tx_fifo_w_data_in => tx_fifo_w_data_in_int, max_read => max_read_int, -- error error_ecrc_err => ecrc_err_wb_int, error_timeout => timeout_wb_int ); interrupt_core_comp : interrupt_core port map( clk => clk, rst => rst, -- IP Core app_int_ack => app_int_ack, app_msi_ack => app_msi_ack, app_int_sts => app_int_sts, app_msi_req => app_msi_req, app_msi_tc => app_msi_tc, app_msi_num => app_msi_num, pex_msi_num => pex_msi_num, -- interrupt_wb wb_pwr_en => wb_pwr_en_int, wb_num_int => wb_num_int_int, wb_inter => wb_inter_int, ack_ok => ack_ok_int, inter_ack => inter_ack_int, num_allowed => num_allowed_int, -- init cfg_msicsr => cfg_msicsr_int, -- error wb_num_err => wb_num_err_int ); interrupt_wb_comp : interrupt_wb port map( wb_clk => wb_clk, wb_rst => wb_rst, -- interrupt_core inter_ack => inter_ack_int, num_allowed => num_allowed_int, wb_pwr_en => wb_pwr_en_int, wb_num_int => wb_num_int_int, wb_inter => wb_inter_int, ack_ok => ack_ok_int, -- Wishbone wb_int => wb_int, wb_pwr_enable => wb_pwr_enable, wb_int_num => wb_int_num, wb_int_ack => wb_int_ack, wb_int_num_allowed => wb_int_num_allowed ); ------------------------------------------------------------------------------- error_timeout <= timeout_wb_int; ------------------------------------------------------------------------------- end architecture ip_16z091_01_arch;
------------------------------------------------------------------------------- -- $Id: t400_por-c.vhd,v 1.2 2006-05-07 01:49:16 arniml Exp $ ------------------------------------------------------------------------------- configuration t400_por_rtl_c0 of t400_por is for cyclone end for; end t400_por_rtl_c0; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.1.1.1 2006/05/06 01:56:44 arniml -- import from local CVS repository, LOC_CVS_0_1 -- -------------------------------------------------------------------------------
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.15:19:46) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY fir1_random_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18, input19, input20, input21, input22: IN unsigned(0 TO 3); output1: OUT unsigned(0 TO 4)); END fir1_random_entity; ARCHITECTURE fir1_random_description OF fir1_random_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register8: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register9: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register10: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register11: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register12: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register13: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register14: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register15: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register16: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register17: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 and input1; register2 := input2 and input2; register3 := input3 and input3; register4 := input4 and input4; register5 := input5 and input5; register6 := input6 and input6; register7 := input7 and input7; register8 := input8 and input8; register9 := input9 and input9; register10 := input10 and input10; WHEN "00000010" => register11 := input11 and input11; register12 := input12 and input12; register13 := input13 and input13; register14 := input14 and input14; register6 := register6 * register10; register10 := input15 and input15; register15 := input16 and input16; register8 := register9 * register8; register9 := input17 and input17; register16 := input18 and input18; register17 := input19 and input19; WHEN "00000011" => register14 := register14 * register17; register1 := register1 * register2; register2 := register4 * register13; register4 := input20 and input20; register13 := input21 and input21; register7 := register7 * register16; WHEN "00000100" => register13 := register15 * register13; register4 := register10 * register4; WHEN "00000101" => register1 := register1 + register13; register3 := register3 * register9; WHEN "00000110" => register3 := register4 + register3; register4 := register5 * register12; WHEN "00000111" => register3 := register3 + register4; WHEN "00001000" => register3 := register6 + register3; WHEN "00001001" => register1 := register1 + register3; register3 := input22 and input22; WHEN "00001010" => register3 := register11 * register3; WHEN "00001011" => register3 := register3 + register7; WHEN "00001100" => register1 := register1 + register2; WHEN "00001101" => register1 := register3 + register1; WHEN "00001110" => register1 := register1 + register14; WHEN "00001111" => register1 := register8 + register1; WHEN "00010000" => output1 <= register1 and register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END fir1_random_description;
package STRSYN is attribute SigDir : string; attribute SigType : string; attribute SigBias : string; end STRSYN; entity sklp is port ( terminal in1: electrical; terminal out1: electrical; terminal vbias4: electrical; terminal gnd: electrical; terminal vbias3: electrical; terminal vdd: electrical; terminal vbias1: electrical; terminal vbias2: electrical; terminal vref: electrical); end sklp; architecture simple of sklp is -- Attributes for Ports attribute SigDir of in1:terminal is "input"; attribute SigType of in1:terminal is "voltage"; attribute SigDir of out1:terminal is "output"; attribute SigType of out1:terminal is "voltage"; attribute SigDir of vbias4:terminal is "reference"; attribute SigType of vbias4:terminal is "voltage"; attribute SigDir of gnd:terminal is "reference"; attribute SigType of gnd:terminal is "current"; attribute SigBias of gnd:terminal is "negative"; attribute SigDir of vbias3:terminal is "reference"; attribute SigType of vbias3:terminal is "voltage"; attribute SigDir of vdd:terminal is "reference"; attribute SigType of vdd:terminal is "current"; attribute SigBias of vdd:terminal is "positive"; attribute SigDir of vbias1:terminal is "reference"; attribute SigType of vbias1:terminal is "voltage"; attribute SigDir of vbias2:terminal is "reference"; attribute SigType of vbias2:terminal is "voltage"; attribute SigDir of vref:terminal is "reference"; attribute SigType of vref:terminal is "current"; attribute SigBias of vref:terminal is "negative"; terminal net1: electrical; terminal net2: electrical; terminal net3: electrical; terminal net4: electrical; terminal net5: electrical; terminal net6: electrical; terminal net7: electrical; begin subnet0_subnet0_subnet0_m1 : entity nmos(behave) generic map( L => Ldiff_0, Ldiff_0init => 7e-07, W => Wdiff_0, Wdiff_0init => 2.685e-05, scope => private ) port map( D => net2, G => net1, S => net5 ); subnet0_subnet0_subnet0_m2 : entity nmos(behave) generic map( L => Ldiff_0, Ldiff_0init => 7e-07, W => Wdiff_0, Wdiff_0init => 2.685e-05, scope => private ) port map( D => net3, G => out1, S => net5 ); subnet0_subnet0_subnet0_m3 : entity nmos(behave) generic map( L => LBias, LBiasinit => 1.1e-06, W => W_0, W_0init => 7.345e-05 ) port map( D => net5, G => vbias4, S => gnd ); subnet0_subnet0_subnet1_m1 : entity nmos(behave) generic map( L => LBias, LBiasinit => 1.1e-06, W => Wcasc_2, Wcasc_2init => 6.95e-05, scope => Wprivate, symmetry_scope => sym_5 ) port map( D => net4, G => vbias3, S => net2 ); subnet0_subnet0_subnet2_m1 : entity nmos(behave) generic map( L => LBias, LBiasinit => 1.1e-06, W => Wcasc_2, Wcasc_2init => 6.95e-05, scope => Wprivate, symmetry_scope => sym_5 ) port map( D => out1, G => vbias3, S => net3 ); subnet0_subnet0_subnet3_m1 : entity pmos(behave) generic map( L => Lcm_1, Lcm_1init => 6.4e-06, W => Wcm_1, Wcm_1init => 7.145e-05, scope => private ) port map( D => net4, G => net4, S => vdd ); subnet0_subnet0_subnet3_m2 : entity pmos(behave) generic map( L => Lcm_1, Lcm_1init => 6.4e-06, W => Wcmout_1, Wcmout_1init => 7.54e-05, scope => private ) port map( D => out1, G => net4, S => vdd ); subnet0_subnet0_subnet3_c1 : entity cap(behave) generic map( C => Ccurmir_1, scope => private ) port map( P => out1, N => net4 ); subnet0_subnet1_subnet0_m1 : entity pmos(behave) generic map( L => LBias, LBiasinit => 1.1e-06, W => (pfak)*(WBias), WBiasinit => 3.7e-06 ) port map( D => vbias1, G => vbias1, S => vdd ); subnet0_subnet1_subnet0_m2 : entity pmos(behave) generic map( L => (pfak)*(LBias), LBiasinit => 1.1e-06, W => (pfak)*(WBias), WBiasinit => 3.7e-06 ) port map( D => vbias2, G => vbias2, S => vbias1 ); subnet0_subnet1_subnet0_i1 : entity idc(behave) generic map( I => 1.145e-05 ) port map( P => vdd, N => vbias3 ); subnet0_subnet1_subnet0_m3 : entity nmos(behave) generic map( L => (pfak)*(LBias), LBiasinit => 1.1e-06, W => WBias, WBiasinit => 3.7e-06 ) port map( D => vbias3, G => vbias3, S => vbias4 ); subnet0_subnet1_subnet0_m4 : entity nmos(behave) generic map( L => LBias, LBiasinit => 1.1e-06, W => WBias, WBiasinit => 3.7e-06 ) port map( D => vbias2, G => vbias3, S => net6 ); subnet0_subnet1_subnet0_m5 : entity nmos(behave) generic map( L => LBias, LBiasinit => 1.1e-06, W => WBias, WBiasinit => 3.7e-06 ) port map( D => vbias4, G => vbias4, S => gnd ); subnet0_subnet1_subnet0_m6 : entity nmos(behave) generic map( L => LBias, LBiasinit => 1.1e-06, W => WBias, WBiasinit => 3.7e-06 ) port map( D => net6, G => vbias4, S => gnd ); subnet1_subnet0_r1 : entity res(behave) generic map( R => 200000 ) port map( P => net7, N => in1 ); subnet1_subnet0_r2 : entity res(behave) generic map( R => 603000 ) port map( P => net7, N => net1 ); subnet1_subnet0_c2 : entity cap(behave) generic map( C => 1.07e-11 ) port map( P => net7, N => out1 ); subnet1_subnet0_c1 : entity cap(behave) generic map( C => 4e-12 ) port map( P => net1, N => vref ); end simple;
LIBRARY ieee,disciplines; USE ieee.math_real.all; USE ieee.math_real.all; USE work.electrical_system.all; USE work.all; -- Entity declaration -- ENTITY VOLTAGE_SOURCE IS GENERIC ( amplitude : REAL := 2.0; offset : REAL := 1.2; width : REAL := 0.002; period : REAL := 0.005; k : REAL := 100.0 ); PORT ( terminal RT : electrical; terminal LT : electrical ); END ENTITY VOLTAGE_SOURCE;
LIBRARY ieee,disciplines; USE ieee.math_real.all; USE ieee.math_real.all; USE work.electrical_system.all; USE work.all; -- Entity declaration -- ENTITY VOLTAGE_SOURCE IS GENERIC ( amplitude : REAL := 2.0; offset : REAL := 1.2; width : REAL := 0.002; period : REAL := 0.005; k : REAL := 100.0 ); PORT ( terminal RT : electrical; terminal LT : electrical ); END ENTITY VOLTAGE_SOURCE;
LIBRARY ieee,disciplines; USE ieee.math_real.all; USE ieee.math_real.all; USE work.electrical_system.all; USE work.all; -- Entity declaration -- ENTITY VOLTAGE_SOURCE IS GENERIC ( amplitude : REAL := 2.0; offset : REAL := 1.2; width : REAL := 0.002; period : REAL := 0.005; k : REAL := 100.0 ); PORT ( terminal RT : electrical; terminal LT : electrical ); END ENTITY VOLTAGE_SOURCE;