content
stringlengths 1
1.04M
⌀ |
---|
-------------------------------------------------------------------------------
-- system_brutus_0_wrapper.vhd
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
library brutus_v1_00_a;
use brutus_v1_00_a.all;
entity system_brutus_0_wrapper is
port (
FSL_Clk : in std_logic;
FSL_Rst : in std_logic;
FSL_S_Clk : in std_logic;
FSL_S_Read : out std_logic;
FSL_S_Data : in std_logic_vector(0 to 31);
FSL_S_Control : in std_logic;
FSL_S_Exists : in std_logic;
FSL_M_Clk : in std_logic;
FSL_M_Write : out std_logic;
FSL_M_Data : out std_logic_vector(0 to 31);
FSL_M_Control : out std_logic;
FSL_M_Full : in std_logic
);
end system_brutus_0_wrapper;
architecture STRUCTURE of system_brutus_0_wrapper is
component brutus is
port (
FSL_Clk : in std_logic;
FSL_Rst : in std_logic;
FSL_S_Clk : in std_logic;
FSL_S_Read : out std_logic;
FSL_S_Data : in std_logic_vector(0 to 31);
FSL_S_Control : in std_logic;
FSL_S_Exists : in std_logic;
FSL_M_Clk : in std_logic;
FSL_M_Write : out std_logic;
FSL_M_Data : out std_logic_vector(0 to 31);
FSL_M_Control : out std_logic;
FSL_M_Full : in std_logic
);
end component;
begin
brutus_0 : brutus
port map (
FSL_Clk => FSL_Clk,
FSL_Rst => FSL_Rst,
FSL_S_Clk => FSL_S_Clk,
FSL_S_Read => FSL_S_Read,
FSL_S_Data => FSL_S_Data,
FSL_S_Control => FSL_S_Control,
FSL_S_Exists => FSL_S_Exists,
FSL_M_Clk => FSL_M_Clk,
FSL_M_Write => FSL_M_Write,
FSL_M_Data => FSL_M_Data,
FSL_M_Control => FSL_M_Control,
FSL_M_Full => FSL_M_Full
);
end architecture STRUCTURE;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc991.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s03b00x00p07n02i00991ent IS
END c06s03b00x00p07n02i00991ent;
ARCHITECTURE c06s03b00x00p07n02i00991arch OF c06s03b00x00p07n02i00991ent IS
BEGIN
TESTING: PROCESS
type A1 is array (BOOLEAN) of BOOLEAN;
function F return BOOLEAN is
begin
return TRUE;
end F;
variable B1 : BOOLEAN;
variable V1 : BOOLEAN;
variable V2 : A1 ;
BEGIN
V2 := V2(F.B1); -- ERROR: the prefix of an expanded name
-- cannot be a functon call.
assert FALSE
report "***FAILED TEST: c06s03b00x00p07n02i00991 - The prefix of an expanded name cannot be a function call.(Expanded name used as array index)"
severity ERROR;
wait;
END PROCESS TESTING;
END c06s03b00x00p07n02i00991arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc991.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s03b00x00p07n02i00991ent IS
END c06s03b00x00p07n02i00991ent;
ARCHITECTURE c06s03b00x00p07n02i00991arch OF c06s03b00x00p07n02i00991ent IS
BEGIN
TESTING: PROCESS
type A1 is array (BOOLEAN) of BOOLEAN;
function F return BOOLEAN is
begin
return TRUE;
end F;
variable B1 : BOOLEAN;
variable V1 : BOOLEAN;
variable V2 : A1 ;
BEGIN
V2 := V2(F.B1); -- ERROR: the prefix of an expanded name
-- cannot be a functon call.
assert FALSE
report "***FAILED TEST: c06s03b00x00p07n02i00991 - The prefix of an expanded name cannot be a function call.(Expanded name used as array index)"
severity ERROR;
wait;
END PROCESS TESTING;
END c06s03b00x00p07n02i00991arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc991.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s03b00x00p07n02i00991ent IS
END c06s03b00x00p07n02i00991ent;
ARCHITECTURE c06s03b00x00p07n02i00991arch OF c06s03b00x00p07n02i00991ent IS
BEGIN
TESTING: PROCESS
type A1 is array (BOOLEAN) of BOOLEAN;
function F return BOOLEAN is
begin
return TRUE;
end F;
variable B1 : BOOLEAN;
variable V1 : BOOLEAN;
variable V2 : A1 ;
BEGIN
V2 := V2(F.B1); -- ERROR: the prefix of an expanded name
-- cannot be a functon call.
assert FALSE
report "***FAILED TEST: c06s03b00x00p07n02i00991 - The prefix of an expanded name cannot be a function call.(Expanded name used as array index)"
severity ERROR;
wait;
END PROCESS TESTING;
END c06s03b00x00p07n02i00991arch;
|
-- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of inst_aa_e
--
-- Generated
-- by: wig
-- on: Mon Jun 26 05:39:03 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../io.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_aa_e-rtl-a.vhd,v 1.2 2006/06/26 07:42:19 wig Exp $
-- $Date: 2006/06/26 07:42:19 $
-- $Log: inst_aa_e-rtl-a.vhd,v $
-- Revision 1.2 2006/06/26 07:42:19 wig
-- Updated io, generic and mde_tests testcases
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.90 2006/06/22 07:13:21 wig Exp
--
-- Generator: mix_0.pl Revision: 1.46 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of inst_aa_e
--
architecture rtl of inst_aa_e is
--
-- Generated Constant Declarations
--
--
-- Generated Components
--
--
-- Generated Signal List
--
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
--
-- Generated Signal Assignments
--
--
-- Generated Instances and Port Mappings
--
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 11:57:00 09/02/2015
-- Design Name:
-- Module Name: TpGenTx - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use work.UtilityPkg.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity TpGenTx is
generic (
-- NUM_WORDS_G : integer := 1000;
-- WAIT_CYCLES_G : integer := 125000000;
GATE_DELAY_G : time := 1 ns
);
port (
-- User clock and reset
userClk : in sl;
userRst : in sl;
-- Configuration
waitCycles : in slv(31 downto 0);
numWords : in slv(31 downto 0);
-- Connection to user logic
userTxData : out slv(31 downto 0);
userTxDataValid : out sl;
userTxDataLast : out sl;
userTxDataReady : in sl
);
end TpGenTx;
architecture Behavioral of TpGenTx is
type StateType is (IDLE_S, HEADER_S, DATA_S, LAST_S, WAIT_S);
type RegType is record
state : StateType;
eventNum : slv(31 downto 0);
eventData : slv(31 downto 0);
eventDataValid : sl;
eventDataLast : sl;
dataCount : slv(31 downto 0);
end record RegType;
constant REG_INIT_C : RegType := (
state => IDLE_S,
eventNum => (others => '0'),
eventData => (others => '0'),
eventDataValid => '0',
eventDataLast => '0',
dataCount => (others => '0')
);
signal r : RegType := REG_INIT_C;
signal rin : RegType;
-- ISE attributes to keep signals for debugging
-- attribute keep : string;
-- attribute keep of r : signal is "true";
-- attribute keep of crcOut : signal is "true";
-- Vivado attributes to keep signals for debugging
-- attribute dont_touch : string;
-- attribute dont_touch of r : signal is "true";
-- attribute dont_touch of crcOut : signal is "true";
begin
comb : process(r,userRst,userTxDataReady) is
variable v : RegType;
begin
v := r;
-- Set defaults / reset any pulsed signals
v.eventDataValid := '0';
-- State machine
case(r.state) is
when IDLE_S =>
v.eventDataLast := '0';
v.eventData := (others => '0');
if userTxDataReady = '1' then
v.dataCount := numWords;
-- v.dataCount := conv_std_logic_vector(NUM_WORDS_G-1,32);
v.eventData := r.eventNum;
v.eventDataValid := '1';
v.state := HEADER_S;
end if;
when HEADER_S =>
v.eventDataValid := '1';
if userTxDataReady = '1' then
v.eventData := r.eventNum(15 downto 0) & v.dataCount(15 downto 0);
v.state := DATA_S;
end if;
when DATA_S =>
v.eventDataValid := '1';
if userTxDataReady = '1' then
v.dataCount := r.dataCount - 1;
v.eventData := r.eventNum(15 downto 0) & v.dataCount(15 downto 0);
if v.dataCount = 0 then
v.eventDataLast := '1';
v.state := LAST_S;
end if;
end if;
when LAST_S =>
v.eventDataValid := '1';
if userTxDataReady = '1' then
v.eventDataValid := '0';
v.eventDataLast := '0';
v.dataCount := waitCycles;
-- v.dataCount := conv_std_logic_vector(WAIT_CYCLES_G-1,32);
v.state := WAIT_S;
end if;
when WAIT_S =>
v.dataCount := r.dataCount - 1;
if r.dataCount = 0 then
v.eventNum := r.eventNum + 1;
v.state := IDLE_S;
end if;
when others =>
v.state := IDLE_S;
end case;
-- Reset logic
if (userRst = '1') then
v := REG_INIT_C;
end if;
-- Outputs to ports
userTxData <= r.eventData;
userTxDataValid <= r.eventDataValid;
userTxDataLast <= r.eventDataLast;
-- Assign variable to signal
rin <= v;
end process;
seq : process (userClk) is
begin
if (rising_edge(userClk)) then
r <= rin after GATE_DELAY_G;
end if;
end process seq;
end Behavioral;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003, Gaisler Research
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-------------------------------------------------------------------------------
-- Entity: eth_ahb_mst
-- File: eth_ahb_mst.vhd
-- Author: Marko Isomaki - Gaisler Research
-- Description: Ethernet MAC AHB master interface
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
library eth;
use eth.grethpkg.all;
entity eth_ahb_mst is
port(
rst : in std_ulogic;
clk : in std_ulogic;
ahbmi : in ahbc_mst_in_type;
ahbmo : out ahbc_mst_out_type;
tmsti : in eth_tx_ahb_in_type;
tmsto : out eth_tx_ahb_out_type;
rmsti : in eth_rx_ahb_in_type;
rmsto : out eth_rx_ahb_out_type
);
end entity;
architecture rtl of eth_ahb_mst is
type reg_type is record
bg : std_ulogic; --bus granted
bo : std_ulogic; --bus owner, 0=rx, 1=tx
ba : std_ulogic; --bus active
bb : std_ulogic; --1kB burst boundary detected
retry : std_ulogic;
end record;
signal r, rin : reg_type;
begin
comb : process(rst, r, tmsti, rmsti, ahbmi) is
variable v : reg_type;
variable htrans : std_logic_vector(1 downto 0);
variable hbusreq : std_ulogic;
variable hwrite : std_ulogic;
variable haddr : std_logic_vector(31 downto 0);
variable hwdata : std_logic_vector(31 downto 0);
variable nbo : std_ulogic;
variable tretry : std_ulogic;
variable rretry : std_ulogic;
variable rready : std_ulogic;
variable tready : std_ulogic;
variable rerror : std_ulogic;
variable terror : std_ulogic;
variable tgrant : std_ulogic;
variable rgrant : std_ulogic;
begin
v := r; htrans := HTRANS_IDLE; rready := '0'; tready := '0'; tretry := '0';
rretry := '0'; rerror := '0'; terror := '0'; tgrant := '0'; rgrant := '0';
if r.bo = '0' then hwdata := rmsti.data;
else hwdata := tmsti.data; end if;
hbusreq := tmsti.req or rmsti.req;
if hbusreq = '1' then htrans := HTRANS_NONSEQ; end if;
if r.retry = '0' then
nbo := tmsti.req and not (rmsti.req and not r.bo);
else
nbo := r.bo;
end if;
if nbo = '0' then
haddr := rmsti.addr; hwrite := rmsti.write;
if (rmsti.req and r.ba and not r.bo and not r.retry) = '1' then
htrans := HTRANS_SEQ;
end if;
if (rmsti.req and r.bg and ahbmi.hready and not r.retry) = '1'
then rgrant := '1'; end if;
else
haddr := tmsti.addr; hwrite := tmsti.write;
if (tmsti.req and r.ba and r.bo and not r.retry) = '1' then
htrans := HTRANS_SEQ;
end if;
if (tmsti.req and r.bg and ahbmi.hready and not r.retry) = '1'
then tgrant := '1'; end if;
end if;
--1 kB burst boundary
if ahbmi.hready = '1' then
if haddr(9 downto 2) = "11111111" then
v.bb := '1';
else
v.bb := '0';
end if;
end if;
if (r.bb = '1') and (htrans /= HTRANS_IDLE) then
htrans := HTRANS_NONSEQ;
end if;
if r.bo = '0' then
if r.ba = '1' then
if ahbmi.hready = '1' then
case ahbmi.hresp is
when HRESP_OKAY => rready := '1';
when HRESP_SPLIT | HRESP_RETRY => rretry := '1';
when HRESP_ERROR => rerror := '1';
when others => null;
end case;
end if;
end if;
else
if r.ba = '1' then
if ahbmi.hready = '1' then
case ahbmi.hresp is
when HRESP_OKAY => tready := '1';
when HRESP_SPLIT | HRESP_RETRY => tretry := '1';
when HRESP_ERROR => terror := '1';
when others => null;
end case;
end if;
end if;
end if;
if (r.ba = '1') and
((ahbmi.hresp = HRESP_RETRY) or (ahbmi.hresp = HRESP_SPLIT))
then v.retry := not ahbmi.hready; else v.retry := '0'; end if;
if r.retry = '1' then htrans := HTRANS_IDLE; end if;
if ahbmi.hready = '1' then
v.bo := nbo; v.bg := ahbmi.hgrant;
if (htrans = HTRANS_NONSEQ) or (htrans = HTRANS_SEQ) then
v.ba := r.bg;
else
v.ba := '0';
end if;
end if;
if rst = '0' then
v.bg := '0'; v.ba := '0'; v.bo := '0'; v.bb := '0';
end if;
rin <= v;
tmsto.data <= ahbmi.hrdata;
rmsto.data <= ahbmi.hrdata;
tmsto.error <= terror;
tmsto.retry <= tretry;
tmsto.ready <= tready;
rmsto.error <= rerror;
rmsto.retry <= rretry;
rmsto.ready <= rready;
tmsto.grant <= tgrant;
rmsto.grant <= rgrant;
ahbmo.htrans <= htrans;
ahbmo.hbusreq <= hbusreq;
ahbmo.haddr <= haddr;
ahbmo.hwrite <= hwrite;
ahbmo.hwdata <= hwdata;
end process;
regs : process(clk)
begin
if rising_edge(clk) then r <= rin; end if;
end process;
ahbmo.hlock <= '0';
ahbmo.hsize <= HSIZE_WORD;
ahbmo.hburst <= HBURST_INCR;
ahbmo.hprot <= "0011";
end architecture;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003, Gaisler Research
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-------------------------------------------------------------------------------
-- Entity: eth_ahb_mst
-- File: eth_ahb_mst.vhd
-- Author: Marko Isomaki - Gaisler Research
-- Description: Ethernet MAC AHB master interface
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
library eth;
use eth.grethpkg.all;
entity eth_ahb_mst is
port(
rst : in std_ulogic;
clk : in std_ulogic;
ahbmi : in ahbc_mst_in_type;
ahbmo : out ahbc_mst_out_type;
tmsti : in eth_tx_ahb_in_type;
tmsto : out eth_tx_ahb_out_type;
rmsti : in eth_rx_ahb_in_type;
rmsto : out eth_rx_ahb_out_type
);
end entity;
architecture rtl of eth_ahb_mst is
type reg_type is record
bg : std_ulogic; --bus granted
bo : std_ulogic; --bus owner, 0=rx, 1=tx
ba : std_ulogic; --bus active
bb : std_ulogic; --1kB burst boundary detected
retry : std_ulogic;
end record;
signal r, rin : reg_type;
begin
comb : process(rst, r, tmsti, rmsti, ahbmi) is
variable v : reg_type;
variable htrans : std_logic_vector(1 downto 0);
variable hbusreq : std_ulogic;
variable hwrite : std_ulogic;
variable haddr : std_logic_vector(31 downto 0);
variable hwdata : std_logic_vector(31 downto 0);
variable nbo : std_ulogic;
variable tretry : std_ulogic;
variable rretry : std_ulogic;
variable rready : std_ulogic;
variable tready : std_ulogic;
variable rerror : std_ulogic;
variable terror : std_ulogic;
variable tgrant : std_ulogic;
variable rgrant : std_ulogic;
begin
v := r; htrans := HTRANS_IDLE; rready := '0'; tready := '0'; tretry := '0';
rretry := '0'; rerror := '0'; terror := '0'; tgrant := '0'; rgrant := '0';
if r.bo = '0' then hwdata := rmsti.data;
else hwdata := tmsti.data; end if;
hbusreq := tmsti.req or rmsti.req;
if hbusreq = '1' then htrans := HTRANS_NONSEQ; end if;
if r.retry = '0' then
nbo := tmsti.req and not (rmsti.req and not r.bo);
else
nbo := r.bo;
end if;
if nbo = '0' then
haddr := rmsti.addr; hwrite := rmsti.write;
if (rmsti.req and r.ba and not r.bo and not r.retry) = '1' then
htrans := HTRANS_SEQ;
end if;
if (rmsti.req and r.bg and ahbmi.hready and not r.retry) = '1'
then rgrant := '1'; end if;
else
haddr := tmsti.addr; hwrite := tmsti.write;
if (tmsti.req and r.ba and r.bo and not r.retry) = '1' then
htrans := HTRANS_SEQ;
end if;
if (tmsti.req and r.bg and ahbmi.hready and not r.retry) = '1'
then tgrant := '1'; end if;
end if;
--1 kB burst boundary
if ahbmi.hready = '1' then
if haddr(9 downto 2) = "11111111" then
v.bb := '1';
else
v.bb := '0';
end if;
end if;
if (r.bb = '1') and (htrans /= HTRANS_IDLE) then
htrans := HTRANS_NONSEQ;
end if;
if r.bo = '0' then
if r.ba = '1' then
if ahbmi.hready = '1' then
case ahbmi.hresp is
when HRESP_OKAY => rready := '1';
when HRESP_SPLIT | HRESP_RETRY => rretry := '1';
when HRESP_ERROR => rerror := '1';
when others => null;
end case;
end if;
end if;
else
if r.ba = '1' then
if ahbmi.hready = '1' then
case ahbmi.hresp is
when HRESP_OKAY => tready := '1';
when HRESP_SPLIT | HRESP_RETRY => tretry := '1';
when HRESP_ERROR => terror := '1';
when others => null;
end case;
end if;
end if;
end if;
if (r.ba = '1') and
((ahbmi.hresp = HRESP_RETRY) or (ahbmi.hresp = HRESP_SPLIT))
then v.retry := not ahbmi.hready; else v.retry := '0'; end if;
if r.retry = '1' then htrans := HTRANS_IDLE; end if;
if ahbmi.hready = '1' then
v.bo := nbo; v.bg := ahbmi.hgrant;
if (htrans = HTRANS_NONSEQ) or (htrans = HTRANS_SEQ) then
v.ba := r.bg;
else
v.ba := '0';
end if;
end if;
if rst = '0' then
v.bg := '0'; v.ba := '0'; v.bo := '0'; v.bb := '0';
end if;
rin <= v;
tmsto.data <= ahbmi.hrdata;
rmsto.data <= ahbmi.hrdata;
tmsto.error <= terror;
tmsto.retry <= tretry;
tmsto.ready <= tready;
rmsto.error <= rerror;
rmsto.retry <= rretry;
rmsto.ready <= rready;
tmsto.grant <= tgrant;
rmsto.grant <= rgrant;
ahbmo.htrans <= htrans;
ahbmo.hbusreq <= hbusreq;
ahbmo.haddr <= haddr;
ahbmo.hwrite <= hwrite;
ahbmo.hwdata <= hwdata;
end process;
regs : process(clk)
begin
if rising_edge(clk) then r <= rin; end if;
end process;
ahbmo.hlock <= '0';
ahbmo.hsize <= HSIZE_WORD;
ahbmo.hburst <= HBURST_INCR;
ahbmo.hprot <= "0011";
end architecture;
|
------------
-- pcore top level wrapper
-- generated at 2008-02-18 16:25:27.273933 by 'mkhwtask.py hwt_data 1 ../src/hwt_data.vhd'
------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library reconos_v2_00_a;
use reconos_v2_00_a.reconos_pkg.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity hw_task is
generic (
C_BUS_BURST_AWIDTH : integer := 13; -- Note: This addresses bytes
C_BUS_BURST_DWIDTH : integer := 64;
C_TASK_BURST_AWIDTH : integer := 11; -- this addresses 32Bit words
C_TASK_BURST_DWIDTH : integer := 32
);
port (
clk : in std_logic;
reset : in std_logic;
i_osif_flat : in std_logic_vector;
o_osif_flat : out std_logic_vector;
-- burst mem interface
i_burstAddr : in std_logic_vector(0 to C_BUS_BURST_AWIDTH-1);
i_burstData : in std_logic_vector(0 to C_BUS_BURST_DWIDTH-1);
o_burstData : out std_logic_vector(0 to C_BUS_BURST_DWIDTH-1);
i_burstWE : in std_logic;
-- time base
i_timeBase : in std_logic_vector(0 to C_OSIF_DATA_WIDTH-1)
);
end hw_task;
architecture structural of hw_task is
component burst_ram
port (
addra: IN std_logic_VECTOR(10 downto 0);
addrb: IN std_logic_VECTOR(9 downto 0);
clka: IN std_logic;
clkb: IN std_logic;
dina: IN std_logic_VECTOR(31 downto 0);
dinb: IN std_logic_VECTOR(63 downto 0);
douta: OUT std_logic_VECTOR(31 downto 0);
doutb: OUT std_logic_VECTOR(63 downto 0);
wea: IN std_logic;
web: IN std_logic
);
end component;
signal o_osif_flat_i : std_logic_vector(0 to 41);
signal i_osif_flat_i : std_logic_vector(0 to 44);
signal o_osif : osif_task2os_t;
signal i_osif : osif_os2task_t;
signal task2burst_Addr : std_logic_vector(0 to C_TASK_BURST_AWIDTH-1);
signal task2burst_Data : std_logic_vector(0 to C_TASK_BURST_DWIDTH-1);
signal burst2task_Data : std_logic_vector(0 to C_TASK_BURST_DWIDTH-1);
signal task2burst_WE : std_logic;
signal task2burst_Clk : std_logic;
attribute keep_hierarchy : string;
attribute keep_hierarchy of structural: architecture is "true";
begin
-- connect top level signals
o_osif_flat <= o_osif_flat_i;
i_osif_flat_i <= i_osif_flat;
-- (un)flatten osif records
o_osif_flat_i <= to_std_logic_vector(o_osif);
i_osif <= to_osif_os2task_t(i_osif_flat_i);
-- instantiate user task
hwt_data_i : entity hwt_data
port map (
clk => clk,
reset => reset,
i_osif => i_osif,
o_osif => o_osif,
o_RAMAddr => task2burst_Addr,
o_RAMData => task2burst_Data,
i_RAMData => burst2task_Data,
o_RAMWE => task2burst_WE,
o_RAMClk => task2burst_Clk,
i_timeBase => i_timeBase
);
burst_ram_i : burst_ram
port map (
addra => task2burst_Addr,
addrb => i_burstAddr(0 to C_BUS_BURST_AWIDTH-1 -3), -- RAM is addressing 64Bit values
clka => task2burst_Clk,
clkb => clk,
dina => task2burst_Data,
dinb => i_burstData,
douta => burst2task_Data,
doutb => o_burstData,
wea => task2burst_WE,
web => i_burstWE
);
end structural;
|
-- -------------------------------------------------------------
--
-- File Name: hdl_prj/hdlsrc/OFDM_transmitter/Complex3Multiply_block5.vhd
-- Created: 2017-03-27 15:50:06
--
-- Generated by MATLAB 9.1 and HDL Coder 3.9
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: Complex3Multiply_block5
-- Source Path: OFDM_transmitter/IFFT HDL Optimized/TWDLMULT_SDNF1_3/Complex3Multiply
-- Hierarchy Level: 3
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
ENTITY Complex3Multiply_block5 IS
PORT( clk : IN std_logic;
reset : IN std_logic;
enb_1_16_0 : IN std_logic;
din2_re_dly3 : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En13
din2_im_dly3 : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En13
di2_vld_dly3 : IN std_logic;
twdl_3_10_re : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14
twdl_3_10_im : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14
softReset : IN std_logic;
twdlXdin_10_re : OUT std_logic_vector(15 DOWNTO 0); -- sfix16_En13
twdlXdin_10_im : OUT std_logic_vector(15 DOWNTO 0); -- sfix16_En13
twdlXdin2_vld : OUT std_logic
);
END Complex3Multiply_block5;
ARCHITECTURE rtl OF Complex3Multiply_block5 IS
-- Signals
SIGNAL din2_re_dly3_signed : signed(15 DOWNTO 0); -- sfix16_En13
SIGNAL din_re_reg : signed(15 DOWNTO 0); -- sfix16_En13
SIGNAL din2_im_dly3_signed : signed(15 DOWNTO 0); -- sfix16_En13
SIGNAL din_im_reg : signed(15 DOWNTO 0); -- sfix16_En13
SIGNAL adder_add_cast : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL adder_add_cast_1 : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL din_sum : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL twdl_3_10_re_signed : signed(15 DOWNTO 0); -- sfix16_En14
SIGNAL twdl_re_reg : signed(15 DOWNTO 0); -- sfix16_En14
SIGNAL twdl_3_10_im_signed : signed(15 DOWNTO 0); -- sfix16_En14
SIGNAL twdl_im_reg : signed(15 DOWNTO 0); -- sfix16_En14
SIGNAL adder_add_cast_2 : signed(16 DOWNTO 0); -- sfix17_En14
SIGNAL adder_add_cast_3 : signed(16 DOWNTO 0); -- sfix17_En14
SIGNAL twdl_sum : signed(16 DOWNTO 0); -- sfix17_En14
SIGNAL Complex3Multiply_din1_re_pipe1 : signed(15 DOWNTO 0); -- sfix16
SIGNAL Complex3Multiply_din1_im_pipe1 : signed(15 DOWNTO 0); -- sfix16
SIGNAL Complex3Multiply_din1_sum_pipe1 : signed(16 DOWNTO 0); -- sfix17
SIGNAL Complex3Multiply_prodOfRe_pipe1 : signed(31 DOWNTO 0); -- sfix32
SIGNAL Complex3Multiply_ProdOfIm_pipe1 : signed(31 DOWNTO 0); -- sfix32
SIGNAL Complex3Multiply_prodOfSum_pipe1 : signed(33 DOWNTO 0); -- sfix34
SIGNAL Complex3Multiply_twiddle_re_pipe1 : signed(15 DOWNTO 0); -- sfix16
SIGNAL Complex3Multiply_twiddle_im_pipe1 : signed(15 DOWNTO 0); -- sfix16
SIGNAL Complex3Multiply_twiddle_sum_pipe1 : signed(16 DOWNTO 0); -- sfix17
SIGNAL prodOfRe : signed(31 DOWNTO 0); -- sfix32_En27
SIGNAL prodOfIm : signed(31 DOWNTO 0); -- sfix32_En27
SIGNAL prodOfSum : signed(33 DOWNTO 0); -- sfix34_En27
SIGNAL din_vld_dly1 : std_logic;
SIGNAL din_vld_dly2 : std_logic;
SIGNAL din_vld_dly3 : std_logic;
SIGNAL prod_vld : std_logic;
SIGNAL Complex3Add_tmpResult_reg : signed(33 DOWNTO 0); -- sfix34
SIGNAL Complex3Add_multRes_re_reg1 : signed(32 DOWNTO 0); -- sfix33
SIGNAL Complex3Add_multRes_re_reg2 : signed(32 DOWNTO 0); -- sfix33
SIGNAL Complex3Add_multRes_im_reg : signed(34 DOWNTO 0); -- sfix35
SIGNAL Complex3Add_prod_vld_reg1 : std_logic;
SIGNAL Complex3Add_prod_vld_reg2 : std_logic;
SIGNAL Complex3Add_prodOfSum_reg : signed(33 DOWNTO 0); -- sfix34
SIGNAL Complex3Add_tmpResult_reg_next : signed(33 DOWNTO 0); -- sfix34_En27
SIGNAL Complex3Add_multRes_re_reg1_next : signed(32 DOWNTO 0); -- sfix33_En27
SIGNAL Complex3Add_multRes_re_reg2_next : signed(32 DOWNTO 0); -- sfix33_En27
SIGNAL Complex3Add_multRes_im_reg_next : signed(34 DOWNTO 0); -- sfix35_En27
SIGNAL Complex3Add_prod_vld_reg1_next : std_logic;
SIGNAL Complex3Add_prod_vld_reg2_next : std_logic;
SIGNAL Complex3Add_prodOfSum_reg_next : signed(33 DOWNTO 0); -- sfix34_En27
SIGNAL multResFP_re : signed(32 DOWNTO 0); -- sfix33_En27
SIGNAL multResFP_im : signed(34 DOWNTO 0); -- sfix35_En27
SIGNAL twdlXdin_10_re_tmp : signed(15 DOWNTO 0); -- sfix16_En13
SIGNAL twdlXdin_10_im_tmp : signed(15 DOWNTO 0); -- sfix16_En13
BEGIN
din2_re_dly3_signed <= signed(din2_re_dly3);
intdelay_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
din_re_reg <= to_signed(16#0000#, 16);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb_1_16_0 = '1' THEN
IF softReset = '1' THEN
din_re_reg <= to_signed(16#0000#, 16);
ELSE
din_re_reg <= din2_re_dly3_signed;
END IF;
END IF;
END IF;
END PROCESS intdelay_process;
din2_im_dly3_signed <= signed(din2_im_dly3);
intdelay_1_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
din_im_reg <= to_signed(16#0000#, 16);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb_1_16_0 = '1' THEN
IF softReset = '1' THEN
din_im_reg <= to_signed(16#0000#, 16);
ELSE
din_im_reg <= din2_im_dly3_signed;
END IF;
END IF;
END IF;
END PROCESS intdelay_1_process;
adder_add_cast <= resize(din_re_reg, 17);
adder_add_cast_1 <= resize(din_im_reg, 17);
din_sum <= adder_add_cast + adder_add_cast_1;
twdl_3_10_re_signed <= signed(twdl_3_10_re);
intdelay_2_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
twdl_re_reg <= to_signed(16#0000#, 16);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb_1_16_0 = '1' THEN
IF softReset = '1' THEN
twdl_re_reg <= to_signed(16#0000#, 16);
ELSE
twdl_re_reg <= twdl_3_10_re_signed;
END IF;
END IF;
END IF;
END PROCESS intdelay_2_process;
twdl_3_10_im_signed <= signed(twdl_3_10_im);
intdelay_3_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
twdl_im_reg <= to_signed(16#0000#, 16);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb_1_16_0 = '1' THEN
IF softReset = '1' THEN
twdl_im_reg <= to_signed(16#0000#, 16);
ELSE
twdl_im_reg <= twdl_3_10_im_signed;
END IF;
END IF;
END IF;
END PROCESS intdelay_3_process;
adder_add_cast_2 <= resize(twdl_re_reg, 17);
adder_add_cast_3 <= resize(twdl_im_reg, 17);
twdl_sum <= adder_add_cast_2 + adder_add_cast_3;
-- Complex3Multiply
Complex3Multiply_process : PROCESS (clk)
BEGIN
IF clk'EVENT AND clk = '1' THEN
IF enb_1_16_0 = '1' THEN
prodOfRe <= Complex3Multiply_prodOfRe_pipe1;
prodOfIm <= Complex3Multiply_ProdOfIm_pipe1;
prodOfSum <= Complex3Multiply_prodOfSum_pipe1;
Complex3Multiply_twiddle_re_pipe1 <= twdl_re_reg;
Complex3Multiply_twiddle_im_pipe1 <= twdl_im_reg;
Complex3Multiply_twiddle_sum_pipe1 <= twdl_sum;
Complex3Multiply_din1_re_pipe1 <= din_re_reg;
Complex3Multiply_din1_im_pipe1 <= din_im_reg;
Complex3Multiply_din1_sum_pipe1 <= din_sum;
Complex3Multiply_prodOfRe_pipe1 <= Complex3Multiply_din1_re_pipe1 * Complex3Multiply_twiddle_re_pipe1;
Complex3Multiply_ProdOfIm_pipe1 <= Complex3Multiply_din1_im_pipe1 * Complex3Multiply_twiddle_im_pipe1;
Complex3Multiply_prodOfSum_pipe1 <= Complex3Multiply_din1_sum_pipe1 * Complex3Multiply_twiddle_sum_pipe1;
END IF;
END IF;
END PROCESS Complex3Multiply_process;
intdelay_4_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
din_vld_dly1 <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb_1_16_0 = '1' THEN
din_vld_dly1 <= di2_vld_dly3;
END IF;
END IF;
END PROCESS intdelay_4_process;
intdelay_5_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
din_vld_dly2 <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb_1_16_0 = '1' THEN
din_vld_dly2 <= din_vld_dly1;
END IF;
END IF;
END PROCESS intdelay_5_process;
intdelay_6_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
din_vld_dly3 <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb_1_16_0 = '1' THEN
din_vld_dly3 <= din_vld_dly2;
END IF;
END IF;
END PROCESS intdelay_6_process;
intdelay_7_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
prod_vld <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb_1_16_0 = '1' THEN
prod_vld <= din_vld_dly3;
END IF;
END IF;
END PROCESS intdelay_7_process;
-- Complex3Add
Complex3Add_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
Complex3Add_prodOfSum_reg <= to_signed(0, 34);
Complex3Add_tmpResult_reg <= to_signed(0, 34);
Complex3Add_multRes_re_reg1 <= to_signed(0, 33);
Complex3Add_multRes_re_reg2 <= to_signed(0, 33);
Complex3Add_multRes_im_reg <= to_signed(0, 35);
Complex3Add_prod_vld_reg1 <= '0';
Complex3Add_prod_vld_reg2 <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb_1_16_0 = '1' THEN
Complex3Add_tmpResult_reg <= Complex3Add_tmpResult_reg_next;
Complex3Add_multRes_re_reg1 <= Complex3Add_multRes_re_reg1_next;
Complex3Add_multRes_re_reg2 <= Complex3Add_multRes_re_reg2_next;
Complex3Add_multRes_im_reg <= Complex3Add_multRes_im_reg_next;
Complex3Add_prod_vld_reg1 <= Complex3Add_prod_vld_reg1_next;
Complex3Add_prod_vld_reg2 <= Complex3Add_prod_vld_reg2_next;
Complex3Add_prodOfSum_reg <= Complex3Add_prodOfSum_reg_next;
END IF;
END IF;
END PROCESS Complex3Add_process;
Complex3Add_output : PROCESS (Complex3Add_tmpResult_reg, Complex3Add_multRes_re_reg1,
Complex3Add_multRes_re_reg2, Complex3Add_multRes_im_reg,
Complex3Add_prod_vld_reg1, Complex3Add_prod_vld_reg2,
Complex3Add_prodOfSum_reg, prodOfRe, prodOfIm, prodOfSum, prod_vld)
VARIABLE sub_cast : signed(32 DOWNTO 0);
VARIABLE sub_cast_0 : signed(32 DOWNTO 0);
VARIABLE sub_cast_1 : signed(34 DOWNTO 0);
VARIABLE sub_cast_2 : signed(34 DOWNTO 0);
VARIABLE add_cast : signed(32 DOWNTO 0);
VARIABLE add_cast_0 : signed(32 DOWNTO 0);
VARIABLE add_temp : signed(32 DOWNTO 0);
BEGIN
Complex3Add_tmpResult_reg_next <= Complex3Add_tmpResult_reg;
Complex3Add_multRes_re_reg1_next <= Complex3Add_multRes_re_reg1;
Complex3Add_prodOfSum_reg_next <= Complex3Add_prodOfSum_reg;
Complex3Add_multRes_re_reg2_next <= Complex3Add_multRes_re_reg1;
IF prod_vld = '1' THEN
sub_cast := resize(prodOfRe, 33);
sub_cast_0 := resize(prodOfIm, 33);
Complex3Add_multRes_re_reg1_next <= sub_cast - sub_cast_0;
END IF;
sub_cast_1 := resize(Complex3Add_prodOfSum_reg, 35);
sub_cast_2 := resize(Complex3Add_tmpResult_reg, 35);
Complex3Add_multRes_im_reg_next <= sub_cast_1 - sub_cast_2;
IF prod_vld = '1' THEN
add_cast := resize(prodOfRe, 33);
add_cast_0 := resize(prodOfIm, 33);
add_temp := add_cast + add_cast_0;
Complex3Add_tmpResult_reg_next <= resize(add_temp, 34);
END IF;
IF prod_vld = '1' THEN
Complex3Add_prodOfSum_reg_next <= prodOfSum;
END IF;
Complex3Add_prod_vld_reg2_next <= Complex3Add_prod_vld_reg1;
Complex3Add_prod_vld_reg1_next <= prod_vld;
multResFP_re <= Complex3Add_multRes_re_reg2;
multResFP_im <= Complex3Add_multRes_im_reg;
twdlXdin2_vld <= Complex3Add_prod_vld_reg2;
END PROCESS Complex3Add_output;
twdlXdin_10_re_tmp <= multResFP_re(29 DOWNTO 14);
twdlXdin_10_re <= std_logic_vector(twdlXdin_10_re_tmp);
twdlXdin_10_im_tmp <= multResFP_im(29 DOWNTO 14);
twdlXdin_10_im <= std_logic_vector(twdlXdin_10_im_tmp);
END rtl;
|
library ieee;
use ieee.std_logic_1164.all;
entity slice01 is
generic (w: natural := 4);
port (rst : std_logic;
clk : std_logic;
di : std_logic;
do : out std_logic_vector (w - 1 downto 0));
end slice01;
architecture behav of slice01 is
signal r : std_logic_vector (w - 1 downto 0);
begin
do <= r;
process(clk)
begin
if rising_edge (clk) then
if rst = '1' then
r <= (others => '0');
else
r (w - 2 downto 0) <= r (w - 1 downto 1);
r (w - 1) <= di;
end if;
end if;
end process;
end behav;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block
GlakwJlDs9diDbpCl4KU0KkUfw9j1xywqgTmGuc57oCgkefePcKIVtdSuo+dbW9HN0RGmUn2O0tK
DXCic0eq1H9129c5Sd/8zybEyXhy3yjSApo3JtDydB58vm6jqhkCZCH7up/tcv8RkmQr84bw2qv/
wnyFi4bl2XlPNvjY9WsiQeyRQDAJj7DRMviucMhJXsv0KsJD8lIs81VtJU/aV5JTprK+sOWL0Uf4
u9B7zUA82NsPSdebdtk+Pt0xCTuzLbaUc2Z4TkxkF0M1IZ0jYKMIkkAgNbDBccZ06tPaOdKDgwsV
zDMw26pYAWQhRalHyrtP/drbMpyvWwS4V88Kf3DC4mC/VGLSCNem1egpxF378rn22U4oahRUggeI
CPwfas4gfgOiN2SfInipimsjTJ0v+2BjrPZi4O8y+P8vR8QR2DAEa/L3QvVxxxK6O5TVHofq1z9A
wiCOhbTyFAOhnhF7JFJe87B0sQNsYLCcxbxoOspfO/sqF7V0yqzE0AB+3wcPiqQbJ28fTYv3gBQY
Ymv+EavmvCH0DhlvNQo2SkFxAX/zOMEVIt6GxY+rYvSiR+FzrkoY+TWPDtz24rA36xi45wZYcTBN
jSmUlttVu9HKxGhYYJ/d/NDk+zBLqFDXjZOT4ojH7Dw+CtZ1Z8EDz2y5FjpdKpJ21SRbqVqtpImA
iMaONsa9m9bZUTTABNTEMP2V38DLaE2qIOd2j52JmfzbLcbnBrjWB2DNBcWL/uX2dzzqncViIIpd
qJy1ALUfvJPAY+42We8NKUHtQ52RwaVyZ2/Fb5mNIFrMgfxH4AcMcMCE1D8JEsou/4JkWnYFOGdl
DZ1nDjXkbt3TyYarl6M7fzRoTVnSY9hLyYhReNZhXuBFdxH8Diu0skv+aa04qgwUxNfKioFPx2Sr
bnTnUSGtEus8D0XnF/y4zRK7aLJczKjZHyF/ojluEY9p1KV11o33PZ5YSRs4Lyr2w9FZHW8eI13r
8J2f51y97zyk4iUnmim6mDqAXEc0z67bU923negcWO62tRs41JDALSExCM3B/0gEsi42SszZqwg6
VEJLNBZowz4ERkqSIIoEhkxjzKNvcaLNu8Vj4rbdVYP/EF8IZw1KQWHUzvVwRMjZHnUpOGC0vO7D
xqG4mOfzJv1L/y/fv2r6AtSWU5/Ajb7Ye6gcKqwzjYjOF3Vo6k9MR1oCMSPWrUoa0QYA+ljmVVZN
5yfemL5F+ldab18DdT2pxVOGmzQhcjKUpFqS0nHSfwyPhTANDQb24i6k5nVKZsvt+/EwEDxlXOgc
WUN2l8pVd7QLVOldjXullzaEEtLH1IpVKz1GxxYtQqUNkTw5aCzTsoxrFaT6ZYsbMYf9OOib9Daw
mXD5LQWmPtgKbzRqCe0D+pGpL4Zbqti+aKNS5zIh+HXMwtVJT151dcwp4yB6ybiuQFpu8xFawY4F
sI9NWlnSrQ8dmdcCSAWyU97RsVYOeyu4WidWrz6ZQGP2+BH9sfAbNMElVBBfr4psuM0tjS+in3Zq
NzO9uQ1/VNY4s/+7kJfxOOweemY5blAo7Wl3yIllsa6L+mgYzXNsKP2z5S0G0Gqn7kb5M4jeG/qR
IXXyxxJI2XLForULNGX+D3G0X433K55BJG1YPn5B60Wa+AX8YY9HHEBRHoSf7iKccnAh+1M/dB15
TcJEDZDlwQ8erGPYNYQRVKoFQsUT6wIKO6Qf/fYY/xHmhx3sE/IBksgMt6Y5OZQOuD22fxyDXPlU
0TUy4nFw0tquQfiUaolK+BwlyDfCMonkrZrpTyFMohAGEapWY9iv/vldNjo5MwrDnYvE7EFxrrJS
JeChn2MRdkUXawC/fV+RnYZTulR7PlsKwXAiWmvzXdx2cfKfIGgrxJ7tYAWTYsgTJpe0mdRhrTVs
2eRcKy8DAj/xyXrAcKzogZfmHR4GbobuwAv2BAZmao5jnfZvGJ5HtWm1BCqA79TSTQo/T3nfS1Id
DXoebnGOuseo5iL/gP/PmL7KVgL36n/ZArVNhb1kD6VvsANWe80gY0cwrGr0iggQsURVKfrtqL5Y
iDtrMk+zpFs7vX1FCy+rab5PGF0qV1rhVeB/JEZCX/hGAa+7vrpVgo24q70472N34JH/0oylnnCE
aPoOqScP00kn3Rapqadb7mcb+TGEbKX/+nx82v8JUN9X+5vIwpabJXLt5/hz4M+Mu/7fbj8ZJCx8
SMK3xfnc0weqcW6ygg6G8ZdawPwVP82ior1hzf6KFNHR1FEYTrzRcCJto7j9swRmqUMdfWh0UVq4
uRgLmNSpaV2ABzfKRe6YSn6LP/1JZ81x4cUtAXTq6mDGZH5vaXcPck95NGfgly/XiVcXZ5XNHNEw
3g0dSx2T6hmCilk2t9tJ9vK8EotJG8TlgVeUgQVn51SSDMfzM3gcLKKxHsKF3KpIuKA+0tz6j+0g
PucHXmj6p8jBHj1YpfdUogRxWgkEUCYNK2bMvgFp451AiAF+4wYryPjukyRSnsZzXjCBuAc9WEjE
SXruwwIRGENR4+2BVq7kBTTJm0yb1rYDPyJH23IXdUsgKFcYagAb6YxyEzzxQrNd+6x/TBLWvkfH
BtrPIHlPGQlXAtejMaXLt9FVXOuOMs3uiODtqNzN+HgKNXxa0HphHVVcNqOvOW9dLa+oqiy0lgXZ
8unT/vXYHm42vNhFZfmcOCXgW/ljn53nj9I1Q4EhT10y9ditC835o9ef8fJYqgioRxseJoQic7fd
rjo0n7WZAXH1dhTSwzW7nn5+R2I81+5rZTN39v8+KxxOsFTmkOZoi75/2yr/2reOHB0Rjfl9YHH9
dfie57jk2/uYqjT3q4uf9/hqgPj7WVSwt0Bn8Yw90yDo0/gT4R4j3INdHfrzj3F4STG13WrEtDZe
Xj8wRi/ZZSKdkDsCr/36orcCc6yM99GntDAKJrBc9k7Vgm2URMt1hreTzGsmA38IywKyqpY8Jz/g
8vedMqejhuc7W+cWnwEXSeok0vwYtrnMJH8zqgXT4lInV5RvmJVZy1+kWr2SfRd0AiVxrcycPrXS
q+m1PiDe5exDoa2BOi03qZYlqSjOX+4/penfXIZ17cBPIWMRmb8TodFTLI7+dILm0wKbgBeeOInK
Mayz0Hab7N6TDaqKPbdZeNM0/KcDdJOuZxUTLgf4jTPs3PlC3x+AJduKaB3O0UsxQ3OqrheJjqbe
EsVEAZfiNcTCworEsCK7IBo1ZKCEwu/cTSGL2Ejehngwo2wQQN+xc2/paJycKpDdtE8HZoy6rCuL
La95BP0OyN/8rCS5AW/wvSEYFNh3oUxi54lc1zaRH+ZC6nh+f1Y5hfs88+WssNyUm2FYOAqWhyp3
XXhQUx0plbDlxwCAwfoHSqHNZPZSpE35myvKZrnEH9MDHwhgQZXZJ0wa3hO7wNECOawjPM/GvuHs
RBBHJcqx5XA2Qu4TjAkZo+Yn3qAmmKTzFfywBzJBxhQmbiojHb/ZbVh2fJ/JP4MUwRbyz34mBJZF
mmadEM6qkr1Ga7d9lR7CfIsfpailxIrUEqEim2l2lRKGw+qG8fbOVW2H3tX/wGlfVpufenfDzF/p
X+56Bp3UkHIe94Tl7gc6ooXhlxLbnxz1sn/0PweivmwC11g5idTOeOqF4vmHdJHppgfW4n6GgFDe
5/8Rccc8wr3CxqEVNq25lN3aL9IyiUpPwVZGtmVSxXhomIq9LuIquLPaSTvrIXZW+yasavbjmyzb
ta12ptJJtuXTD7N0FoHXIb5XDvaPPR+KZatWBWj4ZoIX6qCm/wABSjIRHTVYzycFbpOEV6ZMGrfg
iaRYzGGXAJ2Ag0fEARz42gRYxRxb/l1v3fralViF96jpUSbZOy8k01Q9T5o6b1LiDHWl7mlP7HB/
7XXCoD9BaYf84EccqjPdDnJ5lqWPDlPpgFkNL7ipFDaTJxvAw0OAQX9UGf7PbSXmDrmCYhzSgOYr
neFtM3KLnGsUitwrADY+ODOchGp/2BkRYByH7rTCDsFiJsQw9c/av96JqvwVxA0Ff6/rGeUE1cgW
/Uip8l8EYs1sNHryA6XlmXP381/3mJPtjGG/tb0bPgx6kkWeqJ4EB/u1f+HFuZHoeLtJWrSUJJ4T
X5AFTzkbiatOKpNUxP1pta3H5aAwGtb6clr0CEHMyVgYbbGFkxoFi+mBk5nXNj5/+CRq6ek+rRnX
x2St386eOL/nFntF9RmY4K8kEnQR/rfHQkOCecyh7fi+OF/LeKHePCloG+udXFysMh91U+MYsaOv
XyN2bjtgsIDqGUQQt26mBMMtfSgocMS99vOkbWax058LL4V73612eyu02aXsWD8kz+9kTtzlYTuG
9+0FMB+jNfGHcOae2fNM/GM3Aq9Uky7UgNkyM7VPslQaRWld4zDlCHbWFVy+18p43aPvp6SKxiCD
TnoD8Lnanbq5xyXBMbSxraLFRIE2YhT8M2j5V+iD29TwlvyoA/fh5Rhqku28wSxJABhMAyuzvckX
w3AImgjk9wUIaWbP2LD34A00mOsKCXXr6bh4mbsZxHwFA8pqJ3kjbr9uBVe/MAmx2seqJhNkQGVi
CUXFFUnyDMDj5Xd/2p69VzI+gh3YSq4dJCPQtVOatorPb7kt+fY7fGf/q2BKb4uXSwvE2VyBM2KA
6Z9aP4CiHEQv74tXgvHVT0I2WQ9v5n8+WW2uaZbWrwpU7lU36FGMjkVw36+hH/iN6Z3rKvuynCmk
roEdOpx9vKnbxNmId47Yr9Hm0c/obx4EcpwFg2fR/c7mZLBU4exWTieZyUTZNgLnFyRVAiB0nDtN
gbyCAvLg9No1bF221VZ0poX5z1EoGCeHEY3ym/2iw4HtJqLryileFpL0ah/z0T+mRiDwNEfUWMzg
dC+7AMUaI97t3xe7HriPfWlOwRFmns6YHqQqB5MMJDuic6r0n5EDrlz71HATQyIK/rofb3/6hlEc
qqxsFBKBzHpWRStMEtnRvNvuk/RPGOQyspuAc2h+IdeVVW87JWWPCEL9feUqUirGT7uadz7GxBMA
w67TXr4tKEroWIDahn1KYPOkS/ZLeynuVxrP43lDakFOhQ3LlXklwWKUqZoqPIAstrKK3hNjG0gC
gVFYdEgRa3S2NJdbFbWul02ZEASEQQlYiI50NNCyjipj8IOsMhnDNbppkCUn9/w1Z7S6e+Eo6CNK
9yASUdMdlegeCn4zJ1iFZ9r239l9YopmeZoohbw6vIZBmTmWNBeEvbf26dTrPKGnrPNwbYAHGDpH
PNq7gvODfjnUeOl8hovrpvaqd7uxNZ10/v5DgcHPxyUSmV0hlPlJ1V8ePPSJsjiv7hLGmeTZTXDh
Ju8vPWaTrNjtVeeTyRu/HjKIAPcUAx6DWK/8mCbrHzgLPeY07ZbLMwRSuChBQcK6JBZahNqe3BQQ
giiakzVI1T6r1v8Yp2QjURO5HGUhvsSS3LU37rfqv3ly1B/S72sPGJLHthsAIjrGkCJ9jQhGwob6
fpZqRMn0Do743ZPa/QfE0KTZN1yeeDriWziUJoQiGGYBbTWA/7P3rXIZXuk2eU9+4WV/E+LhayFA
Yrt2L06nklSBCgMC/eMc0b2k03g7+7G+E9ARX7TTG/2av51KE1/fXbZYFlk6giLFAbNzFde3Xu0f
oLe0rxvaUGZ5UImXPSYBN0rxhR0IaNfnkI5kt4EA/EbTXqS1Z19b9UrbK4me0+YlN1ZJnk5deGMr
o6q/o+rN2As1o761zUOUFcRqmv9F9QnqUAW6hrKp7NSpj3nvsiY+c0evGgLPMkYV/z/DZQoBZHFc
S/OzpPJF69Q5hYPpOZ8ZaIoPDP9aNPCaziAxbbXOaiOupopt774p7AyfMKBck2TMoJfhsLrPRTy3
IYUlmWwY9P0JIJ35q57sWQf3hXs7nPO1ZFPivWnbs7p8VBhob2o+3F3g4O397EOWSrwLzCSyJp2l
NqoqjKeJNKgyptEExhbaq4eylGqPh5iqdBcNuZsiUx/SbOwb2yPBGMAYmg+g4338NR8BmAYssRSL
j5RfM67b8IhxHCt7+aOycd5kH/iOfKvirVdoA7Dw2uehxhKH9+6Kn9WeNf5n9WuITQF4Y4pWRwRN
BrWmnuzp5lsqO3vINLmoYa/NFX2Nn5J6YDun5aeWoUvwW3RdxOLBok6OSxVF+xUYZirI+GUSNBil
hXqQP+QTALT1Yq9TE7ayVUUR25hESwSAuVBPEWApVQDWF9lKkrW8QhoBXpJ5I00y601No3yQNxMb
U/BLwQC/cDZv4XBCOjtl1w75TAasaOeornm20A3yviWWa7YerMQjDr3efbz13C9UlItMGWIuMfGh
PmrrKjw6tidGTBCKfaGXUR/AV16/EI7C0TMiQwevjwUEOjfhwqbxTKu/lLVpwpI5C6M+XRCSwaHP
LHc/F0FA6HlSoz/iS2DkWsZhpw10fPwuNfUTmPVkxrq1civyikMWG4vOj1vyPCWrvdw/cCDPUvwm
tgbG792pyQBmX7AREd3F/vtEic9PbuxaZuOgJwYNxhFSg8LSRDqsVfwDNVpMbWTSzQcxCnHa6iG6
OlxW19xbfkGBSoUTCa3C1Jk5SjfljgylXsgM84qAUo80ef+UXnoHBypEkD2yzjnNuOJRw/BNR5VM
qofqsJLesqeo9PathkK20+P5K/9KMg8gcA9rO/8xxaWAUhIytP4ylN1SiOlf4sVsqEpxsVV9EMMT
vrRd01FRS1ZOGaGe0c9ylgZG5XK2+MQc+U97kVvutnXZkwwJPvkgggAwCmEGI/zygj0MhrP8BIu/
CyuYwi4+Hm3XVaQAuorXbmw7lBnc63stilet36Yn7OmKdyPuFv5Y6WBKB2SJoRbgUl1jCfF+1htA
So6yyR2k706Xp7DbNdPAc+ry/qfOPl0Fl/oNoey9qVod40ExgTSF3jav6zdqEX5xro0WwlAblhw3
j7VDqv8VRAZBnTuJNxJOWpGLQcXyWH3ATrMuaP+l6DA1JKii+fvYvXMxCdxGCNWqwi9XS70vsTNI
1qgAaIT6UtXGwx7M/l0Df8gMhCsBE2lMtK1w26TIHNnPd9CHmPsTztPG8oRILB/WmKpuDSpDJlyg
FDB4tjA/WN6Zyfnr7ptT2TP8wPgHq8mljuevoCtTJUV/nfCacUhEvbIb3D9vDpkcciutBB5hgbwH
gqcXYH6KCZP2NHYLTwd0HacBF4GcrhUpNHlD+AFJ0Io7JsVoRf02pBJcjbaAUTuLhuufhVkIwdPk
cGpX2PgaDyiAF+segYddpvn7VXwZccnQht50DKY4GF25+wCnkFTzuduPbsIREnr/qNrfERCuLG3O
1kWslT8qtsdxOI8zv1GJPFoN0v0AG3l8BR4QGgghzwpqp8PIb6PzvKfzsblGuvsCUmSYbAi/iyi0
IeonsCCeLFqj9hAzo4Y8jD5fSX8XwVOSvmGnDelg93srfUB1Zexf/sCf41p6D59CPVgURZ8Z9k5H
r9w0U97vyey6hieqyeule9zeMaBQ0NOOGo+8ehKSqSRrqmJq0B2Q+6s6kwhFkvZaspDg0EukA5ec
s9QtTZusO6rDBnwBpxcycZKSmukI9uPyeAWpfZ5FRomysdGNjyvxgsdLRXCK/WCU4xxhlWe/mkxw
pBl8ceWWoClMez1gJHddqiGoUVa4KxLQGW/aVWu5LdcEWssXjmFIaZTrY0TKZzuQ/kYnT/EAWhau
LVXCrcqyP5ArNPRaU1W+9PUysSWHj3+ahlHPLpl/6qFwRP+iwfGpozi8jcpFA0atkPDUAvBPiDLK
5O/AdpA29EBwNt3g9UgU9wZMjxWHCswKw9bnXCeLg1DCv2S+ZnhRlVnKOeeY7irUgaVBNGIgkv0M
wBsVy2Yaz68Eedu35tdAR9kOgyGk+I+yve/SAn6N5fWGQ6LpauCypaBzJHuAwaykvbNnmAHwToZb
rOLyb7R7bl8ygmWhTxrKHTGFYV1GDz8Hkp6xS9WzBfFCi0VNARhRdTHBtHpEF48ylmCXvxLFyaPt
6jJSnSwae3OBlCwUA6fCCEoDuMJxsUHzjfLz7wfmR3cvRZps0x7/ccVudjrWSjYYUJ0MfagcuIEY
3E9Ok/DqwXYAXzpP7k9UNQ9XxdNjQBjCIZm+eaqx/B/KBYIvYqoxpmpeammwAZ8w8Ng56J1vZDAw
zHMCFSclXlEkZ3VH4+ahy3HI6wEsI5DjU+XzMc3zXPt6GgZBmf4fxyao8ZbeqkubTtu2jkP5i4bu
h+jolP1962+3oap+B+TGOYn1Azn/gkzcCE49iR+XB6IDQdDfq9eiKDE57WyQwm10IMzQEAo20/u9
2qoe7DnGaPPlAeNtuxOtdxSc8U65YGxErhgZCMuoLQcXhYS+BmtVp/FtU2bfEm9siWP+tunq25lr
V8mK3pt7jy3RyCPMwEP+nsuZkxxWPhu9RKoszoQk0nz6arlqqXKUdaeY0lefgnW7iJhR2AjUgBBF
GGesHGD+c+HzkZFZ/zg6x9B+nCj2cKehu1xd3Wc/TfiEJH9gPTgk9OvPx2B4L0zpm5xpzAIUNYof
2/nMs3e0tbHqt4ucNeuZuzMfBwzAy0sKvrQshHgYE+Z0fDpHs7cUMmtGQK0oYFt1aHOJvxqPFEEx
oWFkrRC4kGaHyJLL8r47BF7CgUWBr0WbslNzQdLQ3i9T16mDSeWkP64TQd5hLtVM46lrOxNRgxwg
0OyUPLEQLvF4aWkqDtQeg+n32GSkEnnTFONt0lJTD7EHLH7R4tLBO9l+7vWT6UW0jL02HPAze4hn
33ceiOkeuxELJLArgr3H1Wid1l3EiuODaOXxGGIhgwkHggD6dp1Mnmvl5eURQQYIwIp/hvBiOkLk
zG7FMGZ8YZw3XhfsOoLnj931XkB0rh7VVHpUQRZzYH/YP1/sx6Cryy6nGWJpd42gW9PTY9+9EorF
2egzySWfe9WYMpHCANYrPi//2PkfGASI3guiWiE4I7KxwwNPPcemWEGL6wHb/zsAkc5hjIRfbpVo
xjQLMDSSmnmqwcXArxRCNncHH9EPI21jkZtevW/WcRob5d7jVr85uPzhSKsuuDw4RAaUiIEj6DIH
28XxrWkwWZjvMpk4WhSnieIXeoRByKBqQpmuREK5+hSkxW8WbG7FWPomrIp6akMlqGw8OakZ3z/i
lEnIHOPlYzx3LobZFH0XMxv9WBvNSz+zHaL/Fb6PpOhPXLxdjsuII9GZeIni3Q291omlu1baVRR5
HCs9MpAw7qEgcJ5U9za5tT1JPg+rBmKi92zzf1ThQzaf/a3nlJF12gqgWybwmgENq4w0uFEF2+jY
Hp5QtaImNoRi4fM69UZ6An7zNNPN+sjZl6mH41d/jTlRjIbzk7jzA5VtEHt5e7Dn/az17NpDYDI7
Qt4fEsBWIdjKJ+Cx93245ish5n2JwDzDRBpIGGZSQi9djO29Q2p8frqEShWMu3Zd5r9ajPgI03s4
EvhzWSOtIFKnFhLuXfXXFQSHQP78uIzMfalC7rODr+nR2ATokzZcoRPk+ttp6U3IO0gPcWngNIy3
78M3gbVKf9d5gdmFO6+s5mLfR4eQbJhCzmTg/kh8/QpD78kL8TdefYHI99Yxbn/Us2E2BbABJvZZ
NaA3W2bU+Bm0xmsL7Dq5bZWqarsOv10Bs5EKT0gkQbojwRXUsyXOMZdLYFpCRlTZNBvYo6s2rhFK
I7SgDuiGf3/IIti1QZDAFU8xoQyoPG6eGGdtlPLG9g8a4xVYVCKMOFEMvqUFtu+vk6VYnf9QKrJV
uPGr29ug/Q+AaBvX5NKOznElYxShLknLK+9cskcG6cAQOHN8wipDRJNReisA6fpykMFhssR/Xv7A
sJl1EMRJ3VvDh3Y3HMghKb8/mewZ1aHx2TvAAMzoQ8+bx/Xyq1+w1S3j+NQJtQCsApAXUZ1v7y8E
HKxsJ2dFs6doe7fLzbIHiI4BfsOjMklcpWsshSHT8AMLG+NP7UurN5xzSWUR1+U9dfWxsVePGckr
Ckg+0rN8oCl6PCNNJh5A+DABrY4zPlhHjTT+9oMqN7OdVJgscuW5v0jCg8A6cpKlGj2ze8r9vbRR
qqUuUhcJKJQGWvK9hQHurR50VeE7srh3yI1m6ik4aZWM2d2nqcuE3NnwUJHjPUMw9D7CuEfwV7RR
oBiJVTWewcZaGtQxRsZM57Y8r6TqFL+CateKdGhutYNjRts/h8gyVhnTTIdodgCraA7KFpf22MTM
PHHvxYLEfaqPeHp0Fj1I2D1uHIo2JpjCwCyB8NxqCYPNWIUiZyJREEKUIaEEq1WB/XQ0jtygl3np
bITCl6KMgDPUdgBnyMjrt5JMD538nFStAKeLY8fgLOBk7t2YP9cwAHB32q//5GEshYNXTFQ8rj1B
dezhP/S6GnsTNXCIb9c28umXi4KVH2mAR6wk6TmXMdlzTOGsKhNq2wnFMjvSW0LQN0AJUrPKPwIN
SUf1+SOaGtB9+BsGgOt779AkfvGaGejtZGZ8o9Jb81mebhWy6bczrvuxtodqdIltST4YPoYwr0fu
nO1WxHE6kI/sS3E8gDK1CMxKkWwBNs3tx1IdaM6mphWedlUNJqq276jMyfFx/4iVPRwqNi9zuLgD
d+1dKF97dQk2VWn8Gl11Cz5E7jh5Wk5HJVJrrpHaSH7c1RCqIIgcdfbRDUQo0JCImDzO3JqdbzVo
jah5ltJ/zBn8gIdfk7dnJatj/PXIQh49eaYNLI5kVqo0HIzdQEMKbVv8aLJBNRmPFqZDELTJ1AU+
B1CjXhLAYddHwr+MUvelrkz5ZpB8XYHc+UcZ30KIbXXjKsuhdu2zLW/oyskw6oldcCHdzMlH3J8c
6STA3qMl8jQ9cJj+MDtw1JYmlP7VVY3vSS6eWPvJVZFsDOfgJTTc3FwODBwQsK5RdCBbzcVOH81u
W59tW6YQGBp8o0HSwEb6x9t4j0PuUZRm/XMJxZt3OAd29HO3Js0a9GtmL4Urhwn0F/6UnhlL1HdB
nNecLkz2BTkr8urnUYdEi+jGRVAOUhoQ5lajRkmXTndu7OaD9NKPinjKXdhSPPXq8ejxsNFnb0HK
AdEQg5k0UuIdb34R05kcFfN4iRc3QkqY4n6kgaE6QA2VTBXtIRYSa7TFv4wVuxCpBRvzMMJQaegD
hFIFJ0nAE9a4AkEn+jGmIzQ+O14R+VRvxh7yv5luff8pxWjGUb3js054lFwEjzrxxB9JdvIKxxlV
Bx5liv/4Nwk6rPeZWWlbN/OhRFz0mKmf4KzVf7whfxnoYdSezgvUuToWqzYHlru/U4LukAHynATf
VyAwjDrMcN/dRcigTRHIxyBGcEMi19ABp0TlA9vViUmN6q0DnVpDhHVJezA/yvuWCw/oaYMa3fAu
6kLXx2T1P+aUkwq8bvxgUkF80OM78aWsWS5kGDOM98iDVwGYom5nhAReXc0mAierzawnKqM6DRrL
7tG+YqJ/znGFYt+W0WYNmW2BACp8U+K0aBwghx/NoSqvHwXTR4XmfFJoy6MKXyiZUaiJ9BNP2sUG
f3uep/pmJGWj+OYZIeW4VTQ1IPcYHIyZOrr6t9Q/oAiyxMSnQ3gtCoBZxRvBhTWEdOFT4nXNPpFb
zN6uMpRu6MFiRMvBMcKt6G2uk9RWVL3FGl8A1zkrSWPGJjJgIE+TjuuRzwrWYRpmzysn4bJmxkjS
g8/YDGkdNVbku4n9epsOdLxfPW5BJKI24iOJaWaLS+AS8DVa5XvaolPtdVC6pueS1JRw9MCU5Zh+
DOAYofLxL4Ym6z0b01vLSa33/cNivHKfdSFogf1Lmy8hFfzBfcGbaW4P8xyMuQ5QywaNAanuEuZZ
VXCl5z+bmK58NoQ7+7W22XCGAkvh4bNhHnp3StUp1ffzail3MXPyMz2AFkvtCTLS1SnQ5vQrG5J3
B/g4RxN21k2KkuX6SvCo8p3P8yjm9pVTIE8AUr76bGzcEcm2Rx4OyCB4A2eHofaEUkZ7peaWhiMz
LGC3GoDmB3aznZmKil23Cgq8ifxDmehw9cmjvCz0N3P52n+FORbxiVzIbwyJBbtkiSDndPUpxunH
WYlUz2wPNiBmcnw2Whwrw8O/KXgixOvZW6JkPgGw/1J7oGq9RUe9DE+LWutnfqWs7+P+PxJ1Ga31
NphRyKsUYdpCrMPaaiKW17GMEwGCNNYHPPsxs3WCYC3erB14+C4C8VAjgBCRCGidljc/RL7Ufajy
RJi4E5NOfr1L8BZaNNwOzSTjJDYDlxiOC4VWWdMIWc2KbQ0KkE9K+ouzWt7rcl6wkjg1G3xqMkus
9F6gtAVcR/3vN6FE8m/SYcPW1Zb1pE9NTNNVvBvLQHfg7weJ1vLckDk3TYy9Ma/lh1xynPlV1OCV
M/Eg4wivUSPRgFoICuPPL3c6yPC18VvbuHJLetQR7Q9Zzv5gqXaRe9NLHM1DtCrpPVnPydVMITGg
VqYMe6BDjTeZAt06HdkJ92OSZx7hoEytxvtG0RUT3mWz2b1zgpc9VzuDOo2iZ9eDn7IHkUJYs/FA
VeA5F1XhdXlXaAomkEwL5Z7nmNT7TPDFUxzqGDQvjpsquM1C7yNkSx1lCnylquUZMTyuWRApBwm+
YVH3fy4+1CMT2jxoMAJA548gCwSvZC3W9BNTocwyUurrWRvaBjmVIlVDAzCm7TtqMoxWgelEtLLn
j3lYZRSjF5x1X5/akJNerW/uzVcKhxR+d/XX0G/6VE35kK4BI/yjKVMQRx8YqTdyxZoeJm8tXInt
EhQDHXpgwzPBkxiCGdp/lWMMvNW6WW/XuLBfFXFPGUiiETRas0cPzRoezZGGcCnbM4qSfhCIDjl+
WpzIH2ZFgIEc1OzmKJxxokT0ogdh4XmfcFKObKtzhOF/i4AFTN9yQFRd/0W+MV5rOeLKMtS4vzDF
e3NY8SMhLF+OFeus9dcYziwFs2Ut49oRLzXZlMhS1HjnKnQQEXcMfiUxPwaMMWQlIPrGBKCeJjDG
gyR7pPzNBag7DK3yNv1c8XSTWICxjU86qLNjBquuh5W+XQzVAabp6C6gd1eoL6SMl/FRd6xoDya7
8a+Z8YlEevXYVyYs64FM7qDMURlhCfUTTbNBnKarlDfEwBmeORPfJhtmg0IvdGdUSCXapHosNe6J
o0CisD8YcSIzvx/KhJROxkILUC5jWFxFHpNYEZrqG4KZ0paa1ooooovULfTZcs/O33czfNiBpQ6g
NSc2uRVB4SCTDvdh4M2OqlI9t5pvceNflh0KoJMbQTYcy1d1D7TI5RgqqojTmjT+FMAOwOMjJVyw
wKLraOE/uh8qKMbLOv80ShGgZt3wcg0z9Bjaip758Vb3caaIamUN+Dcxu67CkUsboUfs5HphOGSt
D/+Ph+g0WianpmL2Xel6+ALEqj9TM14vcu/9l/GmTf+aCjKUo74WfYXV8h8CxJLkylmTcjucv1Sg
3ZUEjUfwUdPwBAZLd5BRH7miWLWDB5NkOjDvf37YEYShTkrZu+LBFBx1qlMt+yzikDUbXuPHM7JJ
oGt5l0ZsR69T32kX7m8UN8+gFZmg0n5J9iQmfxW69KrF8g3AEuRk020uRDFLCzLcRJMaqv//G0Eq
/oIVZkGl8i6uEnv0YGD80o+D9pCW4jmW0XvdjOF8UM2FPGldrIjsryHs3NVTc4l/TlNj+qu5D2tL
uj7c8FGbZWXNHBjrjq0aisuOVx0uVqKX8rZfS7hzXcCTlgGWHyyxI5JJ8CZcNtGHx5u04Lyx7kYy
yzALFbi9HwBcY3orlDE4Xw7TVgwt3WVws83UrS09BPnH1/pJCHwO0jja3ZiXhQN3X2fZoQ22C8d9
RdFIJaytk+GoZp2PBHIx14zkUkY70z50Y9vgCi3iImvpW+1WvVIXH7ech774121WxXGVBL5jLfdW
ausA1g0glJKOPeR0gz175ROSapvttTpaahexLxxsH5ZXyxhA8EvMjrRkIJ1YPWP4YeOAI4HipJzV
7mz7d77z/MZC89b2pbnKyJnOBt4rzPkbnziT1Z4MFHo+pScEjEiyZ/SWLDDoKbkdktJ9X2HmAmMr
CYw3QT7KIuWVyYV7mGdOZhH/kb+OF4lkE+Gn1UfA7jPL3L+JiKMVuQGpffM6LM6ywn2Zwbbehlh0
kBQjO8TGH5oMjT9OoC6KuIecJkT0TXgsIZjExEUhmj5s6YZx87XBuRPRWB76Px2NQbRPiz/hBVbl
B8k9oC+TM6ppG1t3LrKIow64yVZW0SSkmGuBTW4znw4BJXhRS2uAqn5mKEqFy6mc6ggM0RTKrEms
kvTkYM7AQMzj7Kqcqn/CrF0EL01T/uBFuSbV+IK25HCYzgByoHLV4rqswSuBn/qKKi9DiiKx3Rcb
1opFf7IY1bCQ+NR0dCJuR0AYtEKrmlI7PspsV4leYGEavRRNfjeklPIdd0OjQ7PVvU1b8+seAkXe
uqt46UrNzm7uAb+cLRqZRRHsK92zdUGxb9U3i4SlaJsFwqZcnLXire645AJzjQk5YOORvTA/rCjv
YiXJ3bTR7FPGNERDAoF1KDlazKII5YMuB/nyLvpB+gucyBDomVFLHWP27hmi9UirgbDn2uef8P1a
WgndZDNbsbDn8cLc4zyBWpV3hoLIScC5HRo/pM1kCfbEyCPImqskEuhicYygcuOtEHWwDPIOwiPC
MZZT9ALOEsZzrOiRQ7UREYI8+MzWx0V3O8neIY1qtc8r5s1hA6C6DLMrDolnII1V/vInufyhbyWq
2ohFTKgMzx3cUuo9ClEr0je4YtA2mDX2C9GwrpO1wLdNR725cQOFRPNYjztdHJmALlx34gEw67mh
JEf4eQ2NaNUFFs6Pfs6GLYw/90bumA5+UcKrOkBq24lYkz1FKJzds/YtdCYyq3Tp2SW5W5jUNPVq
7iDHchcs7Qrf8LHHWHVfv011wE2H4cazfR4RzxB4k81uJwFSLNyFnZU1OLik8/YBZthbVLbIMdym
krQj3STiMy3C2Fnz1wQNHRcFUfRlhSIw2QXGXqyIJD5/P+jckrT+Emk5sjW1rx0a89INBlVHg/Eo
u2DbMikdGu5JHO7s10cz/PvkFchpKQusY29laYrOnxSeebZysOrW4ajdM2IQykUqZMKhBC8NH/qU
3WKs0IMBXNMqBDn6KMlqJTODlgTfT1CTjaNyW3uKQaESbHMUFL5DRJ4vP4YSvHsrdT9hwVGODVFZ
SViCxKPSL7CW3WzG1tPMqlrqx6pu6mZhnaUrXDgiWaWmtbZocBMXqR4cidM/UqbEpYTXKKjVJS97
NPmjWx5iSsdXZUF1Cl+bCvK4ggy17FCkSDgqMUSgXh9HPhNq4OdbAOoKFxn1VFh723XorjP82F38
6VL7149Lx6Hdcf1w93a/nPyWNMnMQipZaNfqEqxZgNR8a06bHX9MenIcv/3azl+FKpmClUwDtxnO
jKzlTfwzcvXIPioFyymlVXzr+MnC0AUW1HCAUYeBg3ZBNsrTHZyd9VzR6+NBXRs0Cx2nzNZTKglm
2sWDvmSWYw9kgpKXiaNPGZEasr2DJ12GVl9Qu6ufX6kEt3I4IR6f8YSszaWTMTUY6EkhX5SOllKq
QKBPNHHKiZ7a8U9J6AZKjAb/+k6wYE9bHnLKM0XokmhCeLWkCKRpp6Yn4+pR6lL2atklg02PDGTZ
BmKn8TErAcPE/KVtXpicrcbVH6HoXIvlryc+ZrJ21S3qfi8oFEDSjY8hBmoNmvzRpvdxZlszJMXS
q2sFWhmt0JaAKH7v+4ngS973QbneI0i/T5ZWITbKYuNcGyG0ao2KJAwVn8FhFdFbOqWKLn7PaCzC
sWVa2He4TGjjspWzaJk37kEZR6rhxFfRawC9IXJCCn6gE/8Dv4AfV/T7l0Ncbfwmh0QLcTcDt6WU
wVM4EX3jZnwNVOK+HG3uZNQkbnx9ZSHDnmi09HN6TUY=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block
GlakwJlDs9diDbpCl4KU0KkUfw9j1xywqgTmGuc57oCgkefePcKIVtdSuo+dbW9HN0RGmUn2O0tK
DXCic0eq1H9129c5Sd/8zybEyXhy3yjSApo3JtDydB58vm6jqhkCZCH7up/tcv8RkmQr84bw2qv/
wnyFi4bl2XlPNvjY9WsiQeyRQDAJj7DRMviucMhJXsv0KsJD8lIs81VtJU/aV5JTprK+sOWL0Uf4
u9B7zUA82NsPSdebdtk+Pt0xCTuzLbaUc2Z4TkxkF0M1IZ0jYKMIkkAgNbDBccZ06tPaOdKDgwsV
zDMw26pYAWQhRalHyrtP/drbMpyvWwS4V88Kf3DC4mC/VGLSCNem1egpxF378rn22U4oahRUggeI
CPwfas4gfgOiN2SfInipimsjTJ0v+2BjrPZi4O8y+P8vR8QR2DAEa/L3QvVxxxK6O5TVHofq1z9A
wiCOhbTyFAOhnhF7JFJe87B0sQNsYLCcxbxoOspfO/sqF7V0yqzE0AB+3wcPiqQbJ28fTYv3gBQY
Ymv+EavmvCH0DhlvNQo2SkFxAX/zOMEVIt6GxY+rYvSiR+FzrkoY+TWPDtz24rA36xi45wZYcTBN
jSmUlttVu9HKxGhYYJ/d/NDk+zBLqFDXjZOT4ojH7Dw+CtZ1Z8EDz2y5FjpdKpJ21SRbqVqtpImA
iMaONsa9m9bZUTTABNTEMP2V38DLaE2qIOd2j52JmfzbLcbnBrjWB2DNBcWL/uX2dzzqncViIIpd
qJy1ALUfvJPAY+42We8NKUHtQ52RwaVyZ2/Fb5mNIFrMgfxH4AcMcMCE1D8JEsou/4JkWnYFOGdl
DZ1nDjXkbt3TyYarl6M7fzRoTVnSY9hLyYhReNZhXuBFdxH8Diu0skv+aa04qgwUxNfKioFPx2Sr
bnTnUSGtEus8D0XnF/y4zRK7aLJczKjZHyF/ojluEY9p1KV11o33PZ5YSRs4Lyr2w9FZHW8eI13r
8J2f51y97zyk4iUnmim6mDqAXEc0z67bU923negcWO62tRs41JDALSExCM3B/0gEsi42SszZqwg6
VEJLNBZowz4ERkqSIIoEhkxjzKNvcaLNu8Vj4rbdVYP/EF8IZw1KQWHUzvVwRMjZHnUpOGC0vO7D
xqG4mOfzJv1L/y/fv2r6AtSWU5/Ajb7Ye6gcKqwzjYjOF3Vo6k9MR1oCMSPWrUoa0QYA+ljmVVZN
5yfemL5F+ldab18DdT2pxVOGmzQhcjKUpFqS0nHSfwyPhTANDQb24i6k5nVKZsvt+/EwEDxlXOgc
WUN2l8pVd7QLVOldjXullzaEEtLH1IpVKz1GxxYtQqUNkTw5aCzTsoxrFaT6ZYsbMYf9OOib9Daw
mXD5LQWmPtgKbzRqCe0D+pGpL4Zbqti+aKNS5zIh+HXMwtVJT151dcwp4yB6ybiuQFpu8xFawY4F
sI9NWlnSrQ8dmdcCSAWyU97RsVYOeyu4WidWrz6ZQGP2+BH9sfAbNMElVBBfr4psuM0tjS+in3Zq
NzO9uQ1/VNY4s/+7kJfxOOweemY5blAo7Wl3yIllsa6L+mgYzXNsKP2z5S0G0Gqn7kb5M4jeG/qR
IXXyxxJI2XLForULNGX+D3G0X433K55BJG1YPn5B60Wa+AX8YY9HHEBRHoSf7iKccnAh+1M/dB15
TcJEDZDlwQ8erGPYNYQRVKoFQsUT6wIKO6Qf/fYY/xHmhx3sE/IBksgMt6Y5OZQOuD22fxyDXPlU
0TUy4nFw0tquQfiUaolK+BwlyDfCMonkrZrpTyFMohAGEapWY9iv/vldNjo5MwrDnYvE7EFxrrJS
JeChn2MRdkUXawC/fV+RnYZTulR7PlsKwXAiWmvzXdx2cfKfIGgrxJ7tYAWTYsgTJpe0mdRhrTVs
2eRcKy8DAj/xyXrAcKzogZfmHR4GbobuwAv2BAZmao5jnfZvGJ5HtWm1BCqA79TSTQo/T3nfS1Id
DXoebnGOuseo5iL/gP/PmL7KVgL36n/ZArVNhb1kD6VvsANWe80gY0cwrGr0iggQsURVKfrtqL5Y
iDtrMk+zpFs7vX1FCy+rab5PGF0qV1rhVeB/JEZCX/hGAa+7vrpVgo24q70472N34JH/0oylnnCE
aPoOqScP00kn3Rapqadb7mcb+TGEbKX/+nx82v8JUN9X+5vIwpabJXLt5/hz4M+Mu/7fbj8ZJCx8
SMK3xfnc0weqcW6ygg6G8ZdawPwVP82ior1hzf6KFNHR1FEYTrzRcCJto7j9swRmqUMdfWh0UVq4
uRgLmNSpaV2ABzfKRe6YSn6LP/1JZ81x4cUtAXTq6mDGZH5vaXcPck95NGfgly/XiVcXZ5XNHNEw
3g0dSx2T6hmCilk2t9tJ9vK8EotJG8TlgVeUgQVn51SSDMfzM3gcLKKxHsKF3KpIuKA+0tz6j+0g
PucHXmj6p8jBHj1YpfdUogRxWgkEUCYNK2bMvgFp451AiAF+4wYryPjukyRSnsZzXjCBuAc9WEjE
SXruwwIRGENR4+2BVq7kBTTJm0yb1rYDPyJH23IXdUsgKFcYagAb6YxyEzzxQrNd+6x/TBLWvkfH
BtrPIHlPGQlXAtejMaXLt9FVXOuOMs3uiODtqNzN+HgKNXxa0HphHVVcNqOvOW9dLa+oqiy0lgXZ
8unT/vXYHm42vNhFZfmcOCXgW/ljn53nj9I1Q4EhT10y9ditC835o9ef8fJYqgioRxseJoQic7fd
rjo0n7WZAXH1dhTSwzW7nn5+R2I81+5rZTN39v8+KxxOsFTmkOZoi75/2yr/2reOHB0Rjfl9YHH9
dfie57jk2/uYqjT3q4uf9/hqgPj7WVSwt0Bn8Yw90yDo0/gT4R4j3INdHfrzj3F4STG13WrEtDZe
Xj8wRi/ZZSKdkDsCr/36orcCc6yM99GntDAKJrBc9k7Vgm2URMt1hreTzGsmA38IywKyqpY8Jz/g
8vedMqejhuc7W+cWnwEXSeok0vwYtrnMJH8zqgXT4lInV5RvmJVZy1+kWr2SfRd0AiVxrcycPrXS
q+m1PiDe5exDoa2BOi03qZYlqSjOX+4/penfXIZ17cBPIWMRmb8TodFTLI7+dILm0wKbgBeeOInK
Mayz0Hab7N6TDaqKPbdZeNM0/KcDdJOuZxUTLgf4jTPs3PlC3x+AJduKaB3O0UsxQ3OqrheJjqbe
EsVEAZfiNcTCworEsCK7IBo1ZKCEwu/cTSGL2Ejehngwo2wQQN+xc2/paJycKpDdtE8HZoy6rCuL
La95BP0OyN/8rCS5AW/wvSEYFNh3oUxi54lc1zaRH+ZC6nh+f1Y5hfs88+WssNyUm2FYOAqWhyp3
XXhQUx0plbDlxwCAwfoHSqHNZPZSpE35myvKZrnEH9MDHwhgQZXZJ0wa3hO7wNECOawjPM/GvuHs
RBBHJcqx5XA2Qu4TjAkZo+Yn3qAmmKTzFfywBzJBxhQmbiojHb/ZbVh2fJ/JP4MUwRbyz34mBJZF
mmadEM6qkr1Ga7d9lR7CfIsfpailxIrUEqEim2l2lRKGw+qG8fbOVW2H3tX/wGlfVpufenfDzF/p
X+56Bp3UkHIe94Tl7gc6ooXhlxLbnxz1sn/0PweivmwC11g5idTOeOqF4vmHdJHppgfW4n6GgFDe
5/8Rccc8wr3CxqEVNq25lN3aL9IyiUpPwVZGtmVSxXhomIq9LuIquLPaSTvrIXZW+yasavbjmyzb
ta12ptJJtuXTD7N0FoHXIb5XDvaPPR+KZatWBWj4ZoIX6qCm/wABSjIRHTVYzycFbpOEV6ZMGrfg
iaRYzGGXAJ2Ag0fEARz42gRYxRxb/l1v3fralViF96jpUSbZOy8k01Q9T5o6b1LiDHWl7mlP7HB/
7XXCoD9BaYf84EccqjPdDnJ5lqWPDlPpgFkNL7ipFDaTJxvAw0OAQX9UGf7PbSXmDrmCYhzSgOYr
neFtM3KLnGsUitwrADY+ODOchGp/2BkRYByH7rTCDsFiJsQw9c/av96JqvwVxA0Ff6/rGeUE1cgW
/Uip8l8EYs1sNHryA6XlmXP381/3mJPtjGG/tb0bPgx6kkWeqJ4EB/u1f+HFuZHoeLtJWrSUJJ4T
X5AFTzkbiatOKpNUxP1pta3H5aAwGtb6clr0CEHMyVgYbbGFkxoFi+mBk5nXNj5/+CRq6ek+rRnX
x2St386eOL/nFntF9RmY4K8kEnQR/rfHQkOCecyh7fi+OF/LeKHePCloG+udXFysMh91U+MYsaOv
XyN2bjtgsIDqGUQQt26mBMMtfSgocMS99vOkbWax058LL4V73612eyu02aXsWD8kz+9kTtzlYTuG
9+0FMB+jNfGHcOae2fNM/GM3Aq9Uky7UgNkyM7VPslQaRWld4zDlCHbWFVy+18p43aPvp6SKxiCD
TnoD8Lnanbq5xyXBMbSxraLFRIE2YhT8M2j5V+iD29TwlvyoA/fh5Rhqku28wSxJABhMAyuzvckX
w3AImgjk9wUIaWbP2LD34A00mOsKCXXr6bh4mbsZxHwFA8pqJ3kjbr9uBVe/MAmx2seqJhNkQGVi
CUXFFUnyDMDj5Xd/2p69VzI+gh3YSq4dJCPQtVOatorPb7kt+fY7fGf/q2BKb4uXSwvE2VyBM2KA
6Z9aP4CiHEQv74tXgvHVT0I2WQ9v5n8+WW2uaZbWrwpU7lU36FGMjkVw36+hH/iN6Z3rKvuynCmk
roEdOpx9vKnbxNmId47Yr9Hm0c/obx4EcpwFg2fR/c7mZLBU4exWTieZyUTZNgLnFyRVAiB0nDtN
gbyCAvLg9No1bF221VZ0poX5z1EoGCeHEY3ym/2iw4HtJqLryileFpL0ah/z0T+mRiDwNEfUWMzg
dC+7AMUaI97t3xe7HriPfWlOwRFmns6YHqQqB5MMJDuic6r0n5EDrlz71HATQyIK/rofb3/6hlEc
qqxsFBKBzHpWRStMEtnRvNvuk/RPGOQyspuAc2h+IdeVVW87JWWPCEL9feUqUirGT7uadz7GxBMA
w67TXr4tKEroWIDahn1KYPOkS/ZLeynuVxrP43lDakFOhQ3LlXklwWKUqZoqPIAstrKK3hNjG0gC
gVFYdEgRa3S2NJdbFbWul02ZEASEQQlYiI50NNCyjipj8IOsMhnDNbppkCUn9/w1Z7S6e+Eo6CNK
9yASUdMdlegeCn4zJ1iFZ9r239l9YopmeZoohbw6vIZBmTmWNBeEvbf26dTrPKGnrPNwbYAHGDpH
PNq7gvODfjnUeOl8hovrpvaqd7uxNZ10/v5DgcHPxyUSmV0hlPlJ1V8ePPSJsjiv7hLGmeTZTXDh
Ju8vPWaTrNjtVeeTyRu/HjKIAPcUAx6DWK/8mCbrHzgLPeY07ZbLMwRSuChBQcK6JBZahNqe3BQQ
giiakzVI1T6r1v8Yp2QjURO5HGUhvsSS3LU37rfqv3ly1B/S72sPGJLHthsAIjrGkCJ9jQhGwob6
fpZqRMn0Do743ZPa/QfE0KTZN1yeeDriWziUJoQiGGYBbTWA/7P3rXIZXuk2eU9+4WV/E+LhayFA
Yrt2L06nklSBCgMC/eMc0b2k03g7+7G+E9ARX7TTG/2av51KE1/fXbZYFlk6giLFAbNzFde3Xu0f
oLe0rxvaUGZ5UImXPSYBN0rxhR0IaNfnkI5kt4EA/EbTXqS1Z19b9UrbK4me0+YlN1ZJnk5deGMr
o6q/o+rN2As1o761zUOUFcRqmv9F9QnqUAW6hrKp7NSpj3nvsiY+c0evGgLPMkYV/z/DZQoBZHFc
S/OzpPJF69Q5hYPpOZ8ZaIoPDP9aNPCaziAxbbXOaiOupopt774p7AyfMKBck2TMoJfhsLrPRTy3
IYUlmWwY9P0JIJ35q57sWQf3hXs7nPO1ZFPivWnbs7p8VBhob2o+3F3g4O397EOWSrwLzCSyJp2l
NqoqjKeJNKgyptEExhbaq4eylGqPh5iqdBcNuZsiUx/SbOwb2yPBGMAYmg+g4338NR8BmAYssRSL
j5RfM67b8IhxHCt7+aOycd5kH/iOfKvirVdoA7Dw2uehxhKH9+6Kn9WeNf5n9WuITQF4Y4pWRwRN
BrWmnuzp5lsqO3vINLmoYa/NFX2Nn5J6YDun5aeWoUvwW3RdxOLBok6OSxVF+xUYZirI+GUSNBil
hXqQP+QTALT1Yq9TE7ayVUUR25hESwSAuVBPEWApVQDWF9lKkrW8QhoBXpJ5I00y601No3yQNxMb
U/BLwQC/cDZv4XBCOjtl1w75TAasaOeornm20A3yviWWa7YerMQjDr3efbz13C9UlItMGWIuMfGh
PmrrKjw6tidGTBCKfaGXUR/AV16/EI7C0TMiQwevjwUEOjfhwqbxTKu/lLVpwpI5C6M+XRCSwaHP
LHc/F0FA6HlSoz/iS2DkWsZhpw10fPwuNfUTmPVkxrq1civyikMWG4vOj1vyPCWrvdw/cCDPUvwm
tgbG792pyQBmX7AREd3F/vtEic9PbuxaZuOgJwYNxhFSg8LSRDqsVfwDNVpMbWTSzQcxCnHa6iG6
OlxW19xbfkGBSoUTCa3C1Jk5SjfljgylXsgM84qAUo80ef+UXnoHBypEkD2yzjnNuOJRw/BNR5VM
qofqsJLesqeo9PathkK20+P5K/9KMg8gcA9rO/8xxaWAUhIytP4ylN1SiOlf4sVsqEpxsVV9EMMT
vrRd01FRS1ZOGaGe0c9ylgZG5XK2+MQc+U97kVvutnXZkwwJPvkgggAwCmEGI/zygj0MhrP8BIu/
CyuYwi4+Hm3XVaQAuorXbmw7lBnc63stilet36Yn7OmKdyPuFv5Y6WBKB2SJoRbgUl1jCfF+1htA
So6yyR2k706Xp7DbNdPAc+ry/qfOPl0Fl/oNoey9qVod40ExgTSF3jav6zdqEX5xro0WwlAblhw3
j7VDqv8VRAZBnTuJNxJOWpGLQcXyWH3ATrMuaP+l6DA1JKii+fvYvXMxCdxGCNWqwi9XS70vsTNI
1qgAaIT6UtXGwx7M/l0Df8gMhCsBE2lMtK1w26TIHNnPd9CHmPsTztPG8oRILB/WmKpuDSpDJlyg
FDB4tjA/WN6Zyfnr7ptT2TP8wPgHq8mljuevoCtTJUV/nfCacUhEvbIb3D9vDpkcciutBB5hgbwH
gqcXYH6KCZP2NHYLTwd0HacBF4GcrhUpNHlD+AFJ0Io7JsVoRf02pBJcjbaAUTuLhuufhVkIwdPk
cGpX2PgaDyiAF+segYddpvn7VXwZccnQht50DKY4GF25+wCnkFTzuduPbsIREnr/qNrfERCuLG3O
1kWslT8qtsdxOI8zv1GJPFoN0v0AG3l8BR4QGgghzwpqp8PIb6PzvKfzsblGuvsCUmSYbAi/iyi0
IeonsCCeLFqj9hAzo4Y8jD5fSX8XwVOSvmGnDelg93srfUB1Zexf/sCf41p6D59CPVgURZ8Z9k5H
r9w0U97vyey6hieqyeule9zeMaBQ0NOOGo+8ehKSqSRrqmJq0B2Q+6s6kwhFkvZaspDg0EukA5ec
s9QtTZusO6rDBnwBpxcycZKSmukI9uPyeAWpfZ5FRomysdGNjyvxgsdLRXCK/WCU4xxhlWe/mkxw
pBl8ceWWoClMez1gJHddqiGoUVa4KxLQGW/aVWu5LdcEWssXjmFIaZTrY0TKZzuQ/kYnT/EAWhau
LVXCrcqyP5ArNPRaU1W+9PUysSWHj3+ahlHPLpl/6qFwRP+iwfGpozi8jcpFA0atkPDUAvBPiDLK
5O/AdpA29EBwNt3g9UgU9wZMjxWHCswKw9bnXCeLg1DCv2S+ZnhRlVnKOeeY7irUgaVBNGIgkv0M
wBsVy2Yaz68Eedu35tdAR9kOgyGk+I+yve/SAn6N5fWGQ6LpauCypaBzJHuAwaykvbNnmAHwToZb
rOLyb7R7bl8ygmWhTxrKHTGFYV1GDz8Hkp6xS9WzBfFCi0VNARhRdTHBtHpEF48ylmCXvxLFyaPt
6jJSnSwae3OBlCwUA6fCCEoDuMJxsUHzjfLz7wfmR3cvRZps0x7/ccVudjrWSjYYUJ0MfagcuIEY
3E9Ok/DqwXYAXzpP7k9UNQ9XxdNjQBjCIZm+eaqx/B/KBYIvYqoxpmpeammwAZ8w8Ng56J1vZDAw
zHMCFSclXlEkZ3VH4+ahy3HI6wEsI5DjU+XzMc3zXPt6GgZBmf4fxyao8ZbeqkubTtu2jkP5i4bu
h+jolP1962+3oap+B+TGOYn1Azn/gkzcCE49iR+XB6IDQdDfq9eiKDE57WyQwm10IMzQEAo20/u9
2qoe7DnGaPPlAeNtuxOtdxSc8U65YGxErhgZCMuoLQcXhYS+BmtVp/FtU2bfEm9siWP+tunq25lr
V8mK3pt7jy3RyCPMwEP+nsuZkxxWPhu9RKoszoQk0nz6arlqqXKUdaeY0lefgnW7iJhR2AjUgBBF
GGesHGD+c+HzkZFZ/zg6x9B+nCj2cKehu1xd3Wc/TfiEJH9gPTgk9OvPx2B4L0zpm5xpzAIUNYof
2/nMs3e0tbHqt4ucNeuZuzMfBwzAy0sKvrQshHgYE+Z0fDpHs7cUMmtGQK0oYFt1aHOJvxqPFEEx
oWFkrRC4kGaHyJLL8r47BF7CgUWBr0WbslNzQdLQ3i9T16mDSeWkP64TQd5hLtVM46lrOxNRgxwg
0OyUPLEQLvF4aWkqDtQeg+n32GSkEnnTFONt0lJTD7EHLH7R4tLBO9l+7vWT6UW0jL02HPAze4hn
33ceiOkeuxELJLArgr3H1Wid1l3EiuODaOXxGGIhgwkHggD6dp1Mnmvl5eURQQYIwIp/hvBiOkLk
zG7FMGZ8YZw3XhfsOoLnj931XkB0rh7VVHpUQRZzYH/YP1/sx6Cryy6nGWJpd42gW9PTY9+9EorF
2egzySWfe9WYMpHCANYrPi//2PkfGASI3guiWiE4I7KxwwNPPcemWEGL6wHb/zsAkc5hjIRfbpVo
xjQLMDSSmnmqwcXArxRCNncHH9EPI21jkZtevW/WcRob5d7jVr85uPzhSKsuuDw4RAaUiIEj6DIH
28XxrWkwWZjvMpk4WhSnieIXeoRByKBqQpmuREK5+hSkxW8WbG7FWPomrIp6akMlqGw8OakZ3z/i
lEnIHOPlYzx3LobZFH0XMxv9WBvNSz+zHaL/Fb6PpOhPXLxdjsuII9GZeIni3Q291omlu1baVRR5
HCs9MpAw7qEgcJ5U9za5tT1JPg+rBmKi92zzf1ThQzaf/a3nlJF12gqgWybwmgENq4w0uFEF2+jY
Hp5QtaImNoRi4fM69UZ6An7zNNPN+sjZl6mH41d/jTlRjIbzk7jzA5VtEHt5e7Dn/az17NpDYDI7
Qt4fEsBWIdjKJ+Cx93245ish5n2JwDzDRBpIGGZSQi9djO29Q2p8frqEShWMu3Zd5r9ajPgI03s4
EvhzWSOtIFKnFhLuXfXXFQSHQP78uIzMfalC7rODr+nR2ATokzZcoRPk+ttp6U3IO0gPcWngNIy3
78M3gbVKf9d5gdmFO6+s5mLfR4eQbJhCzmTg/kh8/QpD78kL8TdefYHI99Yxbn/Us2E2BbABJvZZ
NaA3W2bU+Bm0xmsL7Dq5bZWqarsOv10Bs5EKT0gkQbojwRXUsyXOMZdLYFpCRlTZNBvYo6s2rhFK
I7SgDuiGf3/IIti1QZDAFU8xoQyoPG6eGGdtlPLG9g8a4xVYVCKMOFEMvqUFtu+vk6VYnf9QKrJV
uPGr29ug/Q+AaBvX5NKOznElYxShLknLK+9cskcG6cAQOHN8wipDRJNReisA6fpykMFhssR/Xv7A
sJl1EMRJ3VvDh3Y3HMghKb8/mewZ1aHx2TvAAMzoQ8+bx/Xyq1+w1S3j+NQJtQCsApAXUZ1v7y8E
HKxsJ2dFs6doe7fLzbIHiI4BfsOjMklcpWsshSHT8AMLG+NP7UurN5xzSWUR1+U9dfWxsVePGckr
Ckg+0rN8oCl6PCNNJh5A+DABrY4zPlhHjTT+9oMqN7OdVJgscuW5v0jCg8A6cpKlGj2ze8r9vbRR
qqUuUhcJKJQGWvK9hQHurR50VeE7srh3yI1m6ik4aZWM2d2nqcuE3NnwUJHjPUMw9D7CuEfwV7RR
oBiJVTWewcZaGtQxRsZM57Y8r6TqFL+CateKdGhutYNjRts/h8gyVhnTTIdodgCraA7KFpf22MTM
PHHvxYLEfaqPeHp0Fj1I2D1uHIo2JpjCwCyB8NxqCYPNWIUiZyJREEKUIaEEq1WB/XQ0jtygl3np
bITCl6KMgDPUdgBnyMjrt5JMD538nFStAKeLY8fgLOBk7t2YP9cwAHB32q//5GEshYNXTFQ8rj1B
dezhP/S6GnsTNXCIb9c28umXi4KVH2mAR6wk6TmXMdlzTOGsKhNq2wnFMjvSW0LQN0AJUrPKPwIN
SUf1+SOaGtB9+BsGgOt779AkfvGaGejtZGZ8o9Jb81mebhWy6bczrvuxtodqdIltST4YPoYwr0fu
nO1WxHE6kI/sS3E8gDK1CMxKkWwBNs3tx1IdaM6mphWedlUNJqq276jMyfFx/4iVPRwqNi9zuLgD
d+1dKF97dQk2VWn8Gl11Cz5E7jh5Wk5HJVJrrpHaSH7c1RCqIIgcdfbRDUQo0JCImDzO3JqdbzVo
jah5ltJ/zBn8gIdfk7dnJatj/PXIQh49eaYNLI5kVqo0HIzdQEMKbVv8aLJBNRmPFqZDELTJ1AU+
B1CjXhLAYddHwr+MUvelrkz5ZpB8XYHc+UcZ30KIbXXjKsuhdu2zLW/oyskw6oldcCHdzMlH3J8c
6STA3qMl8jQ9cJj+MDtw1JYmlP7VVY3vSS6eWPvJVZFsDOfgJTTc3FwODBwQsK5RdCBbzcVOH81u
W59tW6YQGBp8o0HSwEb6x9t4j0PuUZRm/XMJxZt3OAd29HO3Js0a9GtmL4Urhwn0F/6UnhlL1HdB
nNecLkz2BTkr8urnUYdEi+jGRVAOUhoQ5lajRkmXTndu7OaD9NKPinjKXdhSPPXq8ejxsNFnb0HK
AdEQg5k0UuIdb34R05kcFfN4iRc3QkqY4n6kgaE6QA2VTBXtIRYSa7TFv4wVuxCpBRvzMMJQaegD
hFIFJ0nAE9a4AkEn+jGmIzQ+O14R+VRvxh7yv5luff8pxWjGUb3js054lFwEjzrxxB9JdvIKxxlV
Bx5liv/4Nwk6rPeZWWlbN/OhRFz0mKmf4KzVf7whfxnoYdSezgvUuToWqzYHlru/U4LukAHynATf
VyAwjDrMcN/dRcigTRHIxyBGcEMi19ABp0TlA9vViUmN6q0DnVpDhHVJezA/yvuWCw/oaYMa3fAu
6kLXx2T1P+aUkwq8bvxgUkF80OM78aWsWS5kGDOM98iDVwGYom5nhAReXc0mAierzawnKqM6DRrL
7tG+YqJ/znGFYt+W0WYNmW2BACp8U+K0aBwghx/NoSqvHwXTR4XmfFJoy6MKXyiZUaiJ9BNP2sUG
f3uep/pmJGWj+OYZIeW4VTQ1IPcYHIyZOrr6t9Q/oAiyxMSnQ3gtCoBZxRvBhTWEdOFT4nXNPpFb
zN6uMpRu6MFiRMvBMcKt6G2uk9RWVL3FGl8A1zkrSWPGJjJgIE+TjuuRzwrWYRpmzysn4bJmxkjS
g8/YDGkdNVbku4n9epsOdLxfPW5BJKI24iOJaWaLS+AS8DVa5XvaolPtdVC6pueS1JRw9MCU5Zh+
DOAYofLxL4Ym6z0b01vLSa33/cNivHKfdSFogf1Lmy8hFfzBfcGbaW4P8xyMuQ5QywaNAanuEuZZ
VXCl5z+bmK58NoQ7+7W22XCGAkvh4bNhHnp3StUp1ffzail3MXPyMz2AFkvtCTLS1SnQ5vQrG5J3
B/g4RxN21k2KkuX6SvCo8p3P8yjm9pVTIE8AUr76bGzcEcm2Rx4OyCB4A2eHofaEUkZ7peaWhiMz
LGC3GoDmB3aznZmKil23Cgq8ifxDmehw9cmjvCz0N3P52n+FORbxiVzIbwyJBbtkiSDndPUpxunH
WYlUz2wPNiBmcnw2Whwrw8O/KXgixOvZW6JkPgGw/1J7oGq9RUe9DE+LWutnfqWs7+P+PxJ1Ga31
NphRyKsUYdpCrMPaaiKW17GMEwGCNNYHPPsxs3WCYC3erB14+C4C8VAjgBCRCGidljc/RL7Ufajy
RJi4E5NOfr1L8BZaNNwOzSTjJDYDlxiOC4VWWdMIWc2KbQ0KkE9K+ouzWt7rcl6wkjg1G3xqMkus
9F6gtAVcR/3vN6FE8m/SYcPW1Zb1pE9NTNNVvBvLQHfg7weJ1vLckDk3TYy9Ma/lh1xynPlV1OCV
M/Eg4wivUSPRgFoICuPPL3c6yPC18VvbuHJLetQR7Q9Zzv5gqXaRe9NLHM1DtCrpPVnPydVMITGg
VqYMe6BDjTeZAt06HdkJ92OSZx7hoEytxvtG0RUT3mWz2b1zgpc9VzuDOo2iZ9eDn7IHkUJYs/FA
VeA5F1XhdXlXaAomkEwL5Z7nmNT7TPDFUxzqGDQvjpsquM1C7yNkSx1lCnylquUZMTyuWRApBwm+
YVH3fy4+1CMT2jxoMAJA548gCwSvZC3W9BNTocwyUurrWRvaBjmVIlVDAzCm7TtqMoxWgelEtLLn
j3lYZRSjF5x1X5/akJNerW/uzVcKhxR+d/XX0G/6VE35kK4BI/yjKVMQRx8YqTdyxZoeJm8tXInt
EhQDHXpgwzPBkxiCGdp/lWMMvNW6WW/XuLBfFXFPGUiiETRas0cPzRoezZGGcCnbM4qSfhCIDjl+
WpzIH2ZFgIEc1OzmKJxxokT0ogdh4XmfcFKObKtzhOF/i4AFTN9yQFRd/0W+MV5rOeLKMtS4vzDF
e3NY8SMhLF+OFeus9dcYziwFs2Ut49oRLzXZlMhS1HjnKnQQEXcMfiUxPwaMMWQlIPrGBKCeJjDG
gyR7pPzNBag7DK3yNv1c8XSTWICxjU86qLNjBquuh5W+XQzVAabp6C6gd1eoL6SMl/FRd6xoDya7
8a+Z8YlEevXYVyYs64FM7qDMURlhCfUTTbNBnKarlDfEwBmeORPfJhtmg0IvdGdUSCXapHosNe6J
o0CisD8YcSIzvx/KhJROxkILUC5jWFxFHpNYEZrqG4KZ0paa1ooooovULfTZcs/O33czfNiBpQ6g
NSc2uRVB4SCTDvdh4M2OqlI9t5pvceNflh0KoJMbQTYcy1d1D7TI5RgqqojTmjT+FMAOwOMjJVyw
wKLraOE/uh8qKMbLOv80ShGgZt3wcg0z9Bjaip758Vb3caaIamUN+Dcxu67CkUsboUfs5HphOGSt
D/+Ph+g0WianpmL2Xel6+ALEqj9TM14vcu/9l/GmTf+aCjKUo74WfYXV8h8CxJLkylmTcjucv1Sg
3ZUEjUfwUdPwBAZLd5BRH7miWLWDB5NkOjDvf37YEYShTkrZu+LBFBx1qlMt+yzikDUbXuPHM7JJ
oGt5l0ZsR69T32kX7m8UN8+gFZmg0n5J9iQmfxW69KrF8g3AEuRk020uRDFLCzLcRJMaqv//G0Eq
/oIVZkGl8i6uEnv0YGD80o+D9pCW4jmW0XvdjOF8UM2FPGldrIjsryHs3NVTc4l/TlNj+qu5D2tL
uj7c8FGbZWXNHBjrjq0aisuOVx0uVqKX8rZfS7hzXcCTlgGWHyyxI5JJ8CZcNtGHx5u04Lyx7kYy
yzALFbi9HwBcY3orlDE4Xw7TVgwt3WVws83UrS09BPnH1/pJCHwO0jja3ZiXhQN3X2fZoQ22C8d9
RdFIJaytk+GoZp2PBHIx14zkUkY70z50Y9vgCi3iImvpW+1WvVIXH7ech774121WxXGVBL5jLfdW
ausA1g0glJKOPeR0gz175ROSapvttTpaahexLxxsH5ZXyxhA8EvMjrRkIJ1YPWP4YeOAI4HipJzV
7mz7d77z/MZC89b2pbnKyJnOBt4rzPkbnziT1Z4MFHo+pScEjEiyZ/SWLDDoKbkdktJ9X2HmAmMr
CYw3QT7KIuWVyYV7mGdOZhH/kb+OF4lkE+Gn1UfA7jPL3L+JiKMVuQGpffM6LM6ywn2Zwbbehlh0
kBQjO8TGH5oMjT9OoC6KuIecJkT0TXgsIZjExEUhmj5s6YZx87XBuRPRWB76Px2NQbRPiz/hBVbl
B8k9oC+TM6ppG1t3LrKIow64yVZW0SSkmGuBTW4znw4BJXhRS2uAqn5mKEqFy6mc6ggM0RTKrEms
kvTkYM7AQMzj7Kqcqn/CrF0EL01T/uBFuSbV+IK25HCYzgByoHLV4rqswSuBn/qKKi9DiiKx3Rcb
1opFf7IY1bCQ+NR0dCJuR0AYtEKrmlI7PspsV4leYGEavRRNfjeklPIdd0OjQ7PVvU1b8+seAkXe
uqt46UrNzm7uAb+cLRqZRRHsK92zdUGxb9U3i4SlaJsFwqZcnLXire645AJzjQk5YOORvTA/rCjv
YiXJ3bTR7FPGNERDAoF1KDlazKII5YMuB/nyLvpB+gucyBDomVFLHWP27hmi9UirgbDn2uef8P1a
WgndZDNbsbDn8cLc4zyBWpV3hoLIScC5HRo/pM1kCfbEyCPImqskEuhicYygcuOtEHWwDPIOwiPC
MZZT9ALOEsZzrOiRQ7UREYI8+MzWx0V3O8neIY1qtc8r5s1hA6C6DLMrDolnII1V/vInufyhbyWq
2ohFTKgMzx3cUuo9ClEr0je4YtA2mDX2C9GwrpO1wLdNR725cQOFRPNYjztdHJmALlx34gEw67mh
JEf4eQ2NaNUFFs6Pfs6GLYw/90bumA5+UcKrOkBq24lYkz1FKJzds/YtdCYyq3Tp2SW5W5jUNPVq
7iDHchcs7Qrf8LHHWHVfv011wE2H4cazfR4RzxB4k81uJwFSLNyFnZU1OLik8/YBZthbVLbIMdym
krQj3STiMy3C2Fnz1wQNHRcFUfRlhSIw2QXGXqyIJD5/P+jckrT+Emk5sjW1rx0a89INBlVHg/Eo
u2DbMikdGu5JHO7s10cz/PvkFchpKQusY29laYrOnxSeebZysOrW4ajdM2IQykUqZMKhBC8NH/qU
3WKs0IMBXNMqBDn6KMlqJTODlgTfT1CTjaNyW3uKQaESbHMUFL5DRJ4vP4YSvHsrdT9hwVGODVFZ
SViCxKPSL7CW3WzG1tPMqlrqx6pu6mZhnaUrXDgiWaWmtbZocBMXqR4cidM/UqbEpYTXKKjVJS97
NPmjWx5iSsdXZUF1Cl+bCvK4ggy17FCkSDgqMUSgXh9HPhNq4OdbAOoKFxn1VFh723XorjP82F38
6VL7149Lx6Hdcf1w93a/nPyWNMnMQipZaNfqEqxZgNR8a06bHX9MenIcv/3azl+FKpmClUwDtxnO
jKzlTfwzcvXIPioFyymlVXzr+MnC0AUW1HCAUYeBg3ZBNsrTHZyd9VzR6+NBXRs0Cx2nzNZTKglm
2sWDvmSWYw9kgpKXiaNPGZEasr2DJ12GVl9Qu6ufX6kEt3I4IR6f8YSszaWTMTUY6EkhX5SOllKq
QKBPNHHKiZ7a8U9J6AZKjAb/+k6wYE9bHnLKM0XokmhCeLWkCKRpp6Yn4+pR6lL2atklg02PDGTZ
BmKn8TErAcPE/KVtXpicrcbVH6HoXIvlryc+ZrJ21S3qfi8oFEDSjY8hBmoNmvzRpvdxZlszJMXS
q2sFWhmt0JaAKH7v+4ngS973QbneI0i/T5ZWITbKYuNcGyG0ao2KJAwVn8FhFdFbOqWKLn7PaCzC
sWVa2He4TGjjspWzaJk37kEZR6rhxFfRawC9IXJCCn6gE/8Dv4AfV/T7l0Ncbfwmh0QLcTcDt6WU
wVM4EX3jZnwNVOK+HG3uZNQkbnx9ZSHDnmi09HN6TUY=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
|
--------------------------------------------------------------------------------
--
-- File: UART
-- Author: Rob Baummer
--
-- Description: A 8x oversampling UART operating from 9600 to 57600 baud. Uses
-- 1 start bit, 1 stop bit and no parity.
--------------------------------------------------------------------------------
library ieee;
use ieee.numeric_std.all;
use ieee.numeric_std_unsigned.all;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
library work;
entity uart is
generic (
--Frequency of System clock in Hz
clock_frequency : integer);
port (
--System interface
reset : in std_logic;
sys_clk : in std_logic;
--UART serial interface
DIN : in std_logic;
DOUT : out std_logic;
--Processor interface
proc_clk : in std_logic;
proc_addr : in std_logic_vector(1 downto 0);
proc_read : in std_logic;
proc_write : in std_logic;
proc_read_data : out std_logic_vector(7 downto 0);
proc_write_data : in std_logic_vector(7 downto 0)
);
end uart;
architecture behavorial of uart is
signal baud_enable : std_logic;
signal DIN_sync : std_logic;
signal baud_rate_sel : std_logic_vector(2 downto 0);
signal baud_en : std_logic;
signal baud_rate_reg : std_logic_vector(2 downto 0);
signal baud_rate_write : std_logic;
signal rx_byte : std_logic_vector(7 downto 0);
signal rx_valid : std_logic;
signal rx_full : std_logic;
signal rx_frame_error : std_logic;
signal baud_locked : std_logic;
signal baud_unlocked : std_logic;
signal rx_break : std_logic;
signal proc_rx_empty : std_logic;
signal proc_valid : std_logic;
signal proc_rx_read : std_logic;
signal proc_rx_data : std_logic_vector(7 downto 0);
signal rx_overflow : std_logic;
signal tx_read : std_logic;
signal tx_valid : std_logic;
signal tx_empty : std_logic;
signal tx_byte : std_logic_vector(7 downto 0);
signal proc_tx_full : std_logic;
signal proc_tx_write : std_logic;
signal uart_status : std_logic_vector(5 downto 0);
signal uart_status_sync : std_logic_vector(5 downto 0);
signal rx_enable_sync : std_logic;
signal baud_rate_reg_sync : std_logic_vector(2 downto 0);
signal baud_rate_write_sync : std_logic;
signal status_cs : std_logic;
signal control_cs : std_logic;
signal tx_cs : std_logic;
signal rx_cs : std_logic;
signal uart_control : std_logic_vector(4 downto 0);
signal status_clear : std_logic;
signal status_clear_sync : std_logic;
signal proc_reset : std_logic;
signal proc_reset_sync : std_logic;
signal uart_reset : std_logic;
begin
--UART reset, can be reset from global reset or by processor
uart_reset <= reset or proc_reset_sync;
--Synchronizer for DIN
d_sync : entity work.synchronizer
port map (
clk => sys_clk,
reset => reset,
I => DIN,
O => DIN_sync);
--Baud Rate Generator
br_gen : entity work.baud_clock_gen
generic map (
clock_frequency => clock_frequency)
port map (
reset => uart_reset,
sys_clk => sys_clk,
--baud rate selection
--000 - 57.6k
--001 - 38.4k
--010 - 19.2k
--011 - 14.4k
--100 - 9.6k
baud_rate_sel => baud_rate_sel,
--baud enable
baud_enable => baud_enable);
--Auto-Baud Rate Detection
--Requires known Byte 0x0D (return) to be transmitted
auto_br : entity work.uart_baud_rate_det
port map (
reset => uart_reset,
sys_clk => sys_clk,
--Processor override of auto baud rate detection
baud_rate_override => baud_rate_reg_sync,
baud_rate_write => baud_rate_write_sync,
--baud detection interface
rx_byte => rx_byte,
rx_valid => rx_valid,
rx_frame_error => rx_frame_error,
baud_rate_sel => baud_rate_sel,
baud_locked => baud_locked,
baud_unlocked => baud_unlocked);
----------------------------------------------------------------------------
-- UART Receiver --
----------------------------------------------------------------------------
--UART Receive Controller
rx : entity work.uart_rx
port map (
reset => uart_reset,
enable => rx_enable_sync,
sys_clk => sys_clk,
--UART serial interface
DIN => DIN_sync,
--Receiver Interface
baud_en => baud_enable,
rx_byte => rx_byte,
rx_valid => rx_valid,
rx_frame_error => rx_frame_error,
rx_break => rx_break);
--Receive FIFO
rx_fifo : entity work.mixed_clock_fifo_srambased
generic map (
N => 8,
L => 8)
port map (
reset => uart_reset,
--Read Interface to processor
read_clk => proc_clk,
read => proc_rx_read,
valid => proc_valid,
empty => proc_rx_empty,
read_data => proc_rx_data,
--Write Interface to Receiver
write_clk => sys_clk,
write => rx_valid,
full => rx_full,
write_data => rx_byte);
--Receiver overflow detection, sticky bit
--Receive FIFO overflows if it is full and another valid byte arrives at the
--receiver
process (sys_clk)
begin
if sys_clk = '1' and sys_clk'event then
if uart_reset = '1' or status_clear_sync = '1' then
rx_overflow <= '0';
elsif rx_valid = '1' and rx_full = '1' then
rx_overflow <= '1';
end if;
end if;
end process;
----------------------------------------------------------------------------
-- UART Transmitter --
----------------------------------------------------------------------------
--UART transmitter controller
tx : entity work.uart_tx
port map (
reset => uart_reset,
sys_clk => sys_clk,
--UART serial Interface
DOUT => DOUT,
--Transmitter interface
baud_en => baud_enable,
tx_fifo_empty => tx_empty,
tx_fifo_read => tx_read,
tx_byte => tx_byte,
tx_valid => tx_valid);
--Transmit FIFO
tx_fifo : entity work.mixed_clock_fifo_srambased
generic map (
N => 8,
L => 8)
port map (
reset => uart_reset,
--Read Interface to Transmitter
read_clk => sys_clk,
read => tx_read,
valid => tx_valid,
empty => tx_empty,
read_data => tx_byte,
--Write Interface to Processor
write_clk => proc_clk,
write => proc_tx_write,
full => proc_tx_full,
write_data => proc_write_data);
----------------------------------------------------------------------------
-- Control and Status Registers for Processor --
----------------------------------------------------------------------------
--uart status signals on sys_clk domain
uart_status <= baud_rate_sel & baud_unlocked & baud_locked & rx_overflow;
--status clear on proc_clk domain
status_clear <= status_cs and proc_read;
--synchronize sys_clk domain status signals to proc_clk domain
stat_sync : entity work.nbit_synchronizer
generic map (
N => 6)
port map (
clk => proc_clk,
reset => reset,
I => uart_status,
O => uart_status_sync);
clr_sync : entity work.synchronizer
port map (
clk => sys_clk,
reset => reset,
I => status_clear,
O => status_clear_sync);
--FIFO read/write signals
proc_rx_read <= proc_read and rx_cs;
proc_tx_write <= proc_write and tx_cs;
--synchronized control signals
cntrl0_sync : entity work.synchronizer
port map (
clk => sys_clk,
reset => reset,
I => uart_control(0),
O => rx_enable_sync);
cntrl31_sync : entity work.nbit_synchronizer
generic map (
N => 3)
port map (
clk => sys_clk,
reset => reset,
I => uart_control(3 downto 1),
O => baud_rate_reg_sync);
cntrl4_sync : entity work.synchronizer
port map (
clk => sys_clk,
reset => reset,
I => uart_control(4),
O => baud_rate_write_sync);
--Processor Read Data and Chip Selects
process (proc_addr, uart_status_sync, proc_rx_data, uart_control, proc_rx_empty, proc_tx_full)
begin
status_cs <= '0';
control_cs <= '0';
tx_cs <= '0';
rx_cs <= '0';
proc_read_data <= X"00";
case proc_addr(1 downto 0) is
--Status Register
when "00" =>
-- 7:5 | 4 | 3 | 2 | 1 | 0
--baud_rate | baud_unlock | baud_lock | rx_overflow | tx_full | rx_empty
proc_read_data <= uart_status_sync & proc_tx_full & proc_rx_empty;
status_cs <= '1';
--Control Register
when "01" =>
-- 7 | 6:5 | 4 | 3:1 | 0
-- reset| 00 | baud_write | baud_sel | rx_enable
proc_read_data(4 downto 0) <= uart_control;
control_cs <= '1';
--Transmit FIFO
when "10" =>
tx_cs <= '1';
--Receive FIFO
when "11" =>
proc_read_data <= proc_rx_data;
rx_cs <= '1';
when others =>
proc_read_data <= X"00";
end case;
end process;
--Control Register
--Control Register
-- 7:5 | 4 | 3:1 | 0
-- 000 | baud_write | baud_sel | rx_enable
process (proc_clk)
begin
if proc_clk = '1' and proc_clk'event then
if reset = '1' or proc_reset = '1' then
uart_control <= (others => '0');
elsif control_cs = '1' and proc_write = '1' then
uart_control <= proc_write_data(4 downto 0);
end if;
end if;
end process;
--Writing to bit 7 of control register generates a reset
proc_reset <= control_cs and proc_write_data(7);
rst_sync : entity work.synchronizer
port map (
clk => sys_clk,
reset => reset,
I => proc_reset,
O => proc_reset_sync);
end behavorial;
|
architecture rtl of fifo is
constant sig8 : record_type_3(
element1(7 downto 0),
element2(4 downto 0)(7 downto 0)
(
elementA(7 downto 0)
,
elementB(3 downto 0)
),
element3(3 downto 0)(elementC(4 downto 1), elementD(1 downto 0)),
element5(
elementE(3 downto 0)(6 downto 0)
,
elementF(7 downto 0)
),
element6(4 downto 0),
element7(7 downto 0));
constant sig9 : t_data_struct(data(7 downto 0));
constant sig9 : t_data_struct(
data(7 downto 0)
);
begin
end architecture rtl;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1.vhd,v 1.2 2001-10-26 16:29:38 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c04s01b00x00p03n01i00001ent IS
END c04s01b00x00p03n01i00001ent;
ARCHITECTURE c04s01b00x00p03n01i00001arch OF c04s01b00x00p03n01i00001ent IS
type t1 is range 0.012345 to 300.012345; -- No_failure_here
BEGIN
TESTING: PROCESS
variable k : t1 := 10.0;
BEGIN
k := 123.0;
assert NOT( k=123.0 )
report "***PASSED TEST: c04s01b00x00p03n01i00001"
severity NOTE;
assert ( k=123.0 )
report "***FAILED TEST: c04s01b00x00p03n01i00001 - Type declaration has the format: the reserved word type followed by an identifier and the reserved word is."
severity ERROR;
wait;
END PROCESS TESTING;
END c04s01b00x00p03n01i00001arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1.vhd,v 1.2 2001-10-26 16:29:38 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c04s01b00x00p03n01i00001ent IS
END c04s01b00x00p03n01i00001ent;
ARCHITECTURE c04s01b00x00p03n01i00001arch OF c04s01b00x00p03n01i00001ent IS
type t1 is range 0.012345 to 300.012345; -- No_failure_here
BEGIN
TESTING: PROCESS
variable k : t1 := 10.0;
BEGIN
k := 123.0;
assert NOT( k=123.0 )
report "***PASSED TEST: c04s01b00x00p03n01i00001"
severity NOTE;
assert ( k=123.0 )
report "***FAILED TEST: c04s01b00x00p03n01i00001 - Type declaration has the format: the reserved word type followed by an identifier and the reserved word is."
severity ERROR;
wait;
END PROCESS TESTING;
END c04s01b00x00p03n01i00001arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1.vhd,v 1.2 2001-10-26 16:29:38 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c04s01b00x00p03n01i00001ent IS
END c04s01b00x00p03n01i00001ent;
ARCHITECTURE c04s01b00x00p03n01i00001arch OF c04s01b00x00p03n01i00001ent IS
type t1 is range 0.012345 to 300.012345; -- No_failure_here
BEGIN
TESTING: PROCESS
variable k : t1 := 10.0;
BEGIN
k := 123.0;
assert NOT( k=123.0 )
report "***PASSED TEST: c04s01b00x00p03n01i00001"
severity NOTE;
assert ( k=123.0 )
report "***FAILED TEST: c04s01b00x00p03n01i00001 - Type declaration has the format: the reserved word type followed by an identifier and the reserved word is."
severity ERROR;
wait;
END PROCESS TESTING;
END c04s01b00x00p03n01i00001arch;
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
library std;
entity roi_slave is
generic (
CLK_PROC_FREQ : integer
);
port (
clk_proc : in std_logic;
reset_n : in std_logic;
---------------- dynamic parameters ports ---------------
status_reg_enable_bit : out std_logic;
status_reg_bypass_bit : out std_logic;
in_size_reg_in_w_reg : out std_logic_vector(11 downto 0);
in_size_reg_in_h_reg : out std_logic_vector(11 downto 0);
out_size_reg_out_w_reg : out std_logic_vector(11 downto 0);
out_size_reg_out_h_reg : out std_logic_vector(11 downto 0);
out_offset_reg_out_x_reg : out std_logic_vector(11 downto 0);
out_offset_reg_out_y_reg : out std_logic_vector(11 downto 0);
--======================= Slaves ========================
------------------------- bus_sl ------------------------
addr_rel_i : in std_logic_vector(1 downto 0);
wr_i : in std_logic;
rd_i : in std_logic;
datawr_i : in std_logic_vector(31 downto 0);
datard_o : out std_logic_vector(31 downto 0)
);
end roi_slave;
architecture rtl of roi_slave is
-- Registers address
constant STATUS_REG_REG_ADDR : natural := 0;
constant IN_SIZE_REG_REG_ADDR : natural := 1;
constant OUT_SIZE_REG_REG_ADDR : natural := 2;
constant OUT_OFFSET_REG_REG_ADDR : natural := 3;
-- Internal registers
signal status_reg_enable_bit_reg : std_logic;
signal status_reg_bypass_bit_reg : std_logic;
signal in_size_reg_in_w_reg_reg : std_logic_vector (11 downto 0);
signal in_size_reg_in_h_reg_reg : std_logic_vector (11 downto 0);
signal out_size_reg_out_w_reg_reg : std_logic_vector (11 downto 0);
signal out_size_reg_out_h_reg_reg : std_logic_vector (11 downto 0);
signal out_offset_reg_out_x_reg_reg : std_logic_vector (11 downto 0);
signal out_offset_reg_out_y_reg_reg : std_logic_vector (11 downto 0);
begin
write_reg : process (clk_proc, reset_n)
begin
if(reset_n='0') then
status_reg_enable_bit_reg <= '0';
status_reg_bypass_bit_reg <= '0';
in_size_reg_in_w_reg_reg <= "000000000000";
in_size_reg_in_h_reg_reg <= "000000000000";
out_size_reg_out_w_reg_reg <= "000000000000";
out_size_reg_out_h_reg_reg <= "000000000000";
out_offset_reg_out_x_reg_reg <= "000000000000";
out_offset_reg_out_y_reg_reg <= "000000000000";
elsif(rising_edge(clk_proc)) then
if(wr_i='1') then
case addr_rel_i is
when std_logic_vector(to_unsigned(STATUS_REG_REG_ADDR, 2))=>
status_reg_enable_bit_reg <= datawr_i(0);
status_reg_bypass_bit_reg <= datawr_i(1);
when std_logic_vector(to_unsigned(IN_SIZE_REG_REG_ADDR, 2))=>
in_size_reg_in_w_reg_reg <= datawr_i(11) & datawr_i(10) & datawr_i(9) & datawr_i(8) & datawr_i(7) & datawr_i(6) & datawr_i(5) & datawr_i(4) & datawr_i(3) & datawr_i(2) & datawr_i(1) & datawr_i(0);
in_size_reg_in_h_reg_reg <= datawr_i(27) & datawr_i(26) & datawr_i(25) & datawr_i(24) & datawr_i(23) & datawr_i(22) & datawr_i(21) & datawr_i(20) & datawr_i(19) & datawr_i(18) & datawr_i(17) & datawr_i(16);
when std_logic_vector(to_unsigned(OUT_SIZE_REG_REG_ADDR, 2))=>
out_size_reg_out_w_reg_reg <= datawr_i(11) & datawr_i(10) & datawr_i(9) & datawr_i(8) & datawr_i(7) & datawr_i(6) & datawr_i(5) & datawr_i(4) & datawr_i(3) & datawr_i(2) & datawr_i(1) & datawr_i(0);
out_size_reg_out_h_reg_reg <= datawr_i(27) & datawr_i(26) & datawr_i(25) & datawr_i(24) & datawr_i(23) & datawr_i(22) & datawr_i(21) & datawr_i(20) & datawr_i(19) & datawr_i(18) & datawr_i(17) & datawr_i(16);
when std_logic_vector(to_unsigned(OUT_OFFSET_REG_REG_ADDR, 2))=>
out_offset_reg_out_x_reg_reg <= datawr_i(11) & datawr_i(10) & datawr_i(9) & datawr_i(8) & datawr_i(7) & datawr_i(6) & datawr_i(5) & datawr_i(4) & datawr_i(3) & datawr_i(2) & datawr_i(1) & datawr_i(0);
out_offset_reg_out_y_reg_reg <= datawr_i(27) & datawr_i(26) & datawr_i(25) & datawr_i(24) & datawr_i(23) & datawr_i(22) & datawr_i(21) & datawr_i(20) & datawr_i(19) & datawr_i(18) & datawr_i(17) & datawr_i(16);
when others=>
end case;
end if;
end if;
end process;
read_reg : process (clk_proc, reset_n)
begin
if(reset_n='0') then
datard_o <= (others => '0');
elsif(rising_edge(clk_proc)) then
if(rd_i='1') then
case addr_rel_i is
when std_logic_vector(to_unsigned(STATUS_REG_REG_ADDR, 2))=>
datard_o <= "000000000000000000000000000000" & status_reg_bypass_bit_reg & status_reg_enable_bit_reg;
when std_logic_vector(to_unsigned(IN_SIZE_REG_REG_ADDR, 2))=>
datard_o <= "0000" & in_size_reg_in_h_reg_reg(11) & in_size_reg_in_h_reg_reg(10) & in_size_reg_in_h_reg_reg(9) & in_size_reg_in_h_reg_reg(8) & in_size_reg_in_h_reg_reg(7) & in_size_reg_in_h_reg_reg(6) & in_size_reg_in_h_reg_reg(5) & in_size_reg_in_h_reg_reg(4) & in_size_reg_in_h_reg_reg(3) & in_size_reg_in_h_reg_reg(2) & in_size_reg_in_h_reg_reg(1) & in_size_reg_in_h_reg_reg(0) & "0000" & in_size_reg_in_w_reg_reg(11) & in_size_reg_in_w_reg_reg(10) & in_size_reg_in_w_reg_reg(9) & in_size_reg_in_w_reg_reg(8) & in_size_reg_in_w_reg_reg(7) & in_size_reg_in_w_reg_reg(6) & in_size_reg_in_w_reg_reg(5) & in_size_reg_in_w_reg_reg(4) & in_size_reg_in_w_reg_reg(3) & in_size_reg_in_w_reg_reg(2) & in_size_reg_in_w_reg_reg(1) & in_size_reg_in_w_reg_reg(0);
when std_logic_vector(to_unsigned(OUT_SIZE_REG_REG_ADDR, 2))=>
datard_o <= "0000" & out_size_reg_out_h_reg_reg(11) & out_size_reg_out_h_reg_reg(10) & out_size_reg_out_h_reg_reg(9) & out_size_reg_out_h_reg_reg(8) & out_size_reg_out_h_reg_reg(7) & out_size_reg_out_h_reg_reg(6) & out_size_reg_out_h_reg_reg(5) & out_size_reg_out_h_reg_reg(4) & out_size_reg_out_h_reg_reg(3) & out_size_reg_out_h_reg_reg(2) & out_size_reg_out_h_reg_reg(1) & out_size_reg_out_h_reg_reg(0) & "0000" & out_size_reg_out_w_reg_reg(11) & out_size_reg_out_w_reg_reg(10) & out_size_reg_out_w_reg_reg(9) & out_size_reg_out_w_reg_reg(8) & out_size_reg_out_w_reg_reg(7) & out_size_reg_out_w_reg_reg(6) & out_size_reg_out_w_reg_reg(5) & out_size_reg_out_w_reg_reg(4) & out_size_reg_out_w_reg_reg(3) & out_size_reg_out_w_reg_reg(2) & out_size_reg_out_w_reg_reg(1) & out_size_reg_out_w_reg_reg(0);
when std_logic_vector(to_unsigned(OUT_OFFSET_REG_REG_ADDR, 2))=>
datard_o <= "0000" & out_offset_reg_out_y_reg_reg(11) & out_offset_reg_out_y_reg_reg(10) & out_offset_reg_out_y_reg_reg(9) & out_offset_reg_out_y_reg_reg(8) & out_offset_reg_out_y_reg_reg(7) & out_offset_reg_out_y_reg_reg(6) & out_offset_reg_out_y_reg_reg(5) & out_offset_reg_out_y_reg_reg(4) & out_offset_reg_out_y_reg_reg(3) & out_offset_reg_out_y_reg_reg(2) & out_offset_reg_out_y_reg_reg(1) & out_offset_reg_out_y_reg_reg(0) & "0000" & out_offset_reg_out_x_reg_reg(11) & out_offset_reg_out_x_reg_reg(10) & out_offset_reg_out_x_reg_reg(9) & out_offset_reg_out_x_reg_reg(8) & out_offset_reg_out_x_reg_reg(7) & out_offset_reg_out_x_reg_reg(6) & out_offset_reg_out_x_reg_reg(5) & out_offset_reg_out_x_reg_reg(4) & out_offset_reg_out_x_reg_reg(3) & out_offset_reg_out_x_reg_reg(2) & out_offset_reg_out_x_reg_reg(1) & out_offset_reg_out_x_reg_reg(0);
when others=>
datard_o <= (others => '0');
end case;
end if;
end if;
end process;
status_reg_enable_bit <= status_reg_enable_bit_reg;
status_reg_bypass_bit <= status_reg_bypass_bit_reg;
in_size_reg_in_w_reg <= in_size_reg_in_w_reg_reg;
in_size_reg_in_h_reg <= in_size_reg_in_h_reg_reg;
out_size_reg_out_w_reg <= out_size_reg_out_w_reg_reg;
out_size_reg_out_h_reg <= out_size_reg_out_h_reg_reg;
out_offset_reg_out_x_reg <= out_offset_reg_out_x_reg_reg;
out_offset_reg_out_y_reg <= out_offset_reg_out_y_reg_reg;
end rtl;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_08_ch_08_03.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity ch_08_03 is
end entity ch_08_03;
----------------------------------------------------------------
library ieee;
architecture test of ch_08_03 is
begin
process_08_3_a : process is
-- code from book:
use work.cpu_types;
variable data_word : cpu_types.word;
variable next_address : cpu_types.address;
-- end of code from book
begin
wait;
end process process_08_3_a;
----------------
process_08_3_b : process is
-- code from book:
use work.cpu_types.word, work.cpu_types.address;
variable data_word : word;
variable next_address : address;
-- end of code from book
begin
wait;
end process process_08_3_b;
----------------
block_08_3_c : block is
-- code from book:
use ieee.std_logic_1164.all;
-- end of code from book
begin
end block block_08_3_c;
end architecture test;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_08_ch_08_03.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity ch_08_03 is
end entity ch_08_03;
----------------------------------------------------------------
library ieee;
architecture test of ch_08_03 is
begin
process_08_3_a : process is
-- code from book:
use work.cpu_types;
variable data_word : cpu_types.word;
variable next_address : cpu_types.address;
-- end of code from book
begin
wait;
end process process_08_3_a;
----------------
process_08_3_b : process is
-- code from book:
use work.cpu_types.word, work.cpu_types.address;
variable data_word : word;
variable next_address : address;
-- end of code from book
begin
wait;
end process process_08_3_b;
----------------
block_08_3_c : block is
-- code from book:
use ieee.std_logic_1164.all;
-- end of code from book
begin
end block block_08_3_c;
end architecture test;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_08_ch_08_03.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity ch_08_03 is
end entity ch_08_03;
----------------------------------------------------------------
library ieee;
architecture test of ch_08_03 is
begin
process_08_3_a : process is
-- code from book:
use work.cpu_types;
variable data_word : cpu_types.word;
variable next_address : cpu_types.address;
-- end of code from book
begin
wait;
end process process_08_3_a;
----------------
process_08_3_b : process is
-- code from book:
use work.cpu_types.word, work.cpu_types.address;
variable data_word : word;
variable next_address : address;
-- end of code from book
begin
wait;
end process process_08_3_b;
----------------
block_08_3_c : block is
-- code from book:
use ieee.std_logic_1164.all;
-- end of code from book
begin
end block block_08_3_c;
end architecture test;
|
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_updt_sm.vhd
-- Description: This entity manages updating of descriptors.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_sg_v4_1;
use axi_sg_v4_1.axi_sg_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_updt_sm is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_INCLUDE_CH1 : integer range 0 to 1 := 1;
-- Include or Exclude channel 1 scatter gather engine
-- 0 = Exclude Channel 1 SG Engine
-- 1 = Include Channel 1 SG Engine
C_INCLUDE_CH2 : integer range 0 to 1 := 1;
-- Include or Exclude channel 2 scatter gather engine
-- 0 = Exclude Channel 2 SG Engine
-- 1 = Include Channel 2 SG Engine
C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to fetch
C_SG_CH1_FIRST_UPDATE_WORD : integer range 0 to 15 := 0;
-- Starting update word offset
C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to fetch
C_SG_CH2_FIRST_UPDATE_WORD : integer range 0 to 15 := 0
-- Starting update word offset
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
ftch_error : in std_logic ; --
--
-- Channel 1 Control and Status --
ch1_updt_queue_empty : in std_logic ; --
ch1_updt_curdesc_wren : in std_logic ; --
ch1_updt_curdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch1_updt_ioc : in std_logic ; --
ch1_dma_interr : in std_logic ; --
ch1_dma_slverr : in std_logic ; --
ch1_dma_decerr : in std_logic ; --
ch1_updt_active : out std_logic ; --
ch1_updt_idle : out std_logic ; --
ch1_updt_interr_set : out std_logic ; --
ch1_updt_slverr_set : out std_logic ; --
ch1_updt_decerr_set : out std_logic ; --
ch1_dma_interr_set : out std_logic ; --
ch1_dma_slverr_set : out std_logic ; --
ch1_dma_decerr_set : out std_logic ; --
ch1_updt_ioc_irq_set : out std_logic ; --
ch1_updt_done : out std_logic ; --
--
-- Channel 2 Control and Status --
ch2_updt_queue_empty : in std_logic ; --
-- ch2_updt_curdesc_wren : in std_logic ; --
-- ch2_updt_curdesc : in std_logic_vector --
-- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch2_updt_ioc : in std_logic ; --
ch2_dma_interr : in std_logic ; --
ch2_dma_slverr : in std_logic ; --
ch2_dma_decerr : in std_logic ; --
ch2_updt_active : out std_logic ; --
ch2_updt_idle : out std_logic ; --
ch2_updt_interr_set : out std_logic ; --
ch2_updt_slverr_set : out std_logic ; --
ch2_updt_decerr_set : out std_logic ; --
ch2_dma_interr_set : out std_logic ; --
ch2_dma_slverr_set : out std_logic ; --
ch2_dma_decerr_set : out std_logic ; --
ch2_updt_ioc_irq_set : out std_logic ; --
ch2_updt_done : out std_logic ; --
--
-- DataMover Command --
updt_cmnd_wr : out std_logic ; --
updt_cmnd_data : out std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH --
+CMD_BASE_WIDTH)-1 downto 0) ; --
-- DataMover Status --
updt_done : in std_logic ; --
updt_error : in std_logic ; --
updt_interr : in std_logic ; --
updt_slverr : in std_logic ; --
updt_decerr : in std_logic ; --
updt_error_addr : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) --
);
end axi_sg_updt_sm;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_updt_sm is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
attribute mark_debug : string;
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- DataMover Commmand TAG
constant UPDATE_CMD_TAG : std_logic_vector(3 downto 0) := (others => '0');
-- DataMover Command Type
-- Always set to INCR type
constant UPDATE_CMD_TYPE : std_logic := '1';
-- DataMover Cmnd Reserved Bits
constant UPDATE_MSB_IGNORED : std_logic_vector(7 downto 0) := (others => '0');
-- DataMover Cmnd Reserved Bits
constant UPDATE_LSB_IGNORED : std_logic_vector(15 downto 0) := (others => '0');
-- DataMover Cmnd Bytes to Xfer for Channel 1
constant UPDATE_CH1_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(
(C_SG_CH1_WORDS_TO_UPDATE*4),SG_BTT_WIDTH));
-- DataMover Cmnd Bytes to Xfer for Channel 2
constant UPDATE_CH2_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(
(C_SG_CH2_WORDS_TO_UPDATE*4),SG_BTT_WIDTH));
-- DataMover Cmnd Reserved Bits
constant UPDATE_CMD_RSVD : std_logic_vector(
DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_SG_ADDR_WIDTH downto
DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_SG_ADDR_WIDTH)
:= (others => '0');
-- DataMover Cmnd Address Offset for channel 1
constant UPDATE_CH1_ADDR_OFFSET : integer := C_SG_CH1_FIRST_UPDATE_WORD*4;
-- DataMover Cmnd Address Offset for channel 2
constant UPDATE_CH2_ADDR_OFFSET : integer := C_SG_CH2_FIRST_UPDATE_WORD*4;
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
type SG_UPDATE_STATE_TYPE is (
IDLE,
GET_UPDATE_PNTR,
UPDATE_DESCRIPTOR,
UPDATE_STATUS,
UPDATE_ERROR
);
signal updt_cs : SG_UPDATE_STATE_TYPE;
signal updt_ns : SG_UPDATE_STATE_TYPE;
-- State Machine Signals
signal ch1_active_set : std_logic := '0';
signal ch2_active_set : std_logic := '0';
signal write_cmnd_cmb : std_logic := '0';
signal ch1_updt_sm_idle : std_logic := '0';
signal ch2_updt_sm_idle : std_logic := '0';
-- Misc Signals
signal ch1_active_i : std_logic := '0';
signal service_ch1 : std_logic := '0';
signal ch2_active_i : std_logic := '0';
signal service_ch2 : std_logic := '0';
attribute mark_debug of ch1_active_i: signal is "true";
attribute mark_debug of ch2_active_i: signal is "true";
signal update_address : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal update_cmd_btt : std_logic_vector
(SG_BTT_WIDTH-1 downto 0) := (others => '0');
signal update_tag : std_logic_vector (3 downto 0);
signal updt_ioc_irq_set : std_logic := '0';
signal ch1_interr_catch : std_logic := '0';
signal ch2_interr_catch : std_logic := '0';
signal ch1_decerr_catch : std_logic := '0';
signal ch2_decerr_catch : std_logic := '0';
signal ch1_slverr_catch : std_logic := '0';
signal ch2_slverr_catch : std_logic := '0';
signal updt_cmnd_data_int : std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH --
+CMD_BASE_WIDTH)-1 downto 0) ; --
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
ch1_updt_active <= ch1_active_i;
ch2_updt_active <= ch2_active_i;
-------------------------------------------------------------------------------
-- Scatter Gather Fetch State Machine
-------------------------------------------------------------------------------
SG_UPDT_MACHINE : process(updt_cs,
ch1_active_i,
ch2_active_i,
service_ch1,
service_ch2,
ch1_updt_curdesc_wren,
-- ch2_updt_curdesc_wren,
updt_error,
updt_done)
begin
-- Default signal assignment
ch1_active_set <= '0';
ch2_active_set <= '0';
write_cmnd_cmb <= '0';
ch1_updt_sm_idle <= '0';
ch2_updt_sm_idle <= '0';
updt_ns <= updt_cs;
case updt_cs is
-------------------------------------------------------------------
when IDLE =>
ch1_updt_sm_idle <= not service_ch1;
ch2_updt_sm_idle <= not service_ch2;
-- error during update - therefore shut down
if(updt_error = '1')then
updt_ns <= UPDATE_ERROR;
-- If channel 1 is running and not idle and queue is not full
-- then fetch descriptor for channel 1
elsif(service_ch1 = '1')then
ch1_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
-- If channel 2 is running and not idle and queue is not full
-- then fetch descriptor for channel 2
elsif(service_ch2 = '1')then
ch2_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
else
updt_ns <= IDLE;
end if;
when GET_UPDATE_PNTR =>
if(ch1_updt_curdesc_wren = '1')then
updt_ns <= UPDATE_DESCRIPTOR;
else
updt_ns <= GET_UPDATE_PNTR;
end if;
-- if(ch1_updt_curdesc_wren = '1' or ch2_updt_curdesc_wren = '1')then
-- updt_ns <= UPDATE_DESCRIPTOR;
-- else
-- updt_ns <= GET_UPDATE_PNTR;
-- end if;
-------------------------------------------------------------------
when UPDATE_DESCRIPTOR =>
-- error during update - therefore shut down
if(updt_error = '1')then
-- coverage off
updt_ns <= UPDATE_ERROR;
-- coverage on
-- write command
else
ch1_updt_sm_idle <= not ch1_active_i and not service_ch1;
ch2_updt_sm_idle <= not ch2_active_i and not service_ch2;
write_cmnd_cmb <= '1';
updt_ns <= UPDATE_STATUS;
end if;
-------------------------------------------------------------------
when UPDATE_STATUS =>
ch1_updt_sm_idle <= not ch1_active_i and not service_ch1;
ch2_updt_sm_idle <= not ch2_active_i and not service_ch2;
-- error during update - therefore shut down
if(updt_error = '1')then
-- coverage off
updt_ns <= UPDATE_ERROR;
-- coverage on
-- wait until done with update
elsif(updt_done = '1')then
-- If just finished fethcing for channel 2 then...
if(ch2_active_i = '1')then
-- If ready, update descriptor for channel 1
if(service_ch1 = '1')then
ch1_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
-- Otherwise return to IDLE
else
updt_ns <= IDLE;
end if;
-- If just finished fethcing for channel 1 then...
elsif(ch1_active_i = '1')then
-- If ready, update descriptor for channel 2
if(service_ch2 = '1')then
ch2_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
-- Otherwise return to IDLE
else
updt_ns <= IDLE;
end if;
else
-- coverage off
updt_ns <= IDLE;
-- coverage on
end if;
else
updt_ns <= UPDATE_STATUS;
end if;
-------------------------------------------------------------------
when UPDATE_ERROR =>
ch1_updt_sm_idle <= '1';
ch2_updt_sm_idle <= '1';
updt_ns <= UPDATE_ERROR;
-------------------------------------------------------------------
-- coverage off
when others =>
updt_ns <= IDLE;
-- coverage on
end case;
end process SG_UPDT_MACHINE;
-------------------------------------------------------------------------------
-- Register states of state machine
-------------------------------------------------------------------------------
REGISTER_STATE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
updt_cs <= IDLE;
else
updt_cs <= updt_ns;
end if;
end if;
end process REGISTER_STATE;
-------------------------------------------------------------------------------
-- Channel included therefore generate fetch logic
-------------------------------------------------------------------------------
GEN_CH1_UPDATE : if C_INCLUDE_CH1 = 1 generate
begin
-------------------------------------------------------------------------------
-- Active channel flag. Indicates which channel is active.
-- 0 = channel active
-- 1 = channel active
-------------------------------------------------------------------------------
CH1_ACTIVE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_active_i <= '0';
elsif(ch1_active_i = '1' and updt_done = '1')then
ch1_active_i <= '0';
elsif(ch1_active_set = '1')then
ch1_active_i <= '1';
end if;
end if;
end process CH1_ACTIVE_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 ready to be serviced?
-------------------------------------------------------------------------------
service_ch1 <= '1' when ch1_updt_queue_empty = '0' -- Queue not empty
and ftch_error = '0' -- No SG Fetch Error
else '0';
-------------------------------------------------------------------------------
-- Channel 1 Interrupt On Complete
-------------------------------------------------------------------------------
CH1_INTR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_ioc_irq_set <= '0';
-- Set interrupt on Done and Descriptor IOC set
elsif(updt_done = '1' and ch1_updt_ioc = '1')then
ch1_updt_ioc_irq_set <= '1';
else
ch1_updt_ioc_irq_set <= '0';
end if;
end if;
end process CH1_INTR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Internal Error
-------------------------------------------------------------------------------
CH1_INTERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_dma_interr_set <= '0';
-- Set internal error on desc updt Done and Internal Error
elsif(updt_done = '1' and ch1_dma_interr = '1')then
ch1_dma_interr_set <= '1';
end if;
end if;
end process CH1_INTERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Slave Error
-------------------------------------------------------------------------------
CH1_SLVERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_dma_slverr_set <= '0';
-- Set slave error on desc updt Done and Slave Error
elsif(updt_done = '1' and ch1_dma_slverr = '1')then
ch1_dma_slverr_set <= '1';
end if;
end if;
end process CH1_SLVERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Decode Error
-------------------------------------------------------------------------------
CH1_DECERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_dma_decerr_set <= '0';
-- Set decode error on desc updt Done and Decode Error
elsif(updt_done = '1' and ch1_dma_decerr = '1')then
ch1_dma_decerr_set <= '1';
end if;
end if;
end process CH1_DECERR_PROCESS;
-------------------------------------------------------------------------------
-- Log Fetch Errors
-------------------------------------------------------------------------------
-- Log Slave Errors reported during descriptor update
SLV_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_slverr_set <= '0';
elsif(ch1_active_i = '1' and updt_slverr = '1')then
ch1_updt_slverr_set <= '1';
end if;
end if;
end process SLV_SET_PROCESS;
-- Log Internal Errors reported during descriptor update
INT_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_interr_set <= '0';
elsif(ch1_active_i = '1' and updt_interr = '1')then
-- coverage off
ch1_updt_interr_set <= '1';
-- coverage on
end if;
end if;
end process INT_SET_PROCESS;
-- Log Decode Errors reported during descriptor update
DEC_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_decerr_set <= '0';
elsif(ch1_active_i = '1' and updt_decerr = '1')then
ch1_updt_decerr_set <= '1';
end if;
end if;
end process DEC_SET_PROCESS;
-- Indicate update is idle if state machine is idle and update queue is empty
IDLE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then
ch1_updt_idle <= '1';
elsif(service_ch1 = '1')then
ch1_updt_idle <= '0';
elsif(service_ch1 = '0' and ch1_updt_sm_idle = '1')then
ch1_updt_idle <= '1';
end if;
end if;
end process IDLE_PROCESS;
---------------------------------------------------------------------------
-- Indicate update is done to allow fetch of next descriptor
-- This is needed to prevent a partial descriptor being fetched
-- and then axi read is throttled for extended periods until the
-- remainder of the descriptor is fetched.
--
-- Note: Only used when fetch queue not inluded otherwise
-- tools optimize out this process
---------------------------------------------------------------------------
REG_CH1_DONE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_done <= '0';
elsif(updt_done = '1' and ch1_active_i = '1')then
ch1_updt_done <= '1';
else
ch1_updt_done <= '0';
end if;
end if;
end process REG_CH1_DONE;
end generate GEN_CH1_UPDATE;
-------------------------------------------------------------------------------
-- Channel excluded therefore do not generate fetch logic
-------------------------------------------------------------------------------
GEN_NO_CH1_UPDATE : if C_INCLUDE_CH1 = 0 generate
begin
service_ch1 <= '0';
ch1_active_i <= '0';
ch1_updt_idle <= '0';
ch1_updt_interr_set <= '0';
ch1_updt_slverr_set <= '0';
ch1_updt_decerr_set <= '0';
ch1_dma_interr_set <= '0';
ch1_dma_slverr_set <= '0';
ch1_dma_decerr_set <= '0';
ch1_updt_ioc_irq_set <= '0';
ch1_updt_done <= '0';
end generate GEN_NO_CH1_UPDATE;
-------------------------------------------------------------------------------
-- Channel included therefore generate fetch logic
-------------------------------------------------------------------------------
GEN_CH2_UPDATE : if C_INCLUDE_CH2 = 1 generate
begin
-------------------------------------------------------------------------------
-- Active channel flag. Indicates which channel is active.
-- 0 = channel active
-- 1 = channel active
-------------------------------------------------------------------------------
CH2_ACTIVE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_active_i <= '0';
elsif(ch2_active_i = '1' and updt_done = '1')then
ch2_active_i <= '0';
elsif(ch2_active_set = '1')then
ch2_active_i <= '1';
end if;
end if;
end process CH2_ACTIVE_PROCESS;
-------------------------------------------------------------------------------
-- Channel 2 ready to be serviced?
-------------------------------------------------------------------------------
service_ch2 <= '1' when ch2_updt_queue_empty = '0' -- Queue not empty
and ftch_error = '0' -- No SG Fetch Error
else '0';
-------------------------------------------------------------------------------
-- Channel 2 Interrupt On Complete
-------------------------------------------------------------------------------
CH2_INTR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_ioc_irq_set <= '0';
-- Set interrupt on Done and Descriptor IOC set
elsif(updt_done = '1' and ch2_updt_ioc = '1')then
ch2_updt_ioc_irq_set <= '1';
else
ch2_updt_ioc_irq_set <= '0';
end if;
end if;
end process CH2_INTR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Internal Error
-------------------------------------------------------------------------------
CH2_INTERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_dma_interr_set <= '0';
-- Set internal error on desc updt Done and Internal Error
elsif(updt_done = '1' and ch2_dma_interr = '1')then
ch2_dma_interr_set <= '1';
end if;
end if;
end process CH2_INTERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Slave Error
-------------------------------------------------------------------------------
CH2_SLVERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_dma_slverr_set <= '0';
-- Set slave error on desc updt Done and Slave Error
elsif(updt_done = '1' and ch2_dma_slverr = '1')then
ch2_dma_slverr_set <= '1';
end if;
end if;
end process CH2_SLVERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Decode Error
-------------------------------------------------------------------------------
CH2_DECERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_dma_decerr_set <= '0';
-- Set decode error on desc updt Done and Decode Error
elsif(updt_done = '1' and ch2_dma_decerr = '1')then
ch2_dma_decerr_set <= '1';
end if;
end if;
end process CH2_DECERR_PROCESS;
-------------------------------------------------------------------------------
-- Log Fetch Errors
-------------------------------------------------------------------------------
-- Log Slave Errors reported during descriptor update
SLV_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_slverr_set <= '0';
elsif(ch2_active_i = '1' and updt_slverr = '1')then
ch2_updt_slverr_set <= '1';
end if;
end if;
end process SLV_SET_PROCESS;
-- Log Internal Errors reported during descriptor update
INT_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_interr_set <= '0';
elsif(ch2_active_i = '1' and updt_interr = '1')then
-- coverage off
ch2_updt_interr_set <= '1';
-- coverage on
end if;
end if;
end process INT_SET_PROCESS;
-- Log Decode Errors reported during descriptor update
DEC_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_decerr_set <= '0';
elsif(ch2_active_i = '1' and updt_decerr = '1')then
ch2_updt_decerr_set <= '1';
end if;
end if;
end process DEC_SET_PROCESS;
-- Indicate update is idle if state machine is idle and update queue is empty
IDLE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then
ch2_updt_idle <= '1';
elsif(service_ch2 = '1')then
ch2_updt_idle <= '0';
elsif(service_ch2 = '0' and ch2_updt_sm_idle = '1')then
ch2_updt_idle <= '1';
end if;
end if;
end process IDLE_PROCESS;
---------------------------------------------------------------------------
-- Indicate update is done to allow fetch of next descriptor
-- This is needed to prevent a partial descriptor being fetched
-- and then axi read is throttled for extended periods until the
-- remainder of the descriptor is fetched.
--
-- Note: Only used when fetch queue not inluded otherwise
-- tools optimize out this process
---------------------------------------------------------------------------
REG_CH2_DONE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_done <= '0';
elsif(updt_done = '1' and ch2_active_i = '1')then
ch2_updt_done <= '1';
else
ch2_updt_done <= '0';
end if;
end if;
end process REG_CH2_DONE;
end generate GEN_CH2_UPDATE;
-------------------------------------------------------------------------------
-- Channel excluded therefore do not generate fetch logic
-------------------------------------------------------------------------------
GEN_NO_CH2_UPDATE : if C_INCLUDE_CH2 = 0 generate
begin
service_ch2 <= '0';
ch2_active_i <= '0';
ch2_updt_idle <= '0';
ch2_updt_interr_set <= '0';
ch2_updt_slverr_set <= '0';
ch2_updt_decerr_set <= '0';
ch2_dma_interr_set <= '0';
ch2_dma_slverr_set <= '0';
ch2_dma_decerr_set <= '0';
ch2_updt_ioc_irq_set <= '0';
ch2_updt_done <= '0';
end generate GEN_NO_CH2_UPDATE;
---------------------------------------------------------------------------
-- Register Current Update Address. Address captured from channel port
-- or queue by axi_sg_updt_queue
---------------------------------------------------------------------------
REG_UPDATE_ADDRESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= (others => '0');
-- update_tag <= "0000";
-- Channel 1 descriptor update pointer
elsif(ch1_updt_curdesc_wren = '1')then
update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch1_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
+ 1);
-- update_tag <= "0001";
-- -- Channel 2 descriptor update pointer
-- elsif(ch2_updt_curdesc_wren = '1')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch2_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
-- + 1);
-- update_tag <= "0000";
end if;
end if;
end process REG_UPDATE_ADDRESS;
update_tag <= "0000" when ch2_active_i = '1' else
"0001";
--REG_UPDATE_ADDRESS : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= (others => '0');
-- update_tag <= "0000";
-- -- Channel 1 descriptor update pointer
-- elsif(ch1_updt_curdesc_wren = '1')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch1_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
-- + 1);
-- update_tag <= "0001";
-- -- Channel 2 descriptor update pointer
-- elsif(ch2_updt_curdesc_wren = '1')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch2_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
-- + 1);
-- update_tag <= "0000";
-- end if;
-- end if;
-- end process REG_UPDATE_ADDRESS;
update_address (3 downto 0) <= "1100";
-- Assigne Bytes to Transfer (BTT)
update_cmd_btt <= UPDATE_CH1_CMD_BTT when ch1_active_i = '1'
else UPDATE_CH2_CMD_BTT;
updt_cmnd_data <= updt_cmnd_data_int;
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- When command by sm, drive command to updt_cmdsts_if
--GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- updt_cmnd_wr <= '0';
-- updt_cmnd_data_int <= (others => '0');
-- -- Fetch SM issued a command write
-- elsif(write_cmnd_cmb = '1')then
updt_cmnd_wr <= write_cmnd_cmb; --'1';
updt_cmnd_data_int <= UPDATE_CMD_RSVD
& update_tag --UPDATE_CMD_TAG
& update_address
& UPDATE_MSB_IGNORED
& UPDATE_CMD_TYPE
& UPDATE_LSB_IGNORED
& update_cmd_btt;
-- else
-- updt_cmnd_wr <= '0';
-- end if;
-- end if;
-- end process GEN_DATAMOVER_CMND;
-------------------------------------------------------------------------------
-- Capture and hold fetch address in case an error occurs
-------------------------------------------------------------------------------
LOG_ERROR_ADDR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
updt_error_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) <= (others => '0');
elsif(write_cmnd_cmb = '1')then
updt_error_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) <= update_address(C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB);
end if;
end if;
end process LOG_ERROR_ADDR;
updt_error_addr (5 downto 0) <= "000000";
end implementation;
|
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_updt_sm.vhd
-- Description: This entity manages updating of descriptors.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_sg_v4_1;
use axi_sg_v4_1.axi_sg_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_updt_sm is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_INCLUDE_CH1 : integer range 0 to 1 := 1;
-- Include or Exclude channel 1 scatter gather engine
-- 0 = Exclude Channel 1 SG Engine
-- 1 = Include Channel 1 SG Engine
C_INCLUDE_CH2 : integer range 0 to 1 := 1;
-- Include or Exclude channel 2 scatter gather engine
-- 0 = Exclude Channel 2 SG Engine
-- 1 = Include Channel 2 SG Engine
C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to fetch
C_SG_CH1_FIRST_UPDATE_WORD : integer range 0 to 15 := 0;
-- Starting update word offset
C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to fetch
C_SG_CH2_FIRST_UPDATE_WORD : integer range 0 to 15 := 0
-- Starting update word offset
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
ftch_error : in std_logic ; --
--
-- Channel 1 Control and Status --
ch1_updt_queue_empty : in std_logic ; --
ch1_updt_curdesc_wren : in std_logic ; --
ch1_updt_curdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch1_updt_ioc : in std_logic ; --
ch1_dma_interr : in std_logic ; --
ch1_dma_slverr : in std_logic ; --
ch1_dma_decerr : in std_logic ; --
ch1_updt_active : out std_logic ; --
ch1_updt_idle : out std_logic ; --
ch1_updt_interr_set : out std_logic ; --
ch1_updt_slverr_set : out std_logic ; --
ch1_updt_decerr_set : out std_logic ; --
ch1_dma_interr_set : out std_logic ; --
ch1_dma_slverr_set : out std_logic ; --
ch1_dma_decerr_set : out std_logic ; --
ch1_updt_ioc_irq_set : out std_logic ; --
ch1_updt_done : out std_logic ; --
--
-- Channel 2 Control and Status --
ch2_updt_queue_empty : in std_logic ; --
-- ch2_updt_curdesc_wren : in std_logic ; --
-- ch2_updt_curdesc : in std_logic_vector --
-- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch2_updt_ioc : in std_logic ; --
ch2_dma_interr : in std_logic ; --
ch2_dma_slverr : in std_logic ; --
ch2_dma_decerr : in std_logic ; --
ch2_updt_active : out std_logic ; --
ch2_updt_idle : out std_logic ; --
ch2_updt_interr_set : out std_logic ; --
ch2_updt_slverr_set : out std_logic ; --
ch2_updt_decerr_set : out std_logic ; --
ch2_dma_interr_set : out std_logic ; --
ch2_dma_slverr_set : out std_logic ; --
ch2_dma_decerr_set : out std_logic ; --
ch2_updt_ioc_irq_set : out std_logic ; --
ch2_updt_done : out std_logic ; --
--
-- DataMover Command --
updt_cmnd_wr : out std_logic ; --
updt_cmnd_data : out std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH --
+CMD_BASE_WIDTH)-1 downto 0) ; --
-- DataMover Status --
updt_done : in std_logic ; --
updt_error : in std_logic ; --
updt_interr : in std_logic ; --
updt_slverr : in std_logic ; --
updt_decerr : in std_logic ; --
updt_error_addr : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) --
);
end axi_sg_updt_sm;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_updt_sm is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
attribute mark_debug : string;
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- DataMover Commmand TAG
constant UPDATE_CMD_TAG : std_logic_vector(3 downto 0) := (others => '0');
-- DataMover Command Type
-- Always set to INCR type
constant UPDATE_CMD_TYPE : std_logic := '1';
-- DataMover Cmnd Reserved Bits
constant UPDATE_MSB_IGNORED : std_logic_vector(7 downto 0) := (others => '0');
-- DataMover Cmnd Reserved Bits
constant UPDATE_LSB_IGNORED : std_logic_vector(15 downto 0) := (others => '0');
-- DataMover Cmnd Bytes to Xfer for Channel 1
constant UPDATE_CH1_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(
(C_SG_CH1_WORDS_TO_UPDATE*4),SG_BTT_WIDTH));
-- DataMover Cmnd Bytes to Xfer for Channel 2
constant UPDATE_CH2_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(
(C_SG_CH2_WORDS_TO_UPDATE*4),SG_BTT_WIDTH));
-- DataMover Cmnd Reserved Bits
constant UPDATE_CMD_RSVD : std_logic_vector(
DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_SG_ADDR_WIDTH downto
DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_SG_ADDR_WIDTH)
:= (others => '0');
-- DataMover Cmnd Address Offset for channel 1
constant UPDATE_CH1_ADDR_OFFSET : integer := C_SG_CH1_FIRST_UPDATE_WORD*4;
-- DataMover Cmnd Address Offset for channel 2
constant UPDATE_CH2_ADDR_OFFSET : integer := C_SG_CH2_FIRST_UPDATE_WORD*4;
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
type SG_UPDATE_STATE_TYPE is (
IDLE,
GET_UPDATE_PNTR,
UPDATE_DESCRIPTOR,
UPDATE_STATUS,
UPDATE_ERROR
);
signal updt_cs : SG_UPDATE_STATE_TYPE;
signal updt_ns : SG_UPDATE_STATE_TYPE;
-- State Machine Signals
signal ch1_active_set : std_logic := '0';
signal ch2_active_set : std_logic := '0';
signal write_cmnd_cmb : std_logic := '0';
signal ch1_updt_sm_idle : std_logic := '0';
signal ch2_updt_sm_idle : std_logic := '0';
-- Misc Signals
signal ch1_active_i : std_logic := '0';
signal service_ch1 : std_logic := '0';
signal ch2_active_i : std_logic := '0';
signal service_ch2 : std_logic := '0';
attribute mark_debug of ch1_active_i: signal is "true";
attribute mark_debug of ch2_active_i: signal is "true";
signal update_address : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal update_cmd_btt : std_logic_vector
(SG_BTT_WIDTH-1 downto 0) := (others => '0');
signal update_tag : std_logic_vector (3 downto 0);
signal updt_ioc_irq_set : std_logic := '0';
signal ch1_interr_catch : std_logic := '0';
signal ch2_interr_catch : std_logic := '0';
signal ch1_decerr_catch : std_logic := '0';
signal ch2_decerr_catch : std_logic := '0';
signal ch1_slverr_catch : std_logic := '0';
signal ch2_slverr_catch : std_logic := '0';
signal updt_cmnd_data_int : std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH --
+CMD_BASE_WIDTH)-1 downto 0) ; --
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
ch1_updt_active <= ch1_active_i;
ch2_updt_active <= ch2_active_i;
-------------------------------------------------------------------------------
-- Scatter Gather Fetch State Machine
-------------------------------------------------------------------------------
SG_UPDT_MACHINE : process(updt_cs,
ch1_active_i,
ch2_active_i,
service_ch1,
service_ch2,
ch1_updt_curdesc_wren,
-- ch2_updt_curdesc_wren,
updt_error,
updt_done)
begin
-- Default signal assignment
ch1_active_set <= '0';
ch2_active_set <= '0';
write_cmnd_cmb <= '0';
ch1_updt_sm_idle <= '0';
ch2_updt_sm_idle <= '0';
updt_ns <= updt_cs;
case updt_cs is
-------------------------------------------------------------------
when IDLE =>
ch1_updt_sm_idle <= not service_ch1;
ch2_updt_sm_idle <= not service_ch2;
-- error during update - therefore shut down
if(updt_error = '1')then
updt_ns <= UPDATE_ERROR;
-- If channel 1 is running and not idle and queue is not full
-- then fetch descriptor for channel 1
elsif(service_ch1 = '1')then
ch1_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
-- If channel 2 is running and not idle and queue is not full
-- then fetch descriptor for channel 2
elsif(service_ch2 = '1')then
ch2_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
else
updt_ns <= IDLE;
end if;
when GET_UPDATE_PNTR =>
if(ch1_updt_curdesc_wren = '1')then
updt_ns <= UPDATE_DESCRIPTOR;
else
updt_ns <= GET_UPDATE_PNTR;
end if;
-- if(ch1_updt_curdesc_wren = '1' or ch2_updt_curdesc_wren = '1')then
-- updt_ns <= UPDATE_DESCRIPTOR;
-- else
-- updt_ns <= GET_UPDATE_PNTR;
-- end if;
-------------------------------------------------------------------
when UPDATE_DESCRIPTOR =>
-- error during update - therefore shut down
if(updt_error = '1')then
-- coverage off
updt_ns <= UPDATE_ERROR;
-- coverage on
-- write command
else
ch1_updt_sm_idle <= not ch1_active_i and not service_ch1;
ch2_updt_sm_idle <= not ch2_active_i and not service_ch2;
write_cmnd_cmb <= '1';
updt_ns <= UPDATE_STATUS;
end if;
-------------------------------------------------------------------
when UPDATE_STATUS =>
ch1_updt_sm_idle <= not ch1_active_i and not service_ch1;
ch2_updt_sm_idle <= not ch2_active_i and not service_ch2;
-- error during update - therefore shut down
if(updt_error = '1')then
-- coverage off
updt_ns <= UPDATE_ERROR;
-- coverage on
-- wait until done with update
elsif(updt_done = '1')then
-- If just finished fethcing for channel 2 then...
if(ch2_active_i = '1')then
-- If ready, update descriptor for channel 1
if(service_ch1 = '1')then
ch1_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
-- Otherwise return to IDLE
else
updt_ns <= IDLE;
end if;
-- If just finished fethcing for channel 1 then...
elsif(ch1_active_i = '1')then
-- If ready, update descriptor for channel 2
if(service_ch2 = '1')then
ch2_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
-- Otherwise return to IDLE
else
updt_ns <= IDLE;
end if;
else
-- coverage off
updt_ns <= IDLE;
-- coverage on
end if;
else
updt_ns <= UPDATE_STATUS;
end if;
-------------------------------------------------------------------
when UPDATE_ERROR =>
ch1_updt_sm_idle <= '1';
ch2_updt_sm_idle <= '1';
updt_ns <= UPDATE_ERROR;
-------------------------------------------------------------------
-- coverage off
when others =>
updt_ns <= IDLE;
-- coverage on
end case;
end process SG_UPDT_MACHINE;
-------------------------------------------------------------------------------
-- Register states of state machine
-------------------------------------------------------------------------------
REGISTER_STATE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
updt_cs <= IDLE;
else
updt_cs <= updt_ns;
end if;
end if;
end process REGISTER_STATE;
-------------------------------------------------------------------------------
-- Channel included therefore generate fetch logic
-------------------------------------------------------------------------------
GEN_CH1_UPDATE : if C_INCLUDE_CH1 = 1 generate
begin
-------------------------------------------------------------------------------
-- Active channel flag. Indicates which channel is active.
-- 0 = channel active
-- 1 = channel active
-------------------------------------------------------------------------------
CH1_ACTIVE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_active_i <= '0';
elsif(ch1_active_i = '1' and updt_done = '1')then
ch1_active_i <= '0';
elsif(ch1_active_set = '1')then
ch1_active_i <= '1';
end if;
end if;
end process CH1_ACTIVE_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 ready to be serviced?
-------------------------------------------------------------------------------
service_ch1 <= '1' when ch1_updt_queue_empty = '0' -- Queue not empty
and ftch_error = '0' -- No SG Fetch Error
else '0';
-------------------------------------------------------------------------------
-- Channel 1 Interrupt On Complete
-------------------------------------------------------------------------------
CH1_INTR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_ioc_irq_set <= '0';
-- Set interrupt on Done and Descriptor IOC set
elsif(updt_done = '1' and ch1_updt_ioc = '1')then
ch1_updt_ioc_irq_set <= '1';
else
ch1_updt_ioc_irq_set <= '0';
end if;
end if;
end process CH1_INTR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Internal Error
-------------------------------------------------------------------------------
CH1_INTERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_dma_interr_set <= '0';
-- Set internal error on desc updt Done and Internal Error
elsif(updt_done = '1' and ch1_dma_interr = '1')then
ch1_dma_interr_set <= '1';
end if;
end if;
end process CH1_INTERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Slave Error
-------------------------------------------------------------------------------
CH1_SLVERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_dma_slverr_set <= '0';
-- Set slave error on desc updt Done and Slave Error
elsif(updt_done = '1' and ch1_dma_slverr = '1')then
ch1_dma_slverr_set <= '1';
end if;
end if;
end process CH1_SLVERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Decode Error
-------------------------------------------------------------------------------
CH1_DECERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_dma_decerr_set <= '0';
-- Set decode error on desc updt Done and Decode Error
elsif(updt_done = '1' and ch1_dma_decerr = '1')then
ch1_dma_decerr_set <= '1';
end if;
end if;
end process CH1_DECERR_PROCESS;
-------------------------------------------------------------------------------
-- Log Fetch Errors
-------------------------------------------------------------------------------
-- Log Slave Errors reported during descriptor update
SLV_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_slverr_set <= '0';
elsif(ch1_active_i = '1' and updt_slverr = '1')then
ch1_updt_slverr_set <= '1';
end if;
end if;
end process SLV_SET_PROCESS;
-- Log Internal Errors reported during descriptor update
INT_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_interr_set <= '0';
elsif(ch1_active_i = '1' and updt_interr = '1')then
-- coverage off
ch1_updt_interr_set <= '1';
-- coverage on
end if;
end if;
end process INT_SET_PROCESS;
-- Log Decode Errors reported during descriptor update
DEC_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_decerr_set <= '0';
elsif(ch1_active_i = '1' and updt_decerr = '1')then
ch1_updt_decerr_set <= '1';
end if;
end if;
end process DEC_SET_PROCESS;
-- Indicate update is idle if state machine is idle and update queue is empty
IDLE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then
ch1_updt_idle <= '1';
elsif(service_ch1 = '1')then
ch1_updt_idle <= '0';
elsif(service_ch1 = '0' and ch1_updt_sm_idle = '1')then
ch1_updt_idle <= '1';
end if;
end if;
end process IDLE_PROCESS;
---------------------------------------------------------------------------
-- Indicate update is done to allow fetch of next descriptor
-- This is needed to prevent a partial descriptor being fetched
-- and then axi read is throttled for extended periods until the
-- remainder of the descriptor is fetched.
--
-- Note: Only used when fetch queue not inluded otherwise
-- tools optimize out this process
---------------------------------------------------------------------------
REG_CH1_DONE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_done <= '0';
elsif(updt_done = '1' and ch1_active_i = '1')then
ch1_updt_done <= '1';
else
ch1_updt_done <= '0';
end if;
end if;
end process REG_CH1_DONE;
end generate GEN_CH1_UPDATE;
-------------------------------------------------------------------------------
-- Channel excluded therefore do not generate fetch logic
-------------------------------------------------------------------------------
GEN_NO_CH1_UPDATE : if C_INCLUDE_CH1 = 0 generate
begin
service_ch1 <= '0';
ch1_active_i <= '0';
ch1_updt_idle <= '0';
ch1_updt_interr_set <= '0';
ch1_updt_slverr_set <= '0';
ch1_updt_decerr_set <= '0';
ch1_dma_interr_set <= '0';
ch1_dma_slverr_set <= '0';
ch1_dma_decerr_set <= '0';
ch1_updt_ioc_irq_set <= '0';
ch1_updt_done <= '0';
end generate GEN_NO_CH1_UPDATE;
-------------------------------------------------------------------------------
-- Channel included therefore generate fetch logic
-------------------------------------------------------------------------------
GEN_CH2_UPDATE : if C_INCLUDE_CH2 = 1 generate
begin
-------------------------------------------------------------------------------
-- Active channel flag. Indicates which channel is active.
-- 0 = channel active
-- 1 = channel active
-------------------------------------------------------------------------------
CH2_ACTIVE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_active_i <= '0';
elsif(ch2_active_i = '1' and updt_done = '1')then
ch2_active_i <= '0';
elsif(ch2_active_set = '1')then
ch2_active_i <= '1';
end if;
end if;
end process CH2_ACTIVE_PROCESS;
-------------------------------------------------------------------------------
-- Channel 2 ready to be serviced?
-------------------------------------------------------------------------------
service_ch2 <= '1' when ch2_updt_queue_empty = '0' -- Queue not empty
and ftch_error = '0' -- No SG Fetch Error
else '0';
-------------------------------------------------------------------------------
-- Channel 2 Interrupt On Complete
-------------------------------------------------------------------------------
CH2_INTR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_ioc_irq_set <= '0';
-- Set interrupt on Done and Descriptor IOC set
elsif(updt_done = '1' and ch2_updt_ioc = '1')then
ch2_updt_ioc_irq_set <= '1';
else
ch2_updt_ioc_irq_set <= '0';
end if;
end if;
end process CH2_INTR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Internal Error
-------------------------------------------------------------------------------
CH2_INTERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_dma_interr_set <= '0';
-- Set internal error on desc updt Done and Internal Error
elsif(updt_done = '1' and ch2_dma_interr = '1')then
ch2_dma_interr_set <= '1';
end if;
end if;
end process CH2_INTERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Slave Error
-------------------------------------------------------------------------------
CH2_SLVERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_dma_slverr_set <= '0';
-- Set slave error on desc updt Done and Slave Error
elsif(updt_done = '1' and ch2_dma_slverr = '1')then
ch2_dma_slverr_set <= '1';
end if;
end if;
end process CH2_SLVERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Decode Error
-------------------------------------------------------------------------------
CH2_DECERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_dma_decerr_set <= '0';
-- Set decode error on desc updt Done and Decode Error
elsif(updt_done = '1' and ch2_dma_decerr = '1')then
ch2_dma_decerr_set <= '1';
end if;
end if;
end process CH2_DECERR_PROCESS;
-------------------------------------------------------------------------------
-- Log Fetch Errors
-------------------------------------------------------------------------------
-- Log Slave Errors reported during descriptor update
SLV_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_slverr_set <= '0';
elsif(ch2_active_i = '1' and updt_slverr = '1')then
ch2_updt_slverr_set <= '1';
end if;
end if;
end process SLV_SET_PROCESS;
-- Log Internal Errors reported during descriptor update
INT_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_interr_set <= '0';
elsif(ch2_active_i = '1' and updt_interr = '1')then
-- coverage off
ch2_updt_interr_set <= '1';
-- coverage on
end if;
end if;
end process INT_SET_PROCESS;
-- Log Decode Errors reported during descriptor update
DEC_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_decerr_set <= '0';
elsif(ch2_active_i = '1' and updt_decerr = '1')then
ch2_updt_decerr_set <= '1';
end if;
end if;
end process DEC_SET_PROCESS;
-- Indicate update is idle if state machine is idle and update queue is empty
IDLE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then
ch2_updt_idle <= '1';
elsif(service_ch2 = '1')then
ch2_updt_idle <= '0';
elsif(service_ch2 = '0' and ch2_updt_sm_idle = '1')then
ch2_updt_idle <= '1';
end if;
end if;
end process IDLE_PROCESS;
---------------------------------------------------------------------------
-- Indicate update is done to allow fetch of next descriptor
-- This is needed to prevent a partial descriptor being fetched
-- and then axi read is throttled for extended periods until the
-- remainder of the descriptor is fetched.
--
-- Note: Only used when fetch queue not inluded otherwise
-- tools optimize out this process
---------------------------------------------------------------------------
REG_CH2_DONE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_done <= '0';
elsif(updt_done = '1' and ch2_active_i = '1')then
ch2_updt_done <= '1';
else
ch2_updt_done <= '0';
end if;
end if;
end process REG_CH2_DONE;
end generate GEN_CH2_UPDATE;
-------------------------------------------------------------------------------
-- Channel excluded therefore do not generate fetch logic
-------------------------------------------------------------------------------
GEN_NO_CH2_UPDATE : if C_INCLUDE_CH2 = 0 generate
begin
service_ch2 <= '0';
ch2_active_i <= '0';
ch2_updt_idle <= '0';
ch2_updt_interr_set <= '0';
ch2_updt_slverr_set <= '0';
ch2_updt_decerr_set <= '0';
ch2_dma_interr_set <= '0';
ch2_dma_slverr_set <= '0';
ch2_dma_decerr_set <= '0';
ch2_updt_ioc_irq_set <= '0';
ch2_updt_done <= '0';
end generate GEN_NO_CH2_UPDATE;
---------------------------------------------------------------------------
-- Register Current Update Address. Address captured from channel port
-- or queue by axi_sg_updt_queue
---------------------------------------------------------------------------
REG_UPDATE_ADDRESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= (others => '0');
-- update_tag <= "0000";
-- Channel 1 descriptor update pointer
elsif(ch1_updt_curdesc_wren = '1')then
update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch1_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
+ 1);
-- update_tag <= "0001";
-- -- Channel 2 descriptor update pointer
-- elsif(ch2_updt_curdesc_wren = '1')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch2_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
-- + 1);
-- update_tag <= "0000";
end if;
end if;
end process REG_UPDATE_ADDRESS;
update_tag <= "0000" when ch2_active_i = '1' else
"0001";
--REG_UPDATE_ADDRESS : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= (others => '0');
-- update_tag <= "0000";
-- -- Channel 1 descriptor update pointer
-- elsif(ch1_updt_curdesc_wren = '1')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch1_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
-- + 1);
-- update_tag <= "0001";
-- -- Channel 2 descriptor update pointer
-- elsif(ch2_updt_curdesc_wren = '1')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch2_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
-- + 1);
-- update_tag <= "0000";
-- end if;
-- end if;
-- end process REG_UPDATE_ADDRESS;
update_address (3 downto 0) <= "1100";
-- Assigne Bytes to Transfer (BTT)
update_cmd_btt <= UPDATE_CH1_CMD_BTT when ch1_active_i = '1'
else UPDATE_CH2_CMD_BTT;
updt_cmnd_data <= updt_cmnd_data_int;
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- When command by sm, drive command to updt_cmdsts_if
--GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- updt_cmnd_wr <= '0';
-- updt_cmnd_data_int <= (others => '0');
-- -- Fetch SM issued a command write
-- elsif(write_cmnd_cmb = '1')then
updt_cmnd_wr <= write_cmnd_cmb; --'1';
updt_cmnd_data_int <= UPDATE_CMD_RSVD
& update_tag --UPDATE_CMD_TAG
& update_address
& UPDATE_MSB_IGNORED
& UPDATE_CMD_TYPE
& UPDATE_LSB_IGNORED
& update_cmd_btt;
-- else
-- updt_cmnd_wr <= '0';
-- end if;
-- end if;
-- end process GEN_DATAMOVER_CMND;
-------------------------------------------------------------------------------
-- Capture and hold fetch address in case an error occurs
-------------------------------------------------------------------------------
LOG_ERROR_ADDR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
updt_error_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) <= (others => '0');
elsif(write_cmnd_cmb = '1')then
updt_error_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) <= update_address(C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB);
end if;
end if;
end process LOG_ERROR_ADDR;
updt_error_addr (5 downto 0) <= "000000";
end implementation;
|
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_updt_sm.vhd
-- Description: This entity manages updating of descriptors.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_sg_v4_1;
use axi_sg_v4_1.axi_sg_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_updt_sm is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_INCLUDE_CH1 : integer range 0 to 1 := 1;
-- Include or Exclude channel 1 scatter gather engine
-- 0 = Exclude Channel 1 SG Engine
-- 1 = Include Channel 1 SG Engine
C_INCLUDE_CH2 : integer range 0 to 1 := 1;
-- Include or Exclude channel 2 scatter gather engine
-- 0 = Exclude Channel 2 SG Engine
-- 1 = Include Channel 2 SG Engine
C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to fetch
C_SG_CH1_FIRST_UPDATE_WORD : integer range 0 to 15 := 0;
-- Starting update word offset
C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to fetch
C_SG_CH2_FIRST_UPDATE_WORD : integer range 0 to 15 := 0
-- Starting update word offset
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
ftch_error : in std_logic ; --
--
-- Channel 1 Control and Status --
ch1_updt_queue_empty : in std_logic ; --
ch1_updt_curdesc_wren : in std_logic ; --
ch1_updt_curdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch1_updt_ioc : in std_logic ; --
ch1_dma_interr : in std_logic ; --
ch1_dma_slverr : in std_logic ; --
ch1_dma_decerr : in std_logic ; --
ch1_updt_active : out std_logic ; --
ch1_updt_idle : out std_logic ; --
ch1_updt_interr_set : out std_logic ; --
ch1_updt_slverr_set : out std_logic ; --
ch1_updt_decerr_set : out std_logic ; --
ch1_dma_interr_set : out std_logic ; --
ch1_dma_slverr_set : out std_logic ; --
ch1_dma_decerr_set : out std_logic ; --
ch1_updt_ioc_irq_set : out std_logic ; --
ch1_updt_done : out std_logic ; --
--
-- Channel 2 Control and Status --
ch2_updt_queue_empty : in std_logic ; --
-- ch2_updt_curdesc_wren : in std_logic ; --
-- ch2_updt_curdesc : in std_logic_vector --
-- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch2_updt_ioc : in std_logic ; --
ch2_dma_interr : in std_logic ; --
ch2_dma_slverr : in std_logic ; --
ch2_dma_decerr : in std_logic ; --
ch2_updt_active : out std_logic ; --
ch2_updt_idle : out std_logic ; --
ch2_updt_interr_set : out std_logic ; --
ch2_updt_slverr_set : out std_logic ; --
ch2_updt_decerr_set : out std_logic ; --
ch2_dma_interr_set : out std_logic ; --
ch2_dma_slverr_set : out std_logic ; --
ch2_dma_decerr_set : out std_logic ; --
ch2_updt_ioc_irq_set : out std_logic ; --
ch2_updt_done : out std_logic ; --
--
-- DataMover Command --
updt_cmnd_wr : out std_logic ; --
updt_cmnd_data : out std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH --
+CMD_BASE_WIDTH)-1 downto 0) ; --
-- DataMover Status --
updt_done : in std_logic ; --
updt_error : in std_logic ; --
updt_interr : in std_logic ; --
updt_slverr : in std_logic ; --
updt_decerr : in std_logic ; --
updt_error_addr : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) --
);
end axi_sg_updt_sm;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_updt_sm is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
attribute mark_debug : string;
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- DataMover Commmand TAG
constant UPDATE_CMD_TAG : std_logic_vector(3 downto 0) := (others => '0');
-- DataMover Command Type
-- Always set to INCR type
constant UPDATE_CMD_TYPE : std_logic := '1';
-- DataMover Cmnd Reserved Bits
constant UPDATE_MSB_IGNORED : std_logic_vector(7 downto 0) := (others => '0');
-- DataMover Cmnd Reserved Bits
constant UPDATE_LSB_IGNORED : std_logic_vector(15 downto 0) := (others => '0');
-- DataMover Cmnd Bytes to Xfer for Channel 1
constant UPDATE_CH1_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(
(C_SG_CH1_WORDS_TO_UPDATE*4),SG_BTT_WIDTH));
-- DataMover Cmnd Bytes to Xfer for Channel 2
constant UPDATE_CH2_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(
(C_SG_CH2_WORDS_TO_UPDATE*4),SG_BTT_WIDTH));
-- DataMover Cmnd Reserved Bits
constant UPDATE_CMD_RSVD : std_logic_vector(
DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_SG_ADDR_WIDTH downto
DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_SG_ADDR_WIDTH)
:= (others => '0');
-- DataMover Cmnd Address Offset for channel 1
constant UPDATE_CH1_ADDR_OFFSET : integer := C_SG_CH1_FIRST_UPDATE_WORD*4;
-- DataMover Cmnd Address Offset for channel 2
constant UPDATE_CH2_ADDR_OFFSET : integer := C_SG_CH2_FIRST_UPDATE_WORD*4;
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
type SG_UPDATE_STATE_TYPE is (
IDLE,
GET_UPDATE_PNTR,
UPDATE_DESCRIPTOR,
UPDATE_STATUS,
UPDATE_ERROR
);
signal updt_cs : SG_UPDATE_STATE_TYPE;
signal updt_ns : SG_UPDATE_STATE_TYPE;
-- State Machine Signals
signal ch1_active_set : std_logic := '0';
signal ch2_active_set : std_logic := '0';
signal write_cmnd_cmb : std_logic := '0';
signal ch1_updt_sm_idle : std_logic := '0';
signal ch2_updt_sm_idle : std_logic := '0';
-- Misc Signals
signal ch1_active_i : std_logic := '0';
signal service_ch1 : std_logic := '0';
signal ch2_active_i : std_logic := '0';
signal service_ch2 : std_logic := '0';
attribute mark_debug of ch1_active_i: signal is "true";
attribute mark_debug of ch2_active_i: signal is "true";
signal update_address : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal update_cmd_btt : std_logic_vector
(SG_BTT_WIDTH-1 downto 0) := (others => '0');
signal update_tag : std_logic_vector (3 downto 0);
signal updt_ioc_irq_set : std_logic := '0';
signal ch1_interr_catch : std_logic := '0';
signal ch2_interr_catch : std_logic := '0';
signal ch1_decerr_catch : std_logic := '0';
signal ch2_decerr_catch : std_logic := '0';
signal ch1_slverr_catch : std_logic := '0';
signal ch2_slverr_catch : std_logic := '0';
signal updt_cmnd_data_int : std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH --
+CMD_BASE_WIDTH)-1 downto 0) ; --
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
ch1_updt_active <= ch1_active_i;
ch2_updt_active <= ch2_active_i;
-------------------------------------------------------------------------------
-- Scatter Gather Fetch State Machine
-------------------------------------------------------------------------------
SG_UPDT_MACHINE : process(updt_cs,
ch1_active_i,
ch2_active_i,
service_ch1,
service_ch2,
ch1_updt_curdesc_wren,
-- ch2_updt_curdesc_wren,
updt_error,
updt_done)
begin
-- Default signal assignment
ch1_active_set <= '0';
ch2_active_set <= '0';
write_cmnd_cmb <= '0';
ch1_updt_sm_idle <= '0';
ch2_updt_sm_idle <= '0';
updt_ns <= updt_cs;
case updt_cs is
-------------------------------------------------------------------
when IDLE =>
ch1_updt_sm_idle <= not service_ch1;
ch2_updt_sm_idle <= not service_ch2;
-- error during update - therefore shut down
if(updt_error = '1')then
updt_ns <= UPDATE_ERROR;
-- If channel 1 is running and not idle and queue is not full
-- then fetch descriptor for channel 1
elsif(service_ch1 = '1')then
ch1_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
-- If channel 2 is running and not idle and queue is not full
-- then fetch descriptor for channel 2
elsif(service_ch2 = '1')then
ch2_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
else
updt_ns <= IDLE;
end if;
when GET_UPDATE_PNTR =>
if(ch1_updt_curdesc_wren = '1')then
updt_ns <= UPDATE_DESCRIPTOR;
else
updt_ns <= GET_UPDATE_PNTR;
end if;
-- if(ch1_updt_curdesc_wren = '1' or ch2_updt_curdesc_wren = '1')then
-- updt_ns <= UPDATE_DESCRIPTOR;
-- else
-- updt_ns <= GET_UPDATE_PNTR;
-- end if;
-------------------------------------------------------------------
when UPDATE_DESCRIPTOR =>
-- error during update - therefore shut down
if(updt_error = '1')then
-- coverage off
updt_ns <= UPDATE_ERROR;
-- coverage on
-- write command
else
ch1_updt_sm_idle <= not ch1_active_i and not service_ch1;
ch2_updt_sm_idle <= not ch2_active_i and not service_ch2;
write_cmnd_cmb <= '1';
updt_ns <= UPDATE_STATUS;
end if;
-------------------------------------------------------------------
when UPDATE_STATUS =>
ch1_updt_sm_idle <= not ch1_active_i and not service_ch1;
ch2_updt_sm_idle <= not ch2_active_i and not service_ch2;
-- error during update - therefore shut down
if(updt_error = '1')then
-- coverage off
updt_ns <= UPDATE_ERROR;
-- coverage on
-- wait until done with update
elsif(updt_done = '1')then
-- If just finished fethcing for channel 2 then...
if(ch2_active_i = '1')then
-- If ready, update descriptor for channel 1
if(service_ch1 = '1')then
ch1_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
-- Otherwise return to IDLE
else
updt_ns <= IDLE;
end if;
-- If just finished fethcing for channel 1 then...
elsif(ch1_active_i = '1')then
-- If ready, update descriptor for channel 2
if(service_ch2 = '1')then
ch2_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
-- Otherwise return to IDLE
else
updt_ns <= IDLE;
end if;
else
-- coverage off
updt_ns <= IDLE;
-- coverage on
end if;
else
updt_ns <= UPDATE_STATUS;
end if;
-------------------------------------------------------------------
when UPDATE_ERROR =>
ch1_updt_sm_idle <= '1';
ch2_updt_sm_idle <= '1';
updt_ns <= UPDATE_ERROR;
-------------------------------------------------------------------
-- coverage off
when others =>
updt_ns <= IDLE;
-- coverage on
end case;
end process SG_UPDT_MACHINE;
-------------------------------------------------------------------------------
-- Register states of state machine
-------------------------------------------------------------------------------
REGISTER_STATE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
updt_cs <= IDLE;
else
updt_cs <= updt_ns;
end if;
end if;
end process REGISTER_STATE;
-------------------------------------------------------------------------------
-- Channel included therefore generate fetch logic
-------------------------------------------------------------------------------
GEN_CH1_UPDATE : if C_INCLUDE_CH1 = 1 generate
begin
-------------------------------------------------------------------------------
-- Active channel flag. Indicates which channel is active.
-- 0 = channel active
-- 1 = channel active
-------------------------------------------------------------------------------
CH1_ACTIVE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_active_i <= '0';
elsif(ch1_active_i = '1' and updt_done = '1')then
ch1_active_i <= '0';
elsif(ch1_active_set = '1')then
ch1_active_i <= '1';
end if;
end if;
end process CH1_ACTIVE_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 ready to be serviced?
-------------------------------------------------------------------------------
service_ch1 <= '1' when ch1_updt_queue_empty = '0' -- Queue not empty
and ftch_error = '0' -- No SG Fetch Error
else '0';
-------------------------------------------------------------------------------
-- Channel 1 Interrupt On Complete
-------------------------------------------------------------------------------
CH1_INTR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_ioc_irq_set <= '0';
-- Set interrupt on Done and Descriptor IOC set
elsif(updt_done = '1' and ch1_updt_ioc = '1')then
ch1_updt_ioc_irq_set <= '1';
else
ch1_updt_ioc_irq_set <= '0';
end if;
end if;
end process CH1_INTR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Internal Error
-------------------------------------------------------------------------------
CH1_INTERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_dma_interr_set <= '0';
-- Set internal error on desc updt Done and Internal Error
elsif(updt_done = '1' and ch1_dma_interr = '1')then
ch1_dma_interr_set <= '1';
end if;
end if;
end process CH1_INTERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Slave Error
-------------------------------------------------------------------------------
CH1_SLVERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_dma_slverr_set <= '0';
-- Set slave error on desc updt Done and Slave Error
elsif(updt_done = '1' and ch1_dma_slverr = '1')then
ch1_dma_slverr_set <= '1';
end if;
end if;
end process CH1_SLVERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Decode Error
-------------------------------------------------------------------------------
CH1_DECERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_dma_decerr_set <= '0';
-- Set decode error on desc updt Done and Decode Error
elsif(updt_done = '1' and ch1_dma_decerr = '1')then
ch1_dma_decerr_set <= '1';
end if;
end if;
end process CH1_DECERR_PROCESS;
-------------------------------------------------------------------------------
-- Log Fetch Errors
-------------------------------------------------------------------------------
-- Log Slave Errors reported during descriptor update
SLV_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_slverr_set <= '0';
elsif(ch1_active_i = '1' and updt_slverr = '1')then
ch1_updt_slverr_set <= '1';
end if;
end if;
end process SLV_SET_PROCESS;
-- Log Internal Errors reported during descriptor update
INT_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_interr_set <= '0';
elsif(ch1_active_i = '1' and updt_interr = '1')then
-- coverage off
ch1_updt_interr_set <= '1';
-- coverage on
end if;
end if;
end process INT_SET_PROCESS;
-- Log Decode Errors reported during descriptor update
DEC_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_decerr_set <= '0';
elsif(ch1_active_i = '1' and updt_decerr = '1')then
ch1_updt_decerr_set <= '1';
end if;
end if;
end process DEC_SET_PROCESS;
-- Indicate update is idle if state machine is idle and update queue is empty
IDLE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then
ch1_updt_idle <= '1';
elsif(service_ch1 = '1')then
ch1_updt_idle <= '0';
elsif(service_ch1 = '0' and ch1_updt_sm_idle = '1')then
ch1_updt_idle <= '1';
end if;
end if;
end process IDLE_PROCESS;
---------------------------------------------------------------------------
-- Indicate update is done to allow fetch of next descriptor
-- This is needed to prevent a partial descriptor being fetched
-- and then axi read is throttled for extended periods until the
-- remainder of the descriptor is fetched.
--
-- Note: Only used when fetch queue not inluded otherwise
-- tools optimize out this process
---------------------------------------------------------------------------
REG_CH1_DONE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_done <= '0';
elsif(updt_done = '1' and ch1_active_i = '1')then
ch1_updt_done <= '1';
else
ch1_updt_done <= '0';
end if;
end if;
end process REG_CH1_DONE;
end generate GEN_CH1_UPDATE;
-------------------------------------------------------------------------------
-- Channel excluded therefore do not generate fetch logic
-------------------------------------------------------------------------------
GEN_NO_CH1_UPDATE : if C_INCLUDE_CH1 = 0 generate
begin
service_ch1 <= '0';
ch1_active_i <= '0';
ch1_updt_idle <= '0';
ch1_updt_interr_set <= '0';
ch1_updt_slverr_set <= '0';
ch1_updt_decerr_set <= '0';
ch1_dma_interr_set <= '0';
ch1_dma_slverr_set <= '0';
ch1_dma_decerr_set <= '0';
ch1_updt_ioc_irq_set <= '0';
ch1_updt_done <= '0';
end generate GEN_NO_CH1_UPDATE;
-------------------------------------------------------------------------------
-- Channel included therefore generate fetch logic
-------------------------------------------------------------------------------
GEN_CH2_UPDATE : if C_INCLUDE_CH2 = 1 generate
begin
-------------------------------------------------------------------------------
-- Active channel flag. Indicates which channel is active.
-- 0 = channel active
-- 1 = channel active
-------------------------------------------------------------------------------
CH2_ACTIVE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_active_i <= '0';
elsif(ch2_active_i = '1' and updt_done = '1')then
ch2_active_i <= '0';
elsif(ch2_active_set = '1')then
ch2_active_i <= '1';
end if;
end if;
end process CH2_ACTIVE_PROCESS;
-------------------------------------------------------------------------------
-- Channel 2 ready to be serviced?
-------------------------------------------------------------------------------
service_ch2 <= '1' when ch2_updt_queue_empty = '0' -- Queue not empty
and ftch_error = '0' -- No SG Fetch Error
else '0';
-------------------------------------------------------------------------------
-- Channel 2 Interrupt On Complete
-------------------------------------------------------------------------------
CH2_INTR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_ioc_irq_set <= '0';
-- Set interrupt on Done and Descriptor IOC set
elsif(updt_done = '1' and ch2_updt_ioc = '1')then
ch2_updt_ioc_irq_set <= '1';
else
ch2_updt_ioc_irq_set <= '0';
end if;
end if;
end process CH2_INTR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Internal Error
-------------------------------------------------------------------------------
CH2_INTERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_dma_interr_set <= '0';
-- Set internal error on desc updt Done and Internal Error
elsif(updt_done = '1' and ch2_dma_interr = '1')then
ch2_dma_interr_set <= '1';
end if;
end if;
end process CH2_INTERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Slave Error
-------------------------------------------------------------------------------
CH2_SLVERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_dma_slverr_set <= '0';
-- Set slave error on desc updt Done and Slave Error
elsif(updt_done = '1' and ch2_dma_slverr = '1')then
ch2_dma_slverr_set <= '1';
end if;
end if;
end process CH2_SLVERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Decode Error
-------------------------------------------------------------------------------
CH2_DECERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_dma_decerr_set <= '0';
-- Set decode error on desc updt Done and Decode Error
elsif(updt_done = '1' and ch2_dma_decerr = '1')then
ch2_dma_decerr_set <= '1';
end if;
end if;
end process CH2_DECERR_PROCESS;
-------------------------------------------------------------------------------
-- Log Fetch Errors
-------------------------------------------------------------------------------
-- Log Slave Errors reported during descriptor update
SLV_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_slverr_set <= '0';
elsif(ch2_active_i = '1' and updt_slverr = '1')then
ch2_updt_slverr_set <= '1';
end if;
end if;
end process SLV_SET_PROCESS;
-- Log Internal Errors reported during descriptor update
INT_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_interr_set <= '0';
elsif(ch2_active_i = '1' and updt_interr = '1')then
-- coverage off
ch2_updt_interr_set <= '1';
-- coverage on
end if;
end if;
end process INT_SET_PROCESS;
-- Log Decode Errors reported during descriptor update
DEC_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_decerr_set <= '0';
elsif(ch2_active_i = '1' and updt_decerr = '1')then
ch2_updt_decerr_set <= '1';
end if;
end if;
end process DEC_SET_PROCESS;
-- Indicate update is idle if state machine is idle and update queue is empty
IDLE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then
ch2_updt_idle <= '1';
elsif(service_ch2 = '1')then
ch2_updt_idle <= '0';
elsif(service_ch2 = '0' and ch2_updt_sm_idle = '1')then
ch2_updt_idle <= '1';
end if;
end if;
end process IDLE_PROCESS;
---------------------------------------------------------------------------
-- Indicate update is done to allow fetch of next descriptor
-- This is needed to prevent a partial descriptor being fetched
-- and then axi read is throttled for extended periods until the
-- remainder of the descriptor is fetched.
--
-- Note: Only used when fetch queue not inluded otherwise
-- tools optimize out this process
---------------------------------------------------------------------------
REG_CH2_DONE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_done <= '0';
elsif(updt_done = '1' and ch2_active_i = '1')then
ch2_updt_done <= '1';
else
ch2_updt_done <= '0';
end if;
end if;
end process REG_CH2_DONE;
end generate GEN_CH2_UPDATE;
-------------------------------------------------------------------------------
-- Channel excluded therefore do not generate fetch logic
-------------------------------------------------------------------------------
GEN_NO_CH2_UPDATE : if C_INCLUDE_CH2 = 0 generate
begin
service_ch2 <= '0';
ch2_active_i <= '0';
ch2_updt_idle <= '0';
ch2_updt_interr_set <= '0';
ch2_updt_slverr_set <= '0';
ch2_updt_decerr_set <= '0';
ch2_dma_interr_set <= '0';
ch2_dma_slverr_set <= '0';
ch2_dma_decerr_set <= '0';
ch2_updt_ioc_irq_set <= '0';
ch2_updt_done <= '0';
end generate GEN_NO_CH2_UPDATE;
---------------------------------------------------------------------------
-- Register Current Update Address. Address captured from channel port
-- or queue by axi_sg_updt_queue
---------------------------------------------------------------------------
REG_UPDATE_ADDRESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= (others => '0');
-- update_tag <= "0000";
-- Channel 1 descriptor update pointer
elsif(ch1_updt_curdesc_wren = '1')then
update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch1_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
+ 1);
-- update_tag <= "0001";
-- -- Channel 2 descriptor update pointer
-- elsif(ch2_updt_curdesc_wren = '1')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch2_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
-- + 1);
-- update_tag <= "0000";
end if;
end if;
end process REG_UPDATE_ADDRESS;
update_tag <= "0000" when ch2_active_i = '1' else
"0001";
--REG_UPDATE_ADDRESS : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= (others => '0');
-- update_tag <= "0000";
-- -- Channel 1 descriptor update pointer
-- elsif(ch1_updt_curdesc_wren = '1')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch1_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
-- + 1);
-- update_tag <= "0001";
-- -- Channel 2 descriptor update pointer
-- elsif(ch2_updt_curdesc_wren = '1')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch2_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
-- + 1);
-- update_tag <= "0000";
-- end if;
-- end if;
-- end process REG_UPDATE_ADDRESS;
update_address (3 downto 0) <= "1100";
-- Assigne Bytes to Transfer (BTT)
update_cmd_btt <= UPDATE_CH1_CMD_BTT when ch1_active_i = '1'
else UPDATE_CH2_CMD_BTT;
updt_cmnd_data <= updt_cmnd_data_int;
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- When command by sm, drive command to updt_cmdsts_if
--GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- updt_cmnd_wr <= '0';
-- updt_cmnd_data_int <= (others => '0');
-- -- Fetch SM issued a command write
-- elsif(write_cmnd_cmb = '1')then
updt_cmnd_wr <= write_cmnd_cmb; --'1';
updt_cmnd_data_int <= UPDATE_CMD_RSVD
& update_tag --UPDATE_CMD_TAG
& update_address
& UPDATE_MSB_IGNORED
& UPDATE_CMD_TYPE
& UPDATE_LSB_IGNORED
& update_cmd_btt;
-- else
-- updt_cmnd_wr <= '0';
-- end if;
-- end if;
-- end process GEN_DATAMOVER_CMND;
-------------------------------------------------------------------------------
-- Capture and hold fetch address in case an error occurs
-------------------------------------------------------------------------------
LOG_ERROR_ADDR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
updt_error_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) <= (others => '0');
elsif(write_cmnd_cmb = '1')then
updt_error_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) <= update_address(C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB);
end if;
end if;
end process LOG_ERROR_ADDR;
updt_error_addr (5 downto 0) <= "000000";
end implementation;
|
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_updt_sm.vhd
-- Description: This entity manages updating of descriptors.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_sg_v4_1;
use axi_sg_v4_1.axi_sg_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_updt_sm is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_INCLUDE_CH1 : integer range 0 to 1 := 1;
-- Include or Exclude channel 1 scatter gather engine
-- 0 = Exclude Channel 1 SG Engine
-- 1 = Include Channel 1 SG Engine
C_INCLUDE_CH2 : integer range 0 to 1 := 1;
-- Include or Exclude channel 2 scatter gather engine
-- 0 = Exclude Channel 2 SG Engine
-- 1 = Include Channel 2 SG Engine
C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to fetch
C_SG_CH1_FIRST_UPDATE_WORD : integer range 0 to 15 := 0;
-- Starting update word offset
C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to fetch
C_SG_CH2_FIRST_UPDATE_WORD : integer range 0 to 15 := 0
-- Starting update word offset
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
ftch_error : in std_logic ; --
--
-- Channel 1 Control and Status --
ch1_updt_queue_empty : in std_logic ; --
ch1_updt_curdesc_wren : in std_logic ; --
ch1_updt_curdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch1_updt_ioc : in std_logic ; --
ch1_dma_interr : in std_logic ; --
ch1_dma_slverr : in std_logic ; --
ch1_dma_decerr : in std_logic ; --
ch1_updt_active : out std_logic ; --
ch1_updt_idle : out std_logic ; --
ch1_updt_interr_set : out std_logic ; --
ch1_updt_slverr_set : out std_logic ; --
ch1_updt_decerr_set : out std_logic ; --
ch1_dma_interr_set : out std_logic ; --
ch1_dma_slverr_set : out std_logic ; --
ch1_dma_decerr_set : out std_logic ; --
ch1_updt_ioc_irq_set : out std_logic ; --
ch1_updt_done : out std_logic ; --
--
-- Channel 2 Control and Status --
ch2_updt_queue_empty : in std_logic ; --
-- ch2_updt_curdesc_wren : in std_logic ; --
-- ch2_updt_curdesc : in std_logic_vector --
-- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch2_updt_ioc : in std_logic ; --
ch2_dma_interr : in std_logic ; --
ch2_dma_slverr : in std_logic ; --
ch2_dma_decerr : in std_logic ; --
ch2_updt_active : out std_logic ; --
ch2_updt_idle : out std_logic ; --
ch2_updt_interr_set : out std_logic ; --
ch2_updt_slverr_set : out std_logic ; --
ch2_updt_decerr_set : out std_logic ; --
ch2_dma_interr_set : out std_logic ; --
ch2_dma_slverr_set : out std_logic ; --
ch2_dma_decerr_set : out std_logic ; --
ch2_updt_ioc_irq_set : out std_logic ; --
ch2_updt_done : out std_logic ; --
--
-- DataMover Command --
updt_cmnd_wr : out std_logic ; --
updt_cmnd_data : out std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH --
+CMD_BASE_WIDTH)-1 downto 0) ; --
-- DataMover Status --
updt_done : in std_logic ; --
updt_error : in std_logic ; --
updt_interr : in std_logic ; --
updt_slverr : in std_logic ; --
updt_decerr : in std_logic ; --
updt_error_addr : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) --
);
end axi_sg_updt_sm;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_updt_sm is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
attribute mark_debug : string;
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- DataMover Commmand TAG
constant UPDATE_CMD_TAG : std_logic_vector(3 downto 0) := (others => '0');
-- DataMover Command Type
-- Always set to INCR type
constant UPDATE_CMD_TYPE : std_logic := '1';
-- DataMover Cmnd Reserved Bits
constant UPDATE_MSB_IGNORED : std_logic_vector(7 downto 0) := (others => '0');
-- DataMover Cmnd Reserved Bits
constant UPDATE_LSB_IGNORED : std_logic_vector(15 downto 0) := (others => '0');
-- DataMover Cmnd Bytes to Xfer for Channel 1
constant UPDATE_CH1_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(
(C_SG_CH1_WORDS_TO_UPDATE*4),SG_BTT_WIDTH));
-- DataMover Cmnd Bytes to Xfer for Channel 2
constant UPDATE_CH2_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(
(C_SG_CH2_WORDS_TO_UPDATE*4),SG_BTT_WIDTH));
-- DataMover Cmnd Reserved Bits
constant UPDATE_CMD_RSVD : std_logic_vector(
DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_SG_ADDR_WIDTH downto
DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_SG_ADDR_WIDTH)
:= (others => '0');
-- DataMover Cmnd Address Offset for channel 1
constant UPDATE_CH1_ADDR_OFFSET : integer := C_SG_CH1_FIRST_UPDATE_WORD*4;
-- DataMover Cmnd Address Offset for channel 2
constant UPDATE_CH2_ADDR_OFFSET : integer := C_SG_CH2_FIRST_UPDATE_WORD*4;
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
type SG_UPDATE_STATE_TYPE is (
IDLE,
GET_UPDATE_PNTR,
UPDATE_DESCRIPTOR,
UPDATE_STATUS,
UPDATE_ERROR
);
signal updt_cs : SG_UPDATE_STATE_TYPE;
signal updt_ns : SG_UPDATE_STATE_TYPE;
-- State Machine Signals
signal ch1_active_set : std_logic := '0';
signal ch2_active_set : std_logic := '0';
signal write_cmnd_cmb : std_logic := '0';
signal ch1_updt_sm_idle : std_logic := '0';
signal ch2_updt_sm_idle : std_logic := '0';
-- Misc Signals
signal ch1_active_i : std_logic := '0';
signal service_ch1 : std_logic := '0';
signal ch2_active_i : std_logic := '0';
signal service_ch2 : std_logic := '0';
attribute mark_debug of ch1_active_i: signal is "true";
attribute mark_debug of ch2_active_i: signal is "true";
signal update_address : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal update_cmd_btt : std_logic_vector
(SG_BTT_WIDTH-1 downto 0) := (others => '0');
signal update_tag : std_logic_vector (3 downto 0);
signal updt_ioc_irq_set : std_logic := '0';
signal ch1_interr_catch : std_logic := '0';
signal ch2_interr_catch : std_logic := '0';
signal ch1_decerr_catch : std_logic := '0';
signal ch2_decerr_catch : std_logic := '0';
signal ch1_slverr_catch : std_logic := '0';
signal ch2_slverr_catch : std_logic := '0';
signal updt_cmnd_data_int : std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH --
+CMD_BASE_WIDTH)-1 downto 0) ; --
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
ch1_updt_active <= ch1_active_i;
ch2_updt_active <= ch2_active_i;
-------------------------------------------------------------------------------
-- Scatter Gather Fetch State Machine
-------------------------------------------------------------------------------
SG_UPDT_MACHINE : process(updt_cs,
ch1_active_i,
ch2_active_i,
service_ch1,
service_ch2,
ch1_updt_curdesc_wren,
-- ch2_updt_curdesc_wren,
updt_error,
updt_done)
begin
-- Default signal assignment
ch1_active_set <= '0';
ch2_active_set <= '0';
write_cmnd_cmb <= '0';
ch1_updt_sm_idle <= '0';
ch2_updt_sm_idle <= '0';
updt_ns <= updt_cs;
case updt_cs is
-------------------------------------------------------------------
when IDLE =>
ch1_updt_sm_idle <= not service_ch1;
ch2_updt_sm_idle <= not service_ch2;
-- error during update - therefore shut down
if(updt_error = '1')then
updt_ns <= UPDATE_ERROR;
-- If channel 1 is running and not idle and queue is not full
-- then fetch descriptor for channel 1
elsif(service_ch1 = '1')then
ch1_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
-- If channel 2 is running and not idle and queue is not full
-- then fetch descriptor for channel 2
elsif(service_ch2 = '1')then
ch2_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
else
updt_ns <= IDLE;
end if;
when GET_UPDATE_PNTR =>
if(ch1_updt_curdesc_wren = '1')then
updt_ns <= UPDATE_DESCRIPTOR;
else
updt_ns <= GET_UPDATE_PNTR;
end if;
-- if(ch1_updt_curdesc_wren = '1' or ch2_updt_curdesc_wren = '1')then
-- updt_ns <= UPDATE_DESCRIPTOR;
-- else
-- updt_ns <= GET_UPDATE_PNTR;
-- end if;
-------------------------------------------------------------------
when UPDATE_DESCRIPTOR =>
-- error during update - therefore shut down
if(updt_error = '1')then
-- coverage off
updt_ns <= UPDATE_ERROR;
-- coverage on
-- write command
else
ch1_updt_sm_idle <= not ch1_active_i and not service_ch1;
ch2_updt_sm_idle <= not ch2_active_i and not service_ch2;
write_cmnd_cmb <= '1';
updt_ns <= UPDATE_STATUS;
end if;
-------------------------------------------------------------------
when UPDATE_STATUS =>
ch1_updt_sm_idle <= not ch1_active_i and not service_ch1;
ch2_updt_sm_idle <= not ch2_active_i and not service_ch2;
-- error during update - therefore shut down
if(updt_error = '1')then
-- coverage off
updt_ns <= UPDATE_ERROR;
-- coverage on
-- wait until done with update
elsif(updt_done = '1')then
-- If just finished fethcing for channel 2 then...
if(ch2_active_i = '1')then
-- If ready, update descriptor for channel 1
if(service_ch1 = '1')then
ch1_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
-- Otherwise return to IDLE
else
updt_ns <= IDLE;
end if;
-- If just finished fethcing for channel 1 then...
elsif(ch1_active_i = '1')then
-- If ready, update descriptor for channel 2
if(service_ch2 = '1')then
ch2_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
-- Otherwise return to IDLE
else
updt_ns <= IDLE;
end if;
else
-- coverage off
updt_ns <= IDLE;
-- coverage on
end if;
else
updt_ns <= UPDATE_STATUS;
end if;
-------------------------------------------------------------------
when UPDATE_ERROR =>
ch1_updt_sm_idle <= '1';
ch2_updt_sm_idle <= '1';
updt_ns <= UPDATE_ERROR;
-------------------------------------------------------------------
-- coverage off
when others =>
updt_ns <= IDLE;
-- coverage on
end case;
end process SG_UPDT_MACHINE;
-------------------------------------------------------------------------------
-- Register states of state machine
-------------------------------------------------------------------------------
REGISTER_STATE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
updt_cs <= IDLE;
else
updt_cs <= updt_ns;
end if;
end if;
end process REGISTER_STATE;
-------------------------------------------------------------------------------
-- Channel included therefore generate fetch logic
-------------------------------------------------------------------------------
GEN_CH1_UPDATE : if C_INCLUDE_CH1 = 1 generate
begin
-------------------------------------------------------------------------------
-- Active channel flag. Indicates which channel is active.
-- 0 = channel active
-- 1 = channel active
-------------------------------------------------------------------------------
CH1_ACTIVE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_active_i <= '0';
elsif(ch1_active_i = '1' and updt_done = '1')then
ch1_active_i <= '0';
elsif(ch1_active_set = '1')then
ch1_active_i <= '1';
end if;
end if;
end process CH1_ACTIVE_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 ready to be serviced?
-------------------------------------------------------------------------------
service_ch1 <= '1' when ch1_updt_queue_empty = '0' -- Queue not empty
and ftch_error = '0' -- No SG Fetch Error
else '0';
-------------------------------------------------------------------------------
-- Channel 1 Interrupt On Complete
-------------------------------------------------------------------------------
CH1_INTR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_ioc_irq_set <= '0';
-- Set interrupt on Done and Descriptor IOC set
elsif(updt_done = '1' and ch1_updt_ioc = '1')then
ch1_updt_ioc_irq_set <= '1';
else
ch1_updt_ioc_irq_set <= '0';
end if;
end if;
end process CH1_INTR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Internal Error
-------------------------------------------------------------------------------
CH1_INTERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_dma_interr_set <= '0';
-- Set internal error on desc updt Done and Internal Error
elsif(updt_done = '1' and ch1_dma_interr = '1')then
ch1_dma_interr_set <= '1';
end if;
end if;
end process CH1_INTERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Slave Error
-------------------------------------------------------------------------------
CH1_SLVERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_dma_slverr_set <= '0';
-- Set slave error on desc updt Done and Slave Error
elsif(updt_done = '1' and ch1_dma_slverr = '1')then
ch1_dma_slverr_set <= '1';
end if;
end if;
end process CH1_SLVERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Decode Error
-------------------------------------------------------------------------------
CH1_DECERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_dma_decerr_set <= '0';
-- Set decode error on desc updt Done and Decode Error
elsif(updt_done = '1' and ch1_dma_decerr = '1')then
ch1_dma_decerr_set <= '1';
end if;
end if;
end process CH1_DECERR_PROCESS;
-------------------------------------------------------------------------------
-- Log Fetch Errors
-------------------------------------------------------------------------------
-- Log Slave Errors reported during descriptor update
SLV_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_slverr_set <= '0';
elsif(ch1_active_i = '1' and updt_slverr = '1')then
ch1_updt_slverr_set <= '1';
end if;
end if;
end process SLV_SET_PROCESS;
-- Log Internal Errors reported during descriptor update
INT_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_interr_set <= '0';
elsif(ch1_active_i = '1' and updt_interr = '1')then
-- coverage off
ch1_updt_interr_set <= '1';
-- coverage on
end if;
end if;
end process INT_SET_PROCESS;
-- Log Decode Errors reported during descriptor update
DEC_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_decerr_set <= '0';
elsif(ch1_active_i = '1' and updt_decerr = '1')then
ch1_updt_decerr_set <= '1';
end if;
end if;
end process DEC_SET_PROCESS;
-- Indicate update is idle if state machine is idle and update queue is empty
IDLE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then
ch1_updt_idle <= '1';
elsif(service_ch1 = '1')then
ch1_updt_idle <= '0';
elsif(service_ch1 = '0' and ch1_updt_sm_idle = '1')then
ch1_updt_idle <= '1';
end if;
end if;
end process IDLE_PROCESS;
---------------------------------------------------------------------------
-- Indicate update is done to allow fetch of next descriptor
-- This is needed to prevent a partial descriptor being fetched
-- and then axi read is throttled for extended periods until the
-- remainder of the descriptor is fetched.
--
-- Note: Only used when fetch queue not inluded otherwise
-- tools optimize out this process
---------------------------------------------------------------------------
REG_CH1_DONE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_done <= '0';
elsif(updt_done = '1' and ch1_active_i = '1')then
ch1_updt_done <= '1';
else
ch1_updt_done <= '0';
end if;
end if;
end process REG_CH1_DONE;
end generate GEN_CH1_UPDATE;
-------------------------------------------------------------------------------
-- Channel excluded therefore do not generate fetch logic
-------------------------------------------------------------------------------
GEN_NO_CH1_UPDATE : if C_INCLUDE_CH1 = 0 generate
begin
service_ch1 <= '0';
ch1_active_i <= '0';
ch1_updt_idle <= '0';
ch1_updt_interr_set <= '0';
ch1_updt_slverr_set <= '0';
ch1_updt_decerr_set <= '0';
ch1_dma_interr_set <= '0';
ch1_dma_slverr_set <= '0';
ch1_dma_decerr_set <= '0';
ch1_updt_ioc_irq_set <= '0';
ch1_updt_done <= '0';
end generate GEN_NO_CH1_UPDATE;
-------------------------------------------------------------------------------
-- Channel included therefore generate fetch logic
-------------------------------------------------------------------------------
GEN_CH2_UPDATE : if C_INCLUDE_CH2 = 1 generate
begin
-------------------------------------------------------------------------------
-- Active channel flag. Indicates which channel is active.
-- 0 = channel active
-- 1 = channel active
-------------------------------------------------------------------------------
CH2_ACTIVE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_active_i <= '0';
elsif(ch2_active_i = '1' and updt_done = '1')then
ch2_active_i <= '0';
elsif(ch2_active_set = '1')then
ch2_active_i <= '1';
end if;
end if;
end process CH2_ACTIVE_PROCESS;
-------------------------------------------------------------------------------
-- Channel 2 ready to be serviced?
-------------------------------------------------------------------------------
service_ch2 <= '1' when ch2_updt_queue_empty = '0' -- Queue not empty
and ftch_error = '0' -- No SG Fetch Error
else '0';
-------------------------------------------------------------------------------
-- Channel 2 Interrupt On Complete
-------------------------------------------------------------------------------
CH2_INTR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_ioc_irq_set <= '0';
-- Set interrupt on Done and Descriptor IOC set
elsif(updt_done = '1' and ch2_updt_ioc = '1')then
ch2_updt_ioc_irq_set <= '1';
else
ch2_updt_ioc_irq_set <= '0';
end if;
end if;
end process CH2_INTR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Internal Error
-------------------------------------------------------------------------------
CH2_INTERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_dma_interr_set <= '0';
-- Set internal error on desc updt Done and Internal Error
elsif(updt_done = '1' and ch2_dma_interr = '1')then
ch2_dma_interr_set <= '1';
end if;
end if;
end process CH2_INTERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Slave Error
-------------------------------------------------------------------------------
CH2_SLVERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_dma_slverr_set <= '0';
-- Set slave error on desc updt Done and Slave Error
elsif(updt_done = '1' and ch2_dma_slverr = '1')then
ch2_dma_slverr_set <= '1';
end if;
end if;
end process CH2_SLVERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Decode Error
-------------------------------------------------------------------------------
CH2_DECERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_dma_decerr_set <= '0';
-- Set decode error on desc updt Done and Decode Error
elsif(updt_done = '1' and ch2_dma_decerr = '1')then
ch2_dma_decerr_set <= '1';
end if;
end if;
end process CH2_DECERR_PROCESS;
-------------------------------------------------------------------------------
-- Log Fetch Errors
-------------------------------------------------------------------------------
-- Log Slave Errors reported during descriptor update
SLV_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_slverr_set <= '0';
elsif(ch2_active_i = '1' and updt_slverr = '1')then
ch2_updt_slverr_set <= '1';
end if;
end if;
end process SLV_SET_PROCESS;
-- Log Internal Errors reported during descriptor update
INT_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_interr_set <= '0';
elsif(ch2_active_i = '1' and updt_interr = '1')then
-- coverage off
ch2_updt_interr_set <= '1';
-- coverage on
end if;
end if;
end process INT_SET_PROCESS;
-- Log Decode Errors reported during descriptor update
DEC_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_decerr_set <= '0';
elsif(ch2_active_i = '1' and updt_decerr = '1')then
ch2_updt_decerr_set <= '1';
end if;
end if;
end process DEC_SET_PROCESS;
-- Indicate update is idle if state machine is idle and update queue is empty
IDLE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then
ch2_updt_idle <= '1';
elsif(service_ch2 = '1')then
ch2_updt_idle <= '0';
elsif(service_ch2 = '0' and ch2_updt_sm_idle = '1')then
ch2_updt_idle <= '1';
end if;
end if;
end process IDLE_PROCESS;
---------------------------------------------------------------------------
-- Indicate update is done to allow fetch of next descriptor
-- This is needed to prevent a partial descriptor being fetched
-- and then axi read is throttled for extended periods until the
-- remainder of the descriptor is fetched.
--
-- Note: Only used when fetch queue not inluded otherwise
-- tools optimize out this process
---------------------------------------------------------------------------
REG_CH2_DONE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_done <= '0';
elsif(updt_done = '1' and ch2_active_i = '1')then
ch2_updt_done <= '1';
else
ch2_updt_done <= '0';
end if;
end if;
end process REG_CH2_DONE;
end generate GEN_CH2_UPDATE;
-------------------------------------------------------------------------------
-- Channel excluded therefore do not generate fetch logic
-------------------------------------------------------------------------------
GEN_NO_CH2_UPDATE : if C_INCLUDE_CH2 = 0 generate
begin
service_ch2 <= '0';
ch2_active_i <= '0';
ch2_updt_idle <= '0';
ch2_updt_interr_set <= '0';
ch2_updt_slverr_set <= '0';
ch2_updt_decerr_set <= '0';
ch2_dma_interr_set <= '0';
ch2_dma_slverr_set <= '0';
ch2_dma_decerr_set <= '0';
ch2_updt_ioc_irq_set <= '0';
ch2_updt_done <= '0';
end generate GEN_NO_CH2_UPDATE;
---------------------------------------------------------------------------
-- Register Current Update Address. Address captured from channel port
-- or queue by axi_sg_updt_queue
---------------------------------------------------------------------------
REG_UPDATE_ADDRESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= (others => '0');
-- update_tag <= "0000";
-- Channel 1 descriptor update pointer
elsif(ch1_updt_curdesc_wren = '1')then
update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch1_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
+ 1);
-- update_tag <= "0001";
-- -- Channel 2 descriptor update pointer
-- elsif(ch2_updt_curdesc_wren = '1')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch2_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
-- + 1);
-- update_tag <= "0000";
end if;
end if;
end process REG_UPDATE_ADDRESS;
update_tag <= "0000" when ch2_active_i = '1' else
"0001";
--REG_UPDATE_ADDRESS : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= (others => '0');
-- update_tag <= "0000";
-- -- Channel 1 descriptor update pointer
-- elsif(ch1_updt_curdesc_wren = '1')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch1_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
-- + 1);
-- update_tag <= "0001";
-- -- Channel 2 descriptor update pointer
-- elsif(ch2_updt_curdesc_wren = '1')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch2_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
-- + 1);
-- update_tag <= "0000";
-- end if;
-- end if;
-- end process REG_UPDATE_ADDRESS;
update_address (3 downto 0) <= "1100";
-- Assigne Bytes to Transfer (BTT)
update_cmd_btt <= UPDATE_CH1_CMD_BTT when ch1_active_i = '1'
else UPDATE_CH2_CMD_BTT;
updt_cmnd_data <= updt_cmnd_data_int;
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- When command by sm, drive command to updt_cmdsts_if
--GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- updt_cmnd_wr <= '0';
-- updt_cmnd_data_int <= (others => '0');
-- -- Fetch SM issued a command write
-- elsif(write_cmnd_cmb = '1')then
updt_cmnd_wr <= write_cmnd_cmb; --'1';
updt_cmnd_data_int <= UPDATE_CMD_RSVD
& update_tag --UPDATE_CMD_TAG
& update_address
& UPDATE_MSB_IGNORED
& UPDATE_CMD_TYPE
& UPDATE_LSB_IGNORED
& update_cmd_btt;
-- else
-- updt_cmnd_wr <= '0';
-- end if;
-- end if;
-- end process GEN_DATAMOVER_CMND;
-------------------------------------------------------------------------------
-- Capture and hold fetch address in case an error occurs
-------------------------------------------------------------------------------
LOG_ERROR_ADDR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
updt_error_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) <= (others => '0');
elsif(write_cmnd_cmb = '1')then
updt_error_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) <= update_address(C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB);
end if;
end if;
end process LOG_ERROR_ADDR;
updt_error_addr (5 downto 0) <= "000000";
end implementation;
|
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_updt_sm.vhd
-- Description: This entity manages updating of descriptors.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_sg_v4_1;
use axi_sg_v4_1.axi_sg_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_updt_sm is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_INCLUDE_CH1 : integer range 0 to 1 := 1;
-- Include or Exclude channel 1 scatter gather engine
-- 0 = Exclude Channel 1 SG Engine
-- 1 = Include Channel 1 SG Engine
C_INCLUDE_CH2 : integer range 0 to 1 := 1;
-- Include or Exclude channel 2 scatter gather engine
-- 0 = Exclude Channel 2 SG Engine
-- 1 = Include Channel 2 SG Engine
C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to fetch
C_SG_CH1_FIRST_UPDATE_WORD : integer range 0 to 15 := 0;
-- Starting update word offset
C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8;
-- Number of words to fetch
C_SG_CH2_FIRST_UPDATE_WORD : integer range 0 to 15 := 0
-- Starting update word offset
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
ftch_error : in std_logic ; --
--
-- Channel 1 Control and Status --
ch1_updt_queue_empty : in std_logic ; --
ch1_updt_curdesc_wren : in std_logic ; --
ch1_updt_curdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch1_updt_ioc : in std_logic ; --
ch1_dma_interr : in std_logic ; --
ch1_dma_slverr : in std_logic ; --
ch1_dma_decerr : in std_logic ; --
ch1_updt_active : out std_logic ; --
ch1_updt_idle : out std_logic ; --
ch1_updt_interr_set : out std_logic ; --
ch1_updt_slverr_set : out std_logic ; --
ch1_updt_decerr_set : out std_logic ; --
ch1_dma_interr_set : out std_logic ; --
ch1_dma_slverr_set : out std_logic ; --
ch1_dma_decerr_set : out std_logic ; --
ch1_updt_ioc_irq_set : out std_logic ; --
ch1_updt_done : out std_logic ; --
--
-- Channel 2 Control and Status --
ch2_updt_queue_empty : in std_logic ; --
-- ch2_updt_curdesc_wren : in std_logic ; --
-- ch2_updt_curdesc : in std_logic_vector --
-- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch2_updt_ioc : in std_logic ; --
ch2_dma_interr : in std_logic ; --
ch2_dma_slverr : in std_logic ; --
ch2_dma_decerr : in std_logic ; --
ch2_updt_active : out std_logic ; --
ch2_updt_idle : out std_logic ; --
ch2_updt_interr_set : out std_logic ; --
ch2_updt_slverr_set : out std_logic ; --
ch2_updt_decerr_set : out std_logic ; --
ch2_dma_interr_set : out std_logic ; --
ch2_dma_slverr_set : out std_logic ; --
ch2_dma_decerr_set : out std_logic ; --
ch2_updt_ioc_irq_set : out std_logic ; --
ch2_updt_done : out std_logic ; --
--
-- DataMover Command --
updt_cmnd_wr : out std_logic ; --
updt_cmnd_data : out std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH --
+CMD_BASE_WIDTH)-1 downto 0) ; --
-- DataMover Status --
updt_done : in std_logic ; --
updt_error : in std_logic ; --
updt_interr : in std_logic ; --
updt_slverr : in std_logic ; --
updt_decerr : in std_logic ; --
updt_error_addr : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) --
);
end axi_sg_updt_sm;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_updt_sm is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
attribute mark_debug : string;
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- DataMover Commmand TAG
constant UPDATE_CMD_TAG : std_logic_vector(3 downto 0) := (others => '0');
-- DataMover Command Type
-- Always set to INCR type
constant UPDATE_CMD_TYPE : std_logic := '1';
-- DataMover Cmnd Reserved Bits
constant UPDATE_MSB_IGNORED : std_logic_vector(7 downto 0) := (others => '0');
-- DataMover Cmnd Reserved Bits
constant UPDATE_LSB_IGNORED : std_logic_vector(15 downto 0) := (others => '0');
-- DataMover Cmnd Bytes to Xfer for Channel 1
constant UPDATE_CH1_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(
(C_SG_CH1_WORDS_TO_UPDATE*4),SG_BTT_WIDTH));
-- DataMover Cmnd Bytes to Xfer for Channel 2
constant UPDATE_CH2_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(
(C_SG_CH2_WORDS_TO_UPDATE*4),SG_BTT_WIDTH));
-- DataMover Cmnd Reserved Bits
constant UPDATE_CMD_RSVD : std_logic_vector(
DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_SG_ADDR_WIDTH downto
DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_SG_ADDR_WIDTH)
:= (others => '0');
-- DataMover Cmnd Address Offset for channel 1
constant UPDATE_CH1_ADDR_OFFSET : integer := C_SG_CH1_FIRST_UPDATE_WORD*4;
-- DataMover Cmnd Address Offset for channel 2
constant UPDATE_CH2_ADDR_OFFSET : integer := C_SG_CH2_FIRST_UPDATE_WORD*4;
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
type SG_UPDATE_STATE_TYPE is (
IDLE,
GET_UPDATE_PNTR,
UPDATE_DESCRIPTOR,
UPDATE_STATUS,
UPDATE_ERROR
);
signal updt_cs : SG_UPDATE_STATE_TYPE;
signal updt_ns : SG_UPDATE_STATE_TYPE;
-- State Machine Signals
signal ch1_active_set : std_logic := '0';
signal ch2_active_set : std_logic := '0';
signal write_cmnd_cmb : std_logic := '0';
signal ch1_updt_sm_idle : std_logic := '0';
signal ch2_updt_sm_idle : std_logic := '0';
-- Misc Signals
signal ch1_active_i : std_logic := '0';
signal service_ch1 : std_logic := '0';
signal ch2_active_i : std_logic := '0';
signal service_ch2 : std_logic := '0';
attribute mark_debug of ch1_active_i: signal is "true";
attribute mark_debug of ch2_active_i: signal is "true";
signal update_address : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0');
signal update_cmd_btt : std_logic_vector
(SG_BTT_WIDTH-1 downto 0) := (others => '0');
signal update_tag : std_logic_vector (3 downto 0);
signal updt_ioc_irq_set : std_logic := '0';
signal ch1_interr_catch : std_logic := '0';
signal ch2_interr_catch : std_logic := '0';
signal ch1_decerr_catch : std_logic := '0';
signal ch2_decerr_catch : std_logic := '0';
signal ch1_slverr_catch : std_logic := '0';
signal ch2_slverr_catch : std_logic := '0';
signal updt_cmnd_data_int : std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH --
+CMD_BASE_WIDTH)-1 downto 0) ; --
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
ch1_updt_active <= ch1_active_i;
ch2_updt_active <= ch2_active_i;
-------------------------------------------------------------------------------
-- Scatter Gather Fetch State Machine
-------------------------------------------------------------------------------
SG_UPDT_MACHINE : process(updt_cs,
ch1_active_i,
ch2_active_i,
service_ch1,
service_ch2,
ch1_updt_curdesc_wren,
-- ch2_updt_curdesc_wren,
updt_error,
updt_done)
begin
-- Default signal assignment
ch1_active_set <= '0';
ch2_active_set <= '0';
write_cmnd_cmb <= '0';
ch1_updt_sm_idle <= '0';
ch2_updt_sm_idle <= '0';
updt_ns <= updt_cs;
case updt_cs is
-------------------------------------------------------------------
when IDLE =>
ch1_updt_sm_idle <= not service_ch1;
ch2_updt_sm_idle <= not service_ch2;
-- error during update - therefore shut down
if(updt_error = '1')then
updt_ns <= UPDATE_ERROR;
-- If channel 1 is running and not idle and queue is not full
-- then fetch descriptor for channel 1
elsif(service_ch1 = '1')then
ch1_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
-- If channel 2 is running and not idle and queue is not full
-- then fetch descriptor for channel 2
elsif(service_ch2 = '1')then
ch2_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
else
updt_ns <= IDLE;
end if;
when GET_UPDATE_PNTR =>
if(ch1_updt_curdesc_wren = '1')then
updt_ns <= UPDATE_DESCRIPTOR;
else
updt_ns <= GET_UPDATE_PNTR;
end if;
-- if(ch1_updt_curdesc_wren = '1' or ch2_updt_curdesc_wren = '1')then
-- updt_ns <= UPDATE_DESCRIPTOR;
-- else
-- updt_ns <= GET_UPDATE_PNTR;
-- end if;
-------------------------------------------------------------------
when UPDATE_DESCRIPTOR =>
-- error during update - therefore shut down
if(updt_error = '1')then
-- coverage off
updt_ns <= UPDATE_ERROR;
-- coverage on
-- write command
else
ch1_updt_sm_idle <= not ch1_active_i and not service_ch1;
ch2_updt_sm_idle <= not ch2_active_i and not service_ch2;
write_cmnd_cmb <= '1';
updt_ns <= UPDATE_STATUS;
end if;
-------------------------------------------------------------------
when UPDATE_STATUS =>
ch1_updt_sm_idle <= not ch1_active_i and not service_ch1;
ch2_updt_sm_idle <= not ch2_active_i and not service_ch2;
-- error during update - therefore shut down
if(updt_error = '1')then
-- coverage off
updt_ns <= UPDATE_ERROR;
-- coverage on
-- wait until done with update
elsif(updt_done = '1')then
-- If just finished fethcing for channel 2 then...
if(ch2_active_i = '1')then
-- If ready, update descriptor for channel 1
if(service_ch1 = '1')then
ch1_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
-- Otherwise return to IDLE
else
updt_ns <= IDLE;
end if;
-- If just finished fethcing for channel 1 then...
elsif(ch1_active_i = '1')then
-- If ready, update descriptor for channel 2
if(service_ch2 = '1')then
ch2_active_set <= '1';
updt_ns <= GET_UPDATE_PNTR;
-- Otherwise return to IDLE
else
updt_ns <= IDLE;
end if;
else
-- coverage off
updt_ns <= IDLE;
-- coverage on
end if;
else
updt_ns <= UPDATE_STATUS;
end if;
-------------------------------------------------------------------
when UPDATE_ERROR =>
ch1_updt_sm_idle <= '1';
ch2_updt_sm_idle <= '1';
updt_ns <= UPDATE_ERROR;
-------------------------------------------------------------------
-- coverage off
when others =>
updt_ns <= IDLE;
-- coverage on
end case;
end process SG_UPDT_MACHINE;
-------------------------------------------------------------------------------
-- Register states of state machine
-------------------------------------------------------------------------------
REGISTER_STATE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
updt_cs <= IDLE;
else
updt_cs <= updt_ns;
end if;
end if;
end process REGISTER_STATE;
-------------------------------------------------------------------------------
-- Channel included therefore generate fetch logic
-------------------------------------------------------------------------------
GEN_CH1_UPDATE : if C_INCLUDE_CH1 = 1 generate
begin
-------------------------------------------------------------------------------
-- Active channel flag. Indicates which channel is active.
-- 0 = channel active
-- 1 = channel active
-------------------------------------------------------------------------------
CH1_ACTIVE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_active_i <= '0';
elsif(ch1_active_i = '1' and updt_done = '1')then
ch1_active_i <= '0';
elsif(ch1_active_set = '1')then
ch1_active_i <= '1';
end if;
end if;
end process CH1_ACTIVE_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 ready to be serviced?
-------------------------------------------------------------------------------
service_ch1 <= '1' when ch1_updt_queue_empty = '0' -- Queue not empty
and ftch_error = '0' -- No SG Fetch Error
else '0';
-------------------------------------------------------------------------------
-- Channel 1 Interrupt On Complete
-------------------------------------------------------------------------------
CH1_INTR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_ioc_irq_set <= '0';
-- Set interrupt on Done and Descriptor IOC set
elsif(updt_done = '1' and ch1_updt_ioc = '1')then
ch1_updt_ioc_irq_set <= '1';
else
ch1_updt_ioc_irq_set <= '0';
end if;
end if;
end process CH1_INTR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Internal Error
-------------------------------------------------------------------------------
CH1_INTERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_dma_interr_set <= '0';
-- Set internal error on desc updt Done and Internal Error
elsif(updt_done = '1' and ch1_dma_interr = '1')then
ch1_dma_interr_set <= '1';
end if;
end if;
end process CH1_INTERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Slave Error
-------------------------------------------------------------------------------
CH1_SLVERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_dma_slverr_set <= '0';
-- Set slave error on desc updt Done and Slave Error
elsif(updt_done = '1' and ch1_dma_slverr = '1')then
ch1_dma_slverr_set <= '1';
end if;
end if;
end process CH1_SLVERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Decode Error
-------------------------------------------------------------------------------
CH1_DECERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_dma_decerr_set <= '0';
-- Set decode error on desc updt Done and Decode Error
elsif(updt_done = '1' and ch1_dma_decerr = '1')then
ch1_dma_decerr_set <= '1';
end if;
end if;
end process CH1_DECERR_PROCESS;
-------------------------------------------------------------------------------
-- Log Fetch Errors
-------------------------------------------------------------------------------
-- Log Slave Errors reported during descriptor update
SLV_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_slverr_set <= '0';
elsif(ch1_active_i = '1' and updt_slverr = '1')then
ch1_updt_slverr_set <= '1';
end if;
end if;
end process SLV_SET_PROCESS;
-- Log Internal Errors reported during descriptor update
INT_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_interr_set <= '0';
elsif(ch1_active_i = '1' and updt_interr = '1')then
-- coverage off
ch1_updt_interr_set <= '1';
-- coverage on
end if;
end if;
end process INT_SET_PROCESS;
-- Log Decode Errors reported during descriptor update
DEC_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_decerr_set <= '0';
elsif(ch1_active_i = '1' and updt_decerr = '1')then
ch1_updt_decerr_set <= '1';
end if;
end if;
end process DEC_SET_PROCESS;
-- Indicate update is idle if state machine is idle and update queue is empty
IDLE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then
ch1_updt_idle <= '1';
elsif(service_ch1 = '1')then
ch1_updt_idle <= '0';
elsif(service_ch1 = '0' and ch1_updt_sm_idle = '1')then
ch1_updt_idle <= '1';
end if;
end if;
end process IDLE_PROCESS;
---------------------------------------------------------------------------
-- Indicate update is done to allow fetch of next descriptor
-- This is needed to prevent a partial descriptor being fetched
-- and then axi read is throttled for extended periods until the
-- remainder of the descriptor is fetched.
--
-- Note: Only used when fetch queue not inluded otherwise
-- tools optimize out this process
---------------------------------------------------------------------------
REG_CH1_DONE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch1_updt_done <= '0';
elsif(updt_done = '1' and ch1_active_i = '1')then
ch1_updt_done <= '1';
else
ch1_updt_done <= '0';
end if;
end if;
end process REG_CH1_DONE;
end generate GEN_CH1_UPDATE;
-------------------------------------------------------------------------------
-- Channel excluded therefore do not generate fetch logic
-------------------------------------------------------------------------------
GEN_NO_CH1_UPDATE : if C_INCLUDE_CH1 = 0 generate
begin
service_ch1 <= '0';
ch1_active_i <= '0';
ch1_updt_idle <= '0';
ch1_updt_interr_set <= '0';
ch1_updt_slverr_set <= '0';
ch1_updt_decerr_set <= '0';
ch1_dma_interr_set <= '0';
ch1_dma_slverr_set <= '0';
ch1_dma_decerr_set <= '0';
ch1_updt_ioc_irq_set <= '0';
ch1_updt_done <= '0';
end generate GEN_NO_CH1_UPDATE;
-------------------------------------------------------------------------------
-- Channel included therefore generate fetch logic
-------------------------------------------------------------------------------
GEN_CH2_UPDATE : if C_INCLUDE_CH2 = 1 generate
begin
-------------------------------------------------------------------------------
-- Active channel flag. Indicates which channel is active.
-- 0 = channel active
-- 1 = channel active
-------------------------------------------------------------------------------
CH2_ACTIVE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_active_i <= '0';
elsif(ch2_active_i = '1' and updt_done = '1')then
ch2_active_i <= '0';
elsif(ch2_active_set = '1')then
ch2_active_i <= '1';
end if;
end if;
end process CH2_ACTIVE_PROCESS;
-------------------------------------------------------------------------------
-- Channel 2 ready to be serviced?
-------------------------------------------------------------------------------
service_ch2 <= '1' when ch2_updt_queue_empty = '0' -- Queue not empty
and ftch_error = '0' -- No SG Fetch Error
else '0';
-------------------------------------------------------------------------------
-- Channel 2 Interrupt On Complete
-------------------------------------------------------------------------------
CH2_INTR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_ioc_irq_set <= '0';
-- Set interrupt on Done and Descriptor IOC set
elsif(updt_done = '1' and ch2_updt_ioc = '1')then
ch2_updt_ioc_irq_set <= '1';
else
ch2_updt_ioc_irq_set <= '0';
end if;
end if;
end process CH2_INTR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Internal Error
-------------------------------------------------------------------------------
CH2_INTERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_dma_interr_set <= '0';
-- Set internal error on desc updt Done and Internal Error
elsif(updt_done = '1' and ch2_dma_interr = '1')then
ch2_dma_interr_set <= '1';
end if;
end if;
end process CH2_INTERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Slave Error
-------------------------------------------------------------------------------
CH2_SLVERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_dma_slverr_set <= '0';
-- Set slave error on desc updt Done and Slave Error
elsif(updt_done = '1' and ch2_dma_slverr = '1')then
ch2_dma_slverr_set <= '1';
end if;
end if;
end process CH2_SLVERR_PROCESS;
-------------------------------------------------------------------------------
-- Channel 1 DMA Decode Error
-------------------------------------------------------------------------------
CH2_DECERR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_dma_decerr_set <= '0';
-- Set decode error on desc updt Done and Decode Error
elsif(updt_done = '1' and ch2_dma_decerr = '1')then
ch2_dma_decerr_set <= '1';
end if;
end if;
end process CH2_DECERR_PROCESS;
-------------------------------------------------------------------------------
-- Log Fetch Errors
-------------------------------------------------------------------------------
-- Log Slave Errors reported during descriptor update
SLV_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_slverr_set <= '0';
elsif(ch2_active_i = '1' and updt_slverr = '1')then
ch2_updt_slverr_set <= '1';
end if;
end if;
end process SLV_SET_PROCESS;
-- Log Internal Errors reported during descriptor update
INT_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_interr_set <= '0';
elsif(ch2_active_i = '1' and updt_interr = '1')then
-- coverage off
ch2_updt_interr_set <= '1';
-- coverage on
end if;
end if;
end process INT_SET_PROCESS;
-- Log Decode Errors reported during descriptor update
DEC_SET_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_decerr_set <= '0';
elsif(ch2_active_i = '1' and updt_decerr = '1')then
ch2_updt_decerr_set <= '1';
end if;
end if;
end process DEC_SET_PROCESS;
-- Indicate update is idle if state machine is idle and update queue is empty
IDLE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then
ch2_updt_idle <= '1';
elsif(service_ch2 = '1')then
ch2_updt_idle <= '0';
elsif(service_ch2 = '0' and ch2_updt_sm_idle = '1')then
ch2_updt_idle <= '1';
end if;
end if;
end process IDLE_PROCESS;
---------------------------------------------------------------------------
-- Indicate update is done to allow fetch of next descriptor
-- This is needed to prevent a partial descriptor being fetched
-- and then axi read is throttled for extended periods until the
-- remainder of the descriptor is fetched.
--
-- Note: Only used when fetch queue not inluded otherwise
-- tools optimize out this process
---------------------------------------------------------------------------
REG_CH2_DONE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ch2_updt_done <= '0';
elsif(updt_done = '1' and ch2_active_i = '1')then
ch2_updt_done <= '1';
else
ch2_updt_done <= '0';
end if;
end if;
end process REG_CH2_DONE;
end generate GEN_CH2_UPDATE;
-------------------------------------------------------------------------------
-- Channel excluded therefore do not generate fetch logic
-------------------------------------------------------------------------------
GEN_NO_CH2_UPDATE : if C_INCLUDE_CH2 = 0 generate
begin
service_ch2 <= '0';
ch2_active_i <= '0';
ch2_updt_idle <= '0';
ch2_updt_interr_set <= '0';
ch2_updt_slverr_set <= '0';
ch2_updt_decerr_set <= '0';
ch2_dma_interr_set <= '0';
ch2_dma_slverr_set <= '0';
ch2_dma_decerr_set <= '0';
ch2_updt_ioc_irq_set <= '0';
ch2_updt_done <= '0';
end generate GEN_NO_CH2_UPDATE;
---------------------------------------------------------------------------
-- Register Current Update Address. Address captured from channel port
-- or queue by axi_sg_updt_queue
---------------------------------------------------------------------------
REG_UPDATE_ADDRESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= (others => '0');
-- update_tag <= "0000";
-- Channel 1 descriptor update pointer
elsif(ch1_updt_curdesc_wren = '1')then
update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch1_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
+ 1);
-- update_tag <= "0001";
-- -- Channel 2 descriptor update pointer
-- elsif(ch2_updt_curdesc_wren = '1')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch2_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
-- + 1);
-- update_tag <= "0000";
end if;
end if;
end process REG_UPDATE_ADDRESS;
update_tag <= "0000" when ch2_active_i = '1' else
"0001";
--REG_UPDATE_ADDRESS : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= (others => '0');
-- update_tag <= "0000";
-- -- Channel 1 descriptor update pointer
-- elsif(ch1_updt_curdesc_wren = '1')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch1_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
-- + 1);
-- update_tag <= "0001";
-- -- Channel 2 descriptor update pointer
-- elsif(ch2_updt_curdesc_wren = '1')then
-- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch2_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4))
-- + 1);
-- update_tag <= "0000";
-- end if;
-- end if;
-- end process REG_UPDATE_ADDRESS;
update_address (3 downto 0) <= "1100";
-- Assigne Bytes to Transfer (BTT)
update_cmd_btt <= UPDATE_CH1_CMD_BTT when ch1_active_i = '1'
else UPDATE_CH2_CMD_BTT;
updt_cmnd_data <= updt_cmnd_data_int;
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- When command by sm, drive command to updt_cmdsts_if
--GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- updt_cmnd_wr <= '0';
-- updt_cmnd_data_int <= (others => '0');
-- -- Fetch SM issued a command write
-- elsif(write_cmnd_cmb = '1')then
updt_cmnd_wr <= write_cmnd_cmb; --'1';
updt_cmnd_data_int <= UPDATE_CMD_RSVD
& update_tag --UPDATE_CMD_TAG
& update_address
& UPDATE_MSB_IGNORED
& UPDATE_CMD_TYPE
& UPDATE_LSB_IGNORED
& update_cmd_btt;
-- else
-- updt_cmnd_wr <= '0';
-- end if;
-- end if;
-- end process GEN_DATAMOVER_CMND;
-------------------------------------------------------------------------------
-- Capture and hold fetch address in case an error occurs
-------------------------------------------------------------------------------
LOG_ERROR_ADDR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
updt_error_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) <= (others => '0');
elsif(write_cmnd_cmb = '1')then
updt_error_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) <= update_address(C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB);
end if;
end if;
end process LOG_ERROR_ADDR;
updt_error_addr (5 downto 0) <= "000000";
end implementation;
|
entity e is
attribute foo : integer;
attribute foo of e : entity is 55;
constant c : integer := 1;
begin
pass : assert (e'foo = 55 and c = 1) -- OK
report "unexpected"
severity failure;
end entity;
package pack is
end package;
architecture test of e is
constant d : integer := c + 1; -- OK
begin
process is
begin
report integer'image(e'foo); -- OK
end process;
recur: entity work.e(invalid) -- OK (until elaboration)
;
bad: entity work.pack; -- Error
end architecture;
architecture a of pack is -- Error
begin
end architecture;
-------------------------------------------------------------------------------
entity edecls is
generic ( N : positive );
subtype my_int is integer range 1 to N + 1; -- OK, globally static
end entity;
architecture test of edecls is
signal x : my_int; -- OK
begin
end architecture;
-------------------------------------------------------------------------------
entity statement_part is
port ( x : in integer;
y : out integer );
begin
assert x < 4; -- OK
process (x) is
begin
assert x < 10; -- OK
end process;
process (x) is
begin
y <= x + 1; -- Error
end process;
end entity;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc344.vhd,v 1.2 2001-10-26 16:29:53 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s02b01x00p09n01i00344ent IS
END c03s02b01x00p09n01i00344ent;
ARCHITECTURE c03s02b01x00p09n01i00344arch OF c03s02b01x00p09n01i00344ent IS
BEGIN
TESTING: PROCESS
type T_A1_S is ARRAY(INTEGER range <>) of INTEGER;
subtype ST_A1_S is T_A1_S(INTEGER range 1 to 3);
variable V_A1_S : ST_A1_S;
BEGIN
V_A1_S(1) := 11;
V_A1_S(2) := 22;
V_A1_S(3) := 33;
wait for 5 ns;
assert NOT( V_A1_S(1) = 11 and
V_A1_S(2) = 22 and
V_A1_S(3) = 33 )
report "***PASSED TEST: c03s02b01x00p09n01i00344"
severity NOTE;
assert ( V_A1_S(1) = 11 and
V_A1_S(2) = 22 and
V_A1_S(3) = 33 )
report "***FAILED TEST: c03s02b01x00p09n01i00344 - For each possible index value there should be a distinct element."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x00p09n01i00344arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc344.vhd,v 1.2 2001-10-26 16:29:53 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s02b01x00p09n01i00344ent IS
END c03s02b01x00p09n01i00344ent;
ARCHITECTURE c03s02b01x00p09n01i00344arch OF c03s02b01x00p09n01i00344ent IS
BEGIN
TESTING: PROCESS
type T_A1_S is ARRAY(INTEGER range <>) of INTEGER;
subtype ST_A1_S is T_A1_S(INTEGER range 1 to 3);
variable V_A1_S : ST_A1_S;
BEGIN
V_A1_S(1) := 11;
V_A1_S(2) := 22;
V_A1_S(3) := 33;
wait for 5 ns;
assert NOT( V_A1_S(1) = 11 and
V_A1_S(2) = 22 and
V_A1_S(3) = 33 )
report "***PASSED TEST: c03s02b01x00p09n01i00344"
severity NOTE;
assert ( V_A1_S(1) = 11 and
V_A1_S(2) = 22 and
V_A1_S(3) = 33 )
report "***FAILED TEST: c03s02b01x00p09n01i00344 - For each possible index value there should be a distinct element."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x00p09n01i00344arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc344.vhd,v 1.2 2001-10-26 16:29:53 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s02b01x00p09n01i00344ent IS
END c03s02b01x00p09n01i00344ent;
ARCHITECTURE c03s02b01x00p09n01i00344arch OF c03s02b01x00p09n01i00344ent IS
BEGIN
TESTING: PROCESS
type T_A1_S is ARRAY(INTEGER range <>) of INTEGER;
subtype ST_A1_S is T_A1_S(INTEGER range 1 to 3);
variable V_A1_S : ST_A1_S;
BEGIN
V_A1_S(1) := 11;
V_A1_S(2) := 22;
V_A1_S(3) := 33;
wait for 5 ns;
assert NOT( V_A1_S(1) = 11 and
V_A1_S(2) = 22 and
V_A1_S(3) = 33 )
report "***PASSED TEST: c03s02b01x00p09n01i00344"
severity NOTE;
assert ( V_A1_S(1) = 11 and
V_A1_S(2) = 22 and
V_A1_S(3) = 33 )
report "***FAILED TEST: c03s02b01x00p09n01i00344 - For each possible index value there should be a distinct element."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x00p09n01i00344arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc689.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:38:03 1996 --
-- **************************** --
ENTITY c03s04b01x00p23n01i00689ent IS
END c03s04b01x00p23n01i00689ent;
ARCHITECTURE c03s04b01x00p23n01i00689arch OF c03s04b01x00p23n01i00689ent IS
BEGIN
TESTING: PROCESS
-- Declare the type and the file.
type FT is file of BIT;
-- Declare the actual file to write.
file FILEV : FT open write_mode is "iofile.08";
-- Declare a variable.
constant CON : BIT := '1';
variable VAR : BIT := CON;
BEGIN
-- Write out the file.
for I in 1 to 100 loop
WRITE( FILEV,VAR );
end loop;
assert FALSE
report "***PASSED TEST: c03s04b01x00p23n01i00689 - The output file will tested by test file s010424.vhd"
severity NOTE;
wait;
END PROCESS TESTING;
END c03s04b01x00p23n01i00689arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc689.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:38:03 1996 --
-- **************************** --
ENTITY c03s04b01x00p23n01i00689ent IS
END c03s04b01x00p23n01i00689ent;
ARCHITECTURE c03s04b01x00p23n01i00689arch OF c03s04b01x00p23n01i00689ent IS
BEGIN
TESTING: PROCESS
-- Declare the type and the file.
type FT is file of BIT;
-- Declare the actual file to write.
file FILEV : FT open write_mode is "iofile.08";
-- Declare a variable.
constant CON : BIT := '1';
variable VAR : BIT := CON;
BEGIN
-- Write out the file.
for I in 1 to 100 loop
WRITE( FILEV,VAR );
end loop;
assert FALSE
report "***PASSED TEST: c03s04b01x00p23n01i00689 - The output file will tested by test file s010424.vhd"
severity NOTE;
wait;
END PROCESS TESTING;
END c03s04b01x00p23n01i00689arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc689.vhd,v 1.3 2001-10-29 02:12:46 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
-- **************************** --
-- Ported to VHDL 93 by port93.pl - Tue Nov 5 16:38:03 1996 --
-- **************************** --
ENTITY c03s04b01x00p23n01i00689ent IS
END c03s04b01x00p23n01i00689ent;
ARCHITECTURE c03s04b01x00p23n01i00689arch OF c03s04b01x00p23n01i00689ent IS
BEGIN
TESTING: PROCESS
-- Declare the type and the file.
type FT is file of BIT;
-- Declare the actual file to write.
file FILEV : FT open write_mode is "iofile.08";
-- Declare a variable.
constant CON : BIT := '1';
variable VAR : BIT := CON;
BEGIN
-- Write out the file.
for I in 1 to 100 loop
WRITE( FILEV,VAR );
end loop;
assert FALSE
report "***PASSED TEST: c03s04b01x00p23n01i00689 - The output file will tested by test file s010424.vhd"
severity NOTE;
wait;
END PROCESS TESTING;
END c03s04b01x00p23n01i00689arch;
|
-- HPS
constant CFG_HPS2FPGA : integer := CONFIG_HPS2FPGA;
constant CFG_FPGA2HPS : integer := CONFIG_FPGA2HPS;
constant CFG_HPS_RESET : integer := CONFIG_HPS_RESET;
|
-- HPS
constant CFG_HPS2FPGA : integer := CONFIG_HPS2FPGA;
constant CFG_FPGA2HPS : integer := CONFIG_FPGA2HPS;
constant CFG_HPS_RESET : integer := CONFIG_HPS_RESET;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: leon3sh
-- File: leon3sh.vhd
-- Author: Jan Andersson, Aeroflex Gaisler
-- Description: Top-level LEON3 component
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.leon3.all;
entity leon3sh is
generic (
hindex : integer := 0;
fabtech : integer range 0 to NTECH := DEFFABTECH;
memtech : integer range 0 to NTECH := DEFMEMTECH;
nwindows : integer range 2 to 32 := 8;
dsu : integer range 0 to 1 := 0;
fpu : integer range 0 to 63 := 0;
v8 : integer range 0 to 63 := 0;
cp : integer range 0 to 1 := 0;
mac : integer range 0 to 1 := 0;
pclow : integer range 0 to 2 := 2;
notag : integer range 0 to 1 := 0;
nwp : integer range 0 to 4 := 0;
icen : integer range 0 to 1 := 0;
irepl : integer range 0 to 3 := 2;
isets : integer range 1 to 4 := 1;
ilinesize : integer range 4 to 8 := 4;
isetsize : integer range 1 to 256 := 1;
isetlock : integer range 0 to 1 := 0;
dcen : integer range 0 to 1 := 0;
drepl : integer range 0 to 3 := 2;
dsets : integer range 1 to 4 := 1;
dlinesize : integer range 4 to 8 := 4;
dsetsize : integer range 1 to 256 := 1;
dsetlock : integer range 0 to 1 := 0;
dsnoop : integer range 0 to 6 := 0;
ilram : integer range 0 to 1 := 0;
ilramsize : integer range 1 to 512 := 1;
ilramstart : integer range 0 to 255 := 16#8e#;
dlram : integer range 0 to 1 := 0;
dlramsize : integer range 1 to 512 := 1;
dlramstart : integer range 0 to 255 := 16#8f#;
mmuen : integer range 0 to 1 := 0;
itlbnum : integer range 2 to 64 := 8;
dtlbnum : integer range 2 to 64 := 8;
tlb_type : integer range 0 to 3 := 1;
tlb_rep : integer range 0 to 1 := 0;
lddel : integer range 1 to 2 := 2;
disas : integer range 0 to 2 := 0;
tbuf : integer range 0 to 128 := 0;
pwd : integer range 0 to 2 := 2; -- power-down
svt : integer range 0 to 1 := 1; -- single vector trapping
rstaddr : integer := 0;
smp : integer range 0 to 15 := 0; -- support SMP systems
cached : integer := 0; -- cacheability table
scantest : integer := 0;
mmupgsz : integer range 0 to 5 := 0;
bp : integer := 1;
npasi : integer range 0 to 1 := 0;
pwrpsr : integer range 0 to 1 := 0
);
port (
clk : in std_ulogic;
rstn : in std_ulogic;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : in ahb_slv_out_vector;
irqi : in l3_irq_in_type;
irqo : out l3_irq_out_type;
dbgi : in l3_debug_in_type;
dbgo : out l3_debug_out_type;
fpui : out grfpu_in_type;
fpuo : in grfpu_out_type
);
end;
architecture rtl of leon3sh is
signal gnd, vcc : std_logic;
begin
gnd <= '0'; vcc <= '1';
leon3x0 : leon3x
generic map (
hindex => hindex,
fabtech => fabtech,
memtech => memtech,
nwindows => nwindows,
dsu => dsu,
fpu => fpu,
v8 => v8,
cp => cp,
mac => mac,
pclow => pclow,
notag => notag,
nwp => nwp,
icen => icen,
irepl => irepl,
isets => isets,
ilinesize => ilinesize,
isetsize => isetsize,
isetlock => isetlock,
dcen => dcen,
drepl => drepl,
dsets => dsets,
dlinesize => dlinesize,
dsetsize => dsetsize,
dsetlock => dsetlock,
dsnoop => dsnoop,
ilram => ilram,
ilramsize => ilramsize,
ilramstart => ilramstart,
dlram => dlram,
dlramsize => dlramsize,
dlramstart => dlramstart,
mmuen => mmuen,
itlbnum => itlbnum,
dtlbnum => dtlbnum,
tlb_type => tlb_type,
tlb_rep => tlb_rep,
lddel => lddel,
disas => disas,
tbuf => tbuf,
pwd => pwd,
svt => svt,
rstaddr => rstaddr,
smp => smp,
iuft => 0,
fpft => 0,
cmft => 0,
iuinj => 0,
ceinj => 0,
cached => cached,
clk2x => 0,
netlist => 0,
scantest => scantest,
mmupgsz => mmupgsz,
bp => bp,
npasi => npasi,
pwrpsr => pwrpsr)
port map (
clk => gnd,
gclk2 => clk,
gfclk2 => clk,
clk2 => clk,
rstn => rstn,
ahbi => ahbi,
ahbo => ahbo,
ahbsi => ahbsi,
ahbso => ahbso,
irqi => irqi,
irqo => irqo,
dbgi => dbgi,
dbgo => dbgo,
fpui => fpui,
fpuo => fpuo,
clken => vcc
);
end;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: ddr2spa
-- File: ddr2spa.vhd
-- Author: Nils-Johan Wessman - Gaisler Research
-- Description: 16-, 32- or 64-bit DDR2 memory controller module.
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
library gaisler;
use grlib.devices.all;
use gaisler.ddrpkg.all;
library techmap;
use techmap.gencomp.all;
entity ddr2spa is
generic (
fabtech : integer := virtex4;
memtech : integer := 0;
rskew : integer := 0;
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#f00#;
ioaddr : integer := 16#000#;
iomask : integer := 16#fff#;
MHz : integer := 100;
TRFC : integer := 130;
clkmul : integer := 2;
clkdiv : integer := 2;
col : integer := 9;
Mbyte : integer := 16;
rstdel : integer := 200;
pwron : integer := 0;
oepol : integer := 0;
ddrbits : integer := 16;
ahbfreq : integer := 50;
readdly : integer := 1; -- 1 added read latency cycle
ddelayb0 : integer := 0; -- Data delay value (0 - 63)
ddelayb1 : integer := 0; -- Data delay value (0 - 63)
ddelayb2 : integer := 0; -- Data delay value (0 - 63)
ddelayb3 : integer := 0; -- Data delay value (0 - 63)
ddelayb4 : integer := 0; -- Data delay value (0 - 63)
ddelayb5 : integer := 0; -- Data delay value (0 - 63)
ddelayb6 : integer := 0; -- Data delay value (0 - 63)
ddelayb7 : integer := 0; -- Data delay value (0 - 63)
cbdelayb0 : integer := 0; -- Data delay value (0 - 63)
cbdelayb1 : integer := 0; -- Data delay value (0 - 63)
cbdelayb2 : integer := 0; -- Data delay value (0 - 63)
cbdelayb3 : integer := 0; -- Data delay value (0 - 63)
numidelctrl : integer := 4;
norefclk : integer := 0;
odten : integer := 0;
octen : integer := 0;
dqsgating : integer := 0;
nosync : integer := 0; -- Disable sync registers at CD crossings
eightbanks : integer range 0 to 1 := 0;
dqsse : integer range 0 to 1 := 0; -- single ended DQS
burstlen : integer range 4 to 128 := 8;
ahbbits : integer := ahbdw;
ft : integer range 0 to 1 := 0;
ftbits : integer := 0;
bigmem : integer range 0 to 1 := 0;
raspipe : integer range 0 to 1 := 0;
nclk : integer range 1 to 3 := 3;
scantest : integer := 0;
ncs : integer := 2;
cke_rst : integer := 0;
pipe_ctrl : integer := 0
);
port (
rst_ddr : in std_ulogic;
rst_ahb : in std_ulogic;
clk_ddr : in std_ulogic;
clk_ahb : in std_ulogic;
clkref200 : in std_logic;
lock : out std_ulogic; -- DCM locked
clkddro : out std_ulogic; -- DDR clock
clkddri : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
ddr_clk : out std_logic_vector(nclk-1 downto 0);
ddr_clkb : out std_logic_vector(nclk-1 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(1 downto 0);
ddr_csb : out std_logic_vector(1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector((ddrbits+ftbits)/8-1 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector((ddrbits+ftbits)/8-1 downto 0); -- ddr dqs
ddr_dqsn : inout std_logic_vector((ddrbits+ftbits)/8-1 downto 0); -- ddr dqsn
ddr_ad : out std_logic_vector(13 downto 0); -- ddr address
ddr_ba : out std_logic_vector(1+eightbanks downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector((ddrbits+ftbits)-1 downto 0); -- ddr data
ddr_odt : out std_logic_vector(1 downto 0);
ce : out std_logic; -- Corrected error (for FT)
oct_rdn : in std_logic := '0';
oct_rup : in std_logic := '0'
);
end;
architecture rtl of ddr2spa is
constant DDR_FREQ : integer := (clkmul * MHz) / clkdiv;
signal sdi : ddrctrl_in_type;
signal sdo : ddrctrl_out_type;
--signal clkread : std_ulogic;
-- Reset scheme:
-- 1. rst_ddr inport is a raw async reset brought in from the outside - goes to PHY/PLL:s
-- 2. lock signal from PHY/PLLs goes out through lock outport to external
-- ahb rstgen and internal ddr reset gen
-- 3. AMBA synchronous reset signal rst_ahb comes back in
-- DDR Clock scheme:
-- 1. clk_ddr (and clkref200) goes into PHY
-- 2. clkddro comes out from PHY and goes out through clkddro port
-- 3. clkddri comes back in and is used to clock DDR-side logic
signal ilock: std_ulogic;
signal ddr_rst: std_logic;
signal ddr_rst_gen: std_logic_vector(3 downto 0);
constant ddr_syncrst: integer := 0;
begin
lock <= ilock;
ddr_rst <= (ddr_rst_gen(3) and ddr_rst_gen(2) and ddr_rst_gen(1)); -- Reset signal in DDR clock domain
ddrrstproc: process(clkddri, ilock)
begin
if rising_edge(clkddri) then
ddr_rst_gen <= ddr_rst_gen(2 downto 0) & '1';
if ddr_syncrst /= 0 and rst_ahb='0' then
ddr_rst_gen <= "0000";
end if;
end if;
if ddr_syncrst=0 and ilock='0' then
ddr_rst_gen <= "0000";
end if;
end process;
nftphy: if true generate
ddr_phy0 : ddr2phy_wrap_cbd
generic map (
tech => fabtech, MHz => MHz,
dbits => ddrbits, rstdelay => 0, clk_mul => clkmul,
clk_div => clkdiv,
ddelayb0 => ddelayb0, ddelayb1 => ddelayb1, ddelayb2 => ddelayb2,
ddelayb3 => ddelayb3, ddelayb4 => ddelayb4, ddelayb5 => ddelayb5,
ddelayb6 => ddelayb6, ddelayb7 => ddelayb7, cbdelayb0=> cbdelayb0,
cbdelayb1=> cbdelayb1, cbdelayb2=> cbdelayb2,cbdelayb3=> cbdelayb3,
numidelctrl => numidelctrl, norefclk => norefclk, rskew => rskew,
eightbanks => eightbanks, dqsse => dqsse,
chkbits => ftbits*ft, padbits => ftbits*(1-ft),
ctrl2en => 0, resync => 0, custombits => 8,
nclk => nclk, scantest => scantest, ncs => ncs )
port map (
rst_ddr, clk_ddr, clkref200, clkddro, clkddri, clkddri, ilock,
ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb,
ddr_cke(ncs-1 downto 0), ddr_csb(ncs-1 downto 0), ddr_web, ddr_rasb, ddr_casb,
ddr_dm, ddr_dqs, ddr_dqsn,
ddr_ad, ddr_ba, ddr_dq, ddr_odt(ncs-1 downto 0),
open, open, open, open, open,
sdi, sdo, clkddri, "00000000", open,
ahbsi.testen, ahbsi.scanen, ahbsi.testrst, ahbsi.testoen,
oct_rdn, oct_rup);
ncs1: if ncs = 1 generate
ddr_cke(1) <= '0';
ddr_csb(1) <= '0';
ddr_odt(1) <= '0';
end generate;
end generate;
ddrc : ddr2spax generic map (memtech => memtech, phytech => fabtech, hindex => hindex,
haddr => haddr, hmask => hmask, ioaddr => ioaddr, iomask => iomask, ddrbits => ddrbits,
pwron => pwron, MHz => DDR_FREQ, TRFC => TRFC, col => col, Mbyte => Mbyte,
readdly => readdly, odten => odten, octen => octen, dqsgating => dqsgating,
nosync => nosync, eightbanks => eightbanks, dqsse => dqsse, burstlen => burstlen, ahbbits => ahbbits,
ft => ft, ddr_syncrst => ddr_syncrst, bigmem => bigmem, raspipe => raspipe, hwidthen => 0, rstdel => rstdel,
cke_rst => cke_rst, pipe_ctrl => pipe_ctrl)
port map (ddr_rst, rst_ahb, clkddri, clk_ahb, ahbsi, ahbso, sdi, sdo, '0');
ce <= sdo.ce;
end;
|
---------------------------------------------------------------------------
-- Copyright 2015 - 2017 Systems Group, ETH Zurich
--
-- This hardware module is free software: you can redistribute it and/or
-- modify it under the terms of the GNU General Public License as published
-- by the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
---------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_arith.CONV_STD_LOGIC_VECTOR;
-------------------------------------------------------------------------------
-- This is used to provide a buffer between the ready signals of the components
-- connected to input and output. The data line has no delay, it is basically
-- fallthrough.
-------------------------------------------------------------------------------
entity kvs_LatchedRelay is
generic (
WIDTH : integer := 16
);
port (
clk : in std_logic;
rst : in std_logic;
in_valid : in std_logic;
in_ready : out std_logic;
in_data : in std_logic_vector (WIDTH-1 downto 0);
out_valid : out std_logic;
out_ready : in std_logic;
out_data : out std_logic_vector (WIDTH-1 downto 0)
);
end kvs_LatchedRelay;
architecture behavioral of kvs_LatchedRelay is
signal inReady : std_logic;
-- internal buffer
signal bufData : std_logic_vector (WIDTH-1 downto 0);
signal bufValid : std_logic;
-- multiplex the output
signal useInputLine : std_logic;
signal outValid : std_logic;
begin -- behavioral
in_ready <= inReady;
-- output from the buffer if necessary
out_data <= in_data when useInputLine='1' else bufData;
outValid <= in_valid when useInputLine='1' else bufValid;
out_valid <= outValid;
main: process (clk)
begin -- process main
if clk'event and clk='1' then
if rst='1' then
inReady <= '0';
bufValid <= '0';
useInputLine <= '0';
else
inReady <= out_ready or not outValid;
useInputLine <= out_ready;
-- latch incoming data
if (out_ready='0' and inReady='1') or bufValid='0' then
bufData <= in_data;
bufValid <= in_valid;
if in_valid ='0' then
useInputLine <= '1';
end if;
end if;
end if;
end if;
end process main;
end behavioral;
|
library ieee;
use ieee.std_logic_1164.all;
entity SignExtend is
port(
x: in std_logic_vector(15 downto 0);
y: out std_logic_vector(31 downto 0)
);
end SignExtend;
architecture Structural of SignExtend is
begin
process(x)
begin
if x(15) = '0' then
y <= "0000000000000000" & x;
elsif x(15) = '1' then
y <= "1111111111111111" & x;
end if;
end process;
end Structural;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-------------------------------------------------------------------------
-- synthesis translate_off
--library ims;
--use ims.coprocessor.all;
-- synthesis translate_on
-------------------------------------------------------------------------
ENTITY Q16_8_IndexRAM is
PORT (
RESET : in STD_LOGIC;
CLOCK : in STD_LOGIC;
WRITE_EN : in STD_LOGIC;
READ_EN : in STD_LOGIC;
INPUT_1 : in STD_LOGIC_VECTOR(15 downto 0);
OUTPUT_1 : out STD_LOGIC_VECTOR(15 downto 0)
);
END;
architecture cRAM of Q16_8_IndexRAM is
type ram_type is array (0 to 1824-1) of STD_LOGIC_VECTOR (15 downto 0);
type rom_type is array (0 to 1824-1) of UNSIGNED (11 downto 0);
signal RAM : ram_type;
signal WE_BIS : STD_LOGIC;
signal IN_BIS : STD_LOGIC_VECTOR (15 downto 0);
constant ROM : rom_type:= (
TO_UNSIGNED(75, 12), TO_UNSIGNED(618, 12),
TO_UNSIGNED(732, 12), TO_UNSIGNED(1425, 12),
TO_UNSIGNED(1500, 12), TO_UNSIGNED(1683, 12),
TO_UNSIGNED(84, 12), TO_UNSIGNED(621, 12),
TO_UNSIGNED(738, 12), TO_UNSIGNED(1428, 12),
TO_UNSIGNED(1506, 12), TO_UNSIGNED(1614, 12),
TO_UNSIGNED(86, 12), TO_UNSIGNED(624, 12),
TO_UNSIGNED(744, 12), TO_UNSIGNED(1437, 12),
TO_UNSIGNED(1488, 12), TO_UNSIGNED(1819, 12),
TO_UNSIGNED(12, 12), TO_UNSIGNED(88, 12),
TO_UNSIGNED(627, 12), TO_UNSIGNED(750, 12),
TO_UNSIGNED(1440, 12), TO_UNSIGNED(1512, 12),
TO_UNSIGNED(15, 12), TO_UNSIGNED(90, 12),
TO_UNSIGNED(630, 12), TO_UNSIGNED(756, 12),
TO_UNSIGNED(1443, 12), TO_UNSIGNED(1524, 12),
TO_UNSIGNED(18, 12), TO_UNSIGNED(92, 12),
TO_UNSIGNED(633, 12), TO_UNSIGNED(762, 12),
TO_UNSIGNED(1446, 12), TO_UNSIGNED(1518, 12),
TO_UNSIGNED(21, 12), TO_UNSIGNED(94, 12),
TO_UNSIGNED(636, 12), TO_UNSIGNED(768, 12),
TO_UNSIGNED(1449, 12), TO_UNSIGNED(1542, 12),
TO_UNSIGNED(24, 12), TO_UNSIGNED(96, 12),
TO_UNSIGNED(639, 12), TO_UNSIGNED(774, 12),
TO_UNSIGNED(1452, 12), TO_UNSIGNED(1548, 12),
TO_UNSIGNED(27, 12), TO_UNSIGNED(98, 12),
TO_UNSIGNED(642, 12), TO_UNSIGNED(780, 12),
TO_UNSIGNED(1455, 12), TO_UNSIGNED(1530, 12),
TO_UNSIGNED(30, 12), TO_UNSIGNED(100, 12),
TO_UNSIGNED(645, 12), TO_UNSIGNED(786, 12),
TO_UNSIGNED(1461, 12), TO_UNSIGNED(1536, 12),
TO_UNSIGNED(33, 12), TO_UNSIGNED(102, 12),
TO_UNSIGNED(648, 12), TO_UNSIGNED(792, 12),
TO_UNSIGNED(1458, 12), TO_UNSIGNED(1554, 12),
TO_UNSIGNED(36, 12), TO_UNSIGNED(104, 12),
TO_UNSIGNED(651, 12), TO_UNSIGNED(798, 12),
TO_UNSIGNED(1485, 12), TO_UNSIGNED(1560, 12),
TO_UNSIGNED(39, 12), TO_UNSIGNED(106, 12),
TO_UNSIGNED(654, 12), TO_UNSIGNED(804, 12),
TO_UNSIGNED(1572, 12), TO_UNSIGNED(1617, 12),
TO_UNSIGNED(42, 12), TO_UNSIGNED(108, 12),
TO_UNSIGNED(657, 12), TO_UNSIGNED(810, 12),
TO_UNSIGNED(1398, 12), TO_UNSIGNED(1566, 12),
TO_UNSIGNED(45, 12), TO_UNSIGNED(110, 12),
TO_UNSIGNED(660, 12), TO_UNSIGNED(816, 12),
TO_UNSIGNED(1401, 12), TO_UNSIGNED(1584, 12),
TO_UNSIGNED(48, 12), TO_UNSIGNED(112, 12),
TO_UNSIGNED(663, 12), TO_UNSIGNED(822, 12),
TO_UNSIGNED(1404, 12), TO_UNSIGNED(1578, 12),
TO_UNSIGNED(51, 12), TO_UNSIGNED(114, 12),
TO_UNSIGNED(666, 12), TO_UNSIGNED(828, 12),
TO_UNSIGNED(1413, 12), TO_UNSIGNED(1596, 12),
TO_UNSIGNED(54, 12), TO_UNSIGNED(116, 12),
TO_UNSIGNED(669, 12), TO_UNSIGNED(690, 12),
TO_UNSIGNED(1416, 12), TO_UNSIGNED(1602, 12),
TO_UNSIGNED(57, 12), TO_UNSIGNED(118, 12),
TO_UNSIGNED(672, 12), TO_UNSIGNED(696, 12),
TO_UNSIGNED(1419, 12), TO_UNSIGNED(1590, 12),
TO_UNSIGNED(0, 12), TO_UNSIGNED(60, 12),
TO_UNSIGNED(120, 12), TO_UNSIGNED(675, 12),
TO_UNSIGNED(702, 12), TO_UNSIGNED(1407, 12),
TO_UNSIGNED(63, 12), TO_UNSIGNED(122, 12),
TO_UNSIGNED(678, 12), TO_UNSIGNED(708, 12),
TO_UNSIGNED(1410, 12), TO_UNSIGNED(1476, 12),
TO_UNSIGNED(66, 12), TO_UNSIGNED(124, 12),
TO_UNSIGNED(681, 12), TO_UNSIGNED(714, 12),
TO_UNSIGNED(1434, 12), TO_UNSIGNED(1464, 12),
TO_UNSIGNED(69, 12), TO_UNSIGNED(126, 12),
TO_UNSIGNED(684, 12), TO_UNSIGNED(720, 12),
TO_UNSIGNED(1431, 12), TO_UNSIGNED(1470, 12),
TO_UNSIGNED(72, 12), TO_UNSIGNED(128, 12),
TO_UNSIGNED(687, 12), TO_UNSIGNED(726, 12),
TO_UNSIGNED(1422, 12), TO_UNSIGNED(1494, 12),
TO_UNSIGNED(186, 12), TO_UNSIGNED(234, 12),
TO_UNSIGNED(775, 12), TO_UNSIGNED(906, 12),
TO_UNSIGNED(1435, 12), TO_UNSIGNED(1585, 12),
TO_UNSIGNED(188, 12), TO_UNSIGNED(236, 12),
TO_UNSIGNED(781, 12), TO_UNSIGNED(909, 12),
TO_UNSIGNED(1432, 12), TO_UNSIGNED(1579, 12),
TO_UNSIGNED(190, 12), TO_UNSIGNED(238, 12),
TO_UNSIGNED(787, 12), TO_UNSIGNED(912, 12),
TO_UNSIGNED(1423, 12), TO_UNSIGNED(1597, 12),
TO_UNSIGNED(192, 12), TO_UNSIGNED(240, 12),
TO_UNSIGNED(793, 12), TO_UNSIGNED(915, 12),
TO_UNSIGNED(1426, 12), TO_UNSIGNED(1603, 12),
TO_UNSIGNED(194, 12), TO_UNSIGNED(242, 12),
TO_UNSIGNED(799, 12), TO_UNSIGNED(918, 12),
TO_UNSIGNED(1429, 12), TO_UNSIGNED(1591, 12),
TO_UNSIGNED(1, 12), TO_UNSIGNED(196, 12),
TO_UNSIGNED(244, 12), TO_UNSIGNED(805, 12),
TO_UNSIGNED(921, 12), TO_UNSIGNED(1438, 12),
TO_UNSIGNED(198, 12), TO_UNSIGNED(246, 12),
TO_UNSIGNED(811, 12), TO_UNSIGNED(924, 12),
TO_UNSIGNED(1441, 12), TO_UNSIGNED(1477, 12),
TO_UNSIGNED(200, 12), TO_UNSIGNED(248, 12),
TO_UNSIGNED(817, 12), TO_UNSIGNED(927, 12),
TO_UNSIGNED(1444, 12), TO_UNSIGNED(1465, 12),
TO_UNSIGNED(202, 12), TO_UNSIGNED(250, 12),
TO_UNSIGNED(823, 12), TO_UNSIGNED(930, 12),
TO_UNSIGNED(1447, 12), TO_UNSIGNED(1471, 12),
TO_UNSIGNED(204, 12), TO_UNSIGNED(252, 12),
TO_UNSIGNED(829, 12), TO_UNSIGNED(933, 12),
TO_UNSIGNED(1450, 12), TO_UNSIGNED(1495, 12),
TO_UNSIGNED(206, 12), TO_UNSIGNED(254, 12),
TO_UNSIGNED(691, 12), TO_UNSIGNED(936, 12),
TO_UNSIGNED(1453, 12), TO_UNSIGNED(1501, 12),
TO_UNSIGNED(208, 12), TO_UNSIGNED(256, 12),
TO_UNSIGNED(697, 12), TO_UNSIGNED(939, 12),
TO_UNSIGNED(1456, 12), TO_UNSIGNED(1507, 12),
TO_UNSIGNED(210, 12), TO_UNSIGNED(258, 12),
TO_UNSIGNED(703, 12), TO_UNSIGNED(942, 12),
TO_UNSIGNED(1462, 12), TO_UNSIGNED(1489, 12),
TO_UNSIGNED(212, 12), TO_UNSIGNED(260, 12),
TO_UNSIGNED(709, 12), TO_UNSIGNED(945, 12),
TO_UNSIGNED(1459, 12), TO_UNSIGNED(1513, 12),
TO_UNSIGNED(214, 12), TO_UNSIGNED(262, 12),
TO_UNSIGNED(715, 12), TO_UNSIGNED(948, 12),
TO_UNSIGNED(1486, 12), TO_UNSIGNED(1525, 12),
TO_UNSIGNED(216, 12), TO_UNSIGNED(264, 12),
TO_UNSIGNED(721, 12), TO_UNSIGNED(951, 12),
TO_UNSIGNED(1519, 12), TO_UNSIGNED(1618, 12),
TO_UNSIGNED(218, 12), TO_UNSIGNED(266, 12),
TO_UNSIGNED(727, 12), TO_UNSIGNED(954, 12),
TO_UNSIGNED(1399, 12), TO_UNSIGNED(1543, 12),
TO_UNSIGNED(220, 12), TO_UNSIGNED(268, 12),
TO_UNSIGNED(733, 12), TO_UNSIGNED(957, 12),
TO_UNSIGNED(1402, 12), TO_UNSIGNED(1549, 12),
TO_UNSIGNED(222, 12), TO_UNSIGNED(270, 12),
TO_UNSIGNED(739, 12), TO_UNSIGNED(960, 12),
TO_UNSIGNED(1405, 12), TO_UNSIGNED(1531, 12),
TO_UNSIGNED(224, 12), TO_UNSIGNED(272, 12),
TO_UNSIGNED(745, 12), TO_UNSIGNED(963, 12),
TO_UNSIGNED(1414, 12), TO_UNSIGNED(1537, 12),
TO_UNSIGNED(226, 12), TO_UNSIGNED(274, 12),
TO_UNSIGNED(751, 12), TO_UNSIGNED(966, 12),
TO_UNSIGNED(1417, 12), TO_UNSIGNED(1555, 12),
TO_UNSIGNED(228, 12), TO_UNSIGNED(276, 12),
TO_UNSIGNED(757, 12), TO_UNSIGNED(969, 12),
TO_UNSIGNED(1420, 12), TO_UNSIGNED(1561, 12),
TO_UNSIGNED(230, 12), TO_UNSIGNED(278, 12),
TO_UNSIGNED(763, 12), TO_UNSIGNED(972, 12),
TO_UNSIGNED(1408, 12), TO_UNSIGNED(1573, 12),
TO_UNSIGNED(232, 12), TO_UNSIGNED(280, 12),
TO_UNSIGNED(769, 12), TO_UNSIGNED(975, 12),
TO_UNSIGNED(1411, 12), TO_UNSIGNED(1567, 12),
TO_UNSIGNED(235, 12), TO_UNSIGNED(282, 12),
TO_UNSIGNED(788, 12), TO_UNSIGNED(1206, 12),
TO_UNSIGNED(1556, 12), TO_UNSIGNED(1635, 12),
TO_UNSIGNED(237, 12), TO_UNSIGNED(284, 12),
TO_UNSIGNED(794, 12), TO_UNSIGNED(1209, 12),
TO_UNSIGNED(1562, 12), TO_UNSIGNED(1629, 12),
TO_UNSIGNED(239, 12), TO_UNSIGNED(286, 12),
TO_UNSIGNED(800, 12), TO_UNSIGNED(1194, 12),
TO_UNSIGNED(1574, 12), TO_UNSIGNED(1632, 12),
TO_UNSIGNED(241, 12), TO_UNSIGNED(288, 12),
TO_UNSIGNED(806, 12), TO_UNSIGNED(1215, 12),
TO_UNSIGNED(1568, 12), TO_UNSIGNED(1641, 12),
TO_UNSIGNED(243, 12), TO_UNSIGNED(290, 12),
TO_UNSIGNED(812, 12), TO_UNSIGNED(1212, 12),
TO_UNSIGNED(1586, 12), TO_UNSIGNED(1638, 12),
TO_UNSIGNED(245, 12), TO_UNSIGNED(292, 12),
TO_UNSIGNED(818, 12), TO_UNSIGNED(1221, 12),
TO_UNSIGNED(1580, 12), TO_UNSIGNED(1644, 12),
TO_UNSIGNED(247, 12), TO_UNSIGNED(294, 12),
TO_UNSIGNED(824, 12), TO_UNSIGNED(1224, 12),
TO_UNSIGNED(1598, 12), TO_UNSIGNED(1650, 12),
TO_UNSIGNED(249, 12), TO_UNSIGNED(296, 12),
TO_UNSIGNED(830, 12), TO_UNSIGNED(1227, 12),
TO_UNSIGNED(1604, 12), TO_UNSIGNED(1647, 12),
TO_UNSIGNED(251, 12), TO_UNSIGNED(298, 12),
TO_UNSIGNED(692, 12), TO_UNSIGNED(1218, 12),
TO_UNSIGNED(1592, 12), TO_UNSIGNED(1653, 12),
TO_UNSIGNED(2, 12), TO_UNSIGNED(253, 12),
TO_UNSIGNED(300, 12), TO_UNSIGNED(698, 12),
TO_UNSIGNED(1233, 12), TO_UNSIGNED(1656, 12),
TO_UNSIGNED(255, 12), TO_UNSIGNED(302, 12),
TO_UNSIGNED(704, 12), TO_UNSIGNED(1236, 12),
TO_UNSIGNED(1478, 12), TO_UNSIGNED(1659, 12),
TO_UNSIGNED(257, 12), TO_UNSIGNED(304, 12),
TO_UNSIGNED(710, 12), TO_UNSIGNED(1239, 12),
TO_UNSIGNED(1466, 12), TO_UNSIGNED(1662, 12),
TO_UNSIGNED(259, 12), TO_UNSIGNED(306, 12),
TO_UNSIGNED(716, 12), TO_UNSIGNED(1230, 12),
TO_UNSIGNED(1472, 12), TO_UNSIGNED(1665, 12),
TO_UNSIGNED(261, 12), TO_UNSIGNED(308, 12),
TO_UNSIGNED(722, 12), TO_UNSIGNED(1251, 12),
TO_UNSIGNED(1496, 12), TO_UNSIGNED(1671, 12),
TO_UNSIGNED(263, 12), TO_UNSIGNED(310, 12),
TO_UNSIGNED(728, 12), TO_UNSIGNED(1242, 12),
TO_UNSIGNED(1502, 12), TO_UNSIGNED(1668, 12),
TO_UNSIGNED(265, 12), TO_UNSIGNED(312, 12),
TO_UNSIGNED(734, 12), TO_UNSIGNED(1245, 12),
TO_UNSIGNED(1508, 12), TO_UNSIGNED(1674, 12),
TO_UNSIGNED(267, 12), TO_UNSIGNED(314, 12),
TO_UNSIGNED(740, 12), TO_UNSIGNED(1248, 12),
TO_UNSIGNED(1490, 12), TO_UNSIGNED(1677, 12),
TO_UNSIGNED(269, 12), TO_UNSIGNED(316, 12),
TO_UNSIGNED(746, 12), TO_UNSIGNED(1257, 12),
TO_UNSIGNED(1514, 12), TO_UNSIGNED(1680, 12),
TO_UNSIGNED(271, 12), TO_UNSIGNED(318, 12),
TO_UNSIGNED(752, 12), TO_UNSIGNED(1254, 12),
TO_UNSIGNED(1482, 12), TO_UNSIGNED(1526, 12),
TO_UNSIGNED(273, 12), TO_UNSIGNED(320, 12),
TO_UNSIGNED(758, 12), TO_UNSIGNED(1263, 12),
TO_UNSIGNED(1520, 12), TO_UNSIGNED(1608, 12),
TO_UNSIGNED(275, 12), TO_UNSIGNED(322, 12),
TO_UNSIGNED(764, 12), TO_UNSIGNED(1260, 12),
TO_UNSIGNED(1544, 12), TO_UNSIGNED(1611, 12),
TO_UNSIGNED(277, 12), TO_UNSIGNED(324, 12),
TO_UNSIGNED(770, 12), TO_UNSIGNED(1197, 12),
TO_UNSIGNED(1550, 12), TO_UNSIGNED(1620, 12),
TO_UNSIGNED(279, 12), TO_UNSIGNED(326, 12),
TO_UNSIGNED(776, 12), TO_UNSIGNED(1200, 12),
TO_UNSIGNED(1532, 12), TO_UNSIGNED(1623, 12),
TO_UNSIGNED(281, 12), TO_UNSIGNED(328, 12),
TO_UNSIGNED(782, 12), TO_UNSIGNED(1203, 12),
TO_UNSIGNED(1538, 12), TO_UNSIGNED(1626, 12),
TO_UNSIGNED(330, 12), TO_UNSIGNED(378, 12),
TO_UNSIGNED(705, 12), TO_UNSIGNED(885, 12),
TO_UNSIGNED(1605, 12), TO_UNSIGNED(1669, 12),
TO_UNSIGNED(332, 12), TO_UNSIGNED(380, 12),
TO_UNSIGNED(711, 12), TO_UNSIGNED(888, 12),
TO_UNSIGNED(1593, 12), TO_UNSIGNED(1675, 12),
TO_UNSIGNED(3, 12), TO_UNSIGNED(334, 12),
TO_UNSIGNED(382, 12), TO_UNSIGNED(717, 12),
TO_UNSIGNED(891, 12), TO_UNSIGNED(1678, 12),
TO_UNSIGNED(336, 12), TO_UNSIGNED(384, 12),
TO_UNSIGNED(723, 12), TO_UNSIGNED(894, 12),
TO_UNSIGNED(1479, 12), TO_UNSIGNED(1681, 12),
TO_UNSIGNED(338, 12), TO_UNSIGNED(386, 12),
TO_UNSIGNED(729, 12), TO_UNSIGNED(897, 12),
TO_UNSIGNED(1467, 12), TO_UNSIGNED(1483, 12),
TO_UNSIGNED(340, 12), TO_UNSIGNED(388, 12),
TO_UNSIGNED(735, 12), TO_UNSIGNED(900, 12),
TO_UNSIGNED(1473, 12), TO_UNSIGNED(1609, 12),
TO_UNSIGNED(342, 12), TO_UNSIGNED(390, 12),
TO_UNSIGNED(741, 12), TO_UNSIGNED(903, 12),
TO_UNSIGNED(1497, 12), TO_UNSIGNED(1612, 12),
TO_UNSIGNED(344, 12), TO_UNSIGNED(392, 12),
TO_UNSIGNED(747, 12), TO_UNSIGNED(834, 12),
TO_UNSIGNED(1503, 12), TO_UNSIGNED(1621, 12),
TO_UNSIGNED(346, 12), TO_UNSIGNED(394, 12),
TO_UNSIGNED(753, 12), TO_UNSIGNED(837, 12),
TO_UNSIGNED(1509, 12), TO_UNSIGNED(1624, 12),
TO_UNSIGNED(348, 12), TO_UNSIGNED(396, 12),
TO_UNSIGNED(759, 12), TO_UNSIGNED(840, 12),
TO_UNSIGNED(1491, 12), TO_UNSIGNED(1627, 12),
TO_UNSIGNED(350, 12), TO_UNSIGNED(398, 12),
TO_UNSIGNED(765, 12), TO_UNSIGNED(843, 12),
TO_UNSIGNED(1515, 12), TO_UNSIGNED(1636, 12),
TO_UNSIGNED(352, 12), TO_UNSIGNED(400, 12),
TO_UNSIGNED(771, 12), TO_UNSIGNED(846, 12),
TO_UNSIGNED(1527, 12), TO_UNSIGNED(1630, 12),
TO_UNSIGNED(354, 12), TO_UNSIGNED(402, 12),
TO_UNSIGNED(777, 12), TO_UNSIGNED(849, 12),
TO_UNSIGNED(1521, 12), TO_UNSIGNED(1633, 12),
TO_UNSIGNED(356, 12), TO_UNSIGNED(404, 12),
TO_UNSIGNED(783, 12), TO_UNSIGNED(852, 12),
TO_UNSIGNED(1545, 12), TO_UNSIGNED(1642, 12),
TO_UNSIGNED(358, 12), TO_UNSIGNED(406, 12),
TO_UNSIGNED(789, 12), TO_UNSIGNED(855, 12),
TO_UNSIGNED(1551, 12), TO_UNSIGNED(1639, 12),
TO_UNSIGNED(360, 12), TO_UNSIGNED(408, 12),
TO_UNSIGNED(795, 12), TO_UNSIGNED(858, 12),
TO_UNSIGNED(1533, 12), TO_UNSIGNED(1645, 12),
TO_UNSIGNED(362, 12), TO_UNSIGNED(410, 12),
TO_UNSIGNED(801, 12), TO_UNSIGNED(861, 12),
TO_UNSIGNED(1539, 12), TO_UNSIGNED(1651, 12),
TO_UNSIGNED(364, 12), TO_UNSIGNED(412, 12),
TO_UNSIGNED(807, 12), TO_UNSIGNED(864, 12),
TO_UNSIGNED(1557, 12), TO_UNSIGNED(1648, 12),
TO_UNSIGNED(366, 12), TO_UNSIGNED(414, 12),
TO_UNSIGNED(813, 12), TO_UNSIGNED(867, 12),
TO_UNSIGNED(1563, 12), TO_UNSIGNED(1654, 12),
TO_UNSIGNED(368, 12), TO_UNSIGNED(416, 12),
TO_UNSIGNED(819, 12), TO_UNSIGNED(870, 12),
TO_UNSIGNED(1575, 12), TO_UNSIGNED(1657, 12),
TO_UNSIGNED(370, 12), TO_UNSIGNED(418, 12),
TO_UNSIGNED(825, 12), TO_UNSIGNED(873, 12),
TO_UNSIGNED(1569, 12), TO_UNSIGNED(1660, 12),
TO_UNSIGNED(372, 12), TO_UNSIGNED(420, 12),
TO_UNSIGNED(831, 12), TO_UNSIGNED(876, 12),
TO_UNSIGNED(1587, 12), TO_UNSIGNED(1663, 12),
TO_UNSIGNED(374, 12), TO_UNSIGNED(422, 12),
TO_UNSIGNED(693, 12), TO_UNSIGNED(879, 12),
TO_UNSIGNED(1581, 12), TO_UNSIGNED(1666, 12),
TO_UNSIGNED(376, 12), TO_UNSIGNED(424, 12),
TO_UNSIGNED(699, 12), TO_UNSIGNED(882, 12),
TO_UNSIGNED(1599, 12), TO_UNSIGNED(1672, 12),
TO_UNSIGNED(379, 12), TO_UNSIGNED(426, 12),
TO_UNSIGNED(682, 12), TO_UNSIGNED(736, 12),
TO_UNSIGNED(1198, 12), TO_UNSIGNED(1540, 12),
TO_UNSIGNED(381, 12), TO_UNSIGNED(428, 12),
TO_UNSIGNED(685, 12), TO_UNSIGNED(742, 12),
TO_UNSIGNED(1201, 12), TO_UNSIGNED(1558, 12),
TO_UNSIGNED(383, 12), TO_UNSIGNED(430, 12),
TO_UNSIGNED(688, 12), TO_UNSIGNED(748, 12),
TO_UNSIGNED(1204, 12), TO_UNSIGNED(1564, 12),
TO_UNSIGNED(385, 12), TO_UNSIGNED(432, 12),
TO_UNSIGNED(619, 12), TO_UNSIGNED(754, 12),
TO_UNSIGNED(1207, 12), TO_UNSIGNED(1576, 12),
TO_UNSIGNED(387, 12), TO_UNSIGNED(434, 12),
TO_UNSIGNED(622, 12), TO_UNSIGNED(760, 12),
TO_UNSIGNED(1210, 12), TO_UNSIGNED(1570, 12),
TO_UNSIGNED(389, 12), TO_UNSIGNED(436, 12),
TO_UNSIGNED(625, 12), TO_UNSIGNED(766, 12),
TO_UNSIGNED(1195, 12), TO_UNSIGNED(1588, 12),
TO_UNSIGNED(391, 12), TO_UNSIGNED(438, 12),
TO_UNSIGNED(628, 12), TO_UNSIGNED(772, 12),
TO_UNSIGNED(1216, 12), TO_UNSIGNED(1582, 12),
TO_UNSIGNED(393, 12), TO_UNSIGNED(440, 12),
TO_UNSIGNED(631, 12), TO_UNSIGNED(778, 12),
TO_UNSIGNED(1213, 12), TO_UNSIGNED(1600, 12),
TO_UNSIGNED(395, 12), TO_UNSIGNED(442, 12),
TO_UNSIGNED(634, 12), TO_UNSIGNED(784, 12),
TO_UNSIGNED(1222, 12), TO_UNSIGNED(1606, 12),
TO_UNSIGNED(397, 12), TO_UNSIGNED(444, 12),
TO_UNSIGNED(637, 12), TO_UNSIGNED(790, 12),
TO_UNSIGNED(1225, 12), TO_UNSIGNED(1594, 12),
TO_UNSIGNED(4, 12), TO_UNSIGNED(399, 12),
TO_UNSIGNED(446, 12), TO_UNSIGNED(640, 12),
TO_UNSIGNED(796, 12), TO_UNSIGNED(1228, 12),
TO_UNSIGNED(401, 12), TO_UNSIGNED(448, 12),
TO_UNSIGNED(643, 12), TO_UNSIGNED(802, 12),
TO_UNSIGNED(1219, 12), TO_UNSIGNED(1480, 12),
TO_UNSIGNED(403, 12), TO_UNSIGNED(450, 12),
TO_UNSIGNED(646, 12), TO_UNSIGNED(808, 12),
TO_UNSIGNED(1234, 12), TO_UNSIGNED(1468, 12),
TO_UNSIGNED(405, 12), TO_UNSIGNED(452, 12),
TO_UNSIGNED(649, 12), TO_UNSIGNED(814, 12),
TO_UNSIGNED(1237, 12), TO_UNSIGNED(1474, 12),
TO_UNSIGNED(407, 12), TO_UNSIGNED(454, 12),
TO_UNSIGNED(652, 12), TO_UNSIGNED(820, 12),
TO_UNSIGNED(1240, 12), TO_UNSIGNED(1498, 12),
TO_UNSIGNED(409, 12), TO_UNSIGNED(456, 12),
TO_UNSIGNED(655, 12), TO_UNSIGNED(826, 12),
TO_UNSIGNED(1231, 12), TO_UNSIGNED(1504, 12),
TO_UNSIGNED(411, 12), TO_UNSIGNED(458, 12),
TO_UNSIGNED(658, 12), TO_UNSIGNED(832, 12),
TO_UNSIGNED(1252, 12), TO_UNSIGNED(1510, 12),
TO_UNSIGNED(413, 12), TO_UNSIGNED(460, 12),
TO_UNSIGNED(661, 12), TO_UNSIGNED(694, 12),
TO_UNSIGNED(1243, 12), TO_UNSIGNED(1492, 12),
TO_UNSIGNED(415, 12), TO_UNSIGNED(462, 12),
TO_UNSIGNED(664, 12), TO_UNSIGNED(700, 12),
TO_UNSIGNED(1246, 12), TO_UNSIGNED(1516, 12),
TO_UNSIGNED(417, 12), TO_UNSIGNED(464, 12),
TO_UNSIGNED(667, 12), TO_UNSIGNED(706, 12),
TO_UNSIGNED(1249, 12), TO_UNSIGNED(1528, 12),
TO_UNSIGNED(419, 12), TO_UNSIGNED(466, 12),
TO_UNSIGNED(670, 12), TO_UNSIGNED(712, 12),
TO_UNSIGNED(1258, 12), TO_UNSIGNED(1522, 12),
TO_UNSIGNED(421, 12), TO_UNSIGNED(468, 12),
TO_UNSIGNED(673, 12), TO_UNSIGNED(718, 12),
TO_UNSIGNED(1255, 12), TO_UNSIGNED(1546, 12),
TO_UNSIGNED(423, 12), TO_UNSIGNED(470, 12),
TO_UNSIGNED(676, 12), TO_UNSIGNED(724, 12),
TO_UNSIGNED(1264, 12), TO_UNSIGNED(1552, 12),
TO_UNSIGNED(425, 12), TO_UNSIGNED(472, 12),
TO_UNSIGNED(679, 12), TO_UNSIGNED(730, 12),
TO_UNSIGNED(1261, 12), TO_UNSIGNED(1534, 12),
TO_UNSIGNED(474, 12), TO_UNSIGNED(522, 12),
TO_UNSIGNED(1062, 12), TO_UNSIGNED(1332, 12),
TO_UNSIGNED(1631, 12), TO_UNSIGNED(1729, 12),
TO_UNSIGNED(476, 12), TO_UNSIGNED(524, 12),
TO_UNSIGNED(1068, 12), TO_UNSIGNED(1326, 12),
TO_UNSIGNED(1634, 12), TO_UNSIGNED(1723, 12),
TO_UNSIGNED(478, 12), TO_UNSIGNED(526, 12),
TO_UNSIGNED(1074, 12), TO_UNSIGNED(1350, 12),
TO_UNSIGNED(1643, 12), TO_UNSIGNED(1735, 12),
TO_UNSIGNED(480, 12), TO_UNSIGNED(528, 12),
TO_UNSIGNED(1080, 12), TO_UNSIGNED(1356, 12),
TO_UNSIGNED(1640, 12), TO_UNSIGNED(1771, 12),
TO_UNSIGNED(482, 12), TO_UNSIGNED(530, 12),
TO_UNSIGNED(1086, 12), TO_UNSIGNED(1338, 12),
TO_UNSIGNED(1646, 12), TO_UNSIGNED(1777, 12),
TO_UNSIGNED(484, 12), TO_UNSIGNED(532, 12),
TO_UNSIGNED(1092, 12), TO_UNSIGNED(1344, 12),
TO_UNSIGNED(1652, 12), TO_UNSIGNED(1741, 12),
TO_UNSIGNED(486, 12), TO_UNSIGNED(534, 12),
TO_UNSIGNED(1098, 12), TO_UNSIGNED(1368, 12),
TO_UNSIGNED(1649, 12), TO_UNSIGNED(1747, 12),
TO_UNSIGNED(488, 12), TO_UNSIGNED(536, 12),
TO_UNSIGNED(1104, 12), TO_UNSIGNED(1374, 12),
TO_UNSIGNED(1655, 12), TO_UNSIGNED(1753, 12),
TO_UNSIGNED(490, 12), TO_UNSIGNED(538, 12),
TO_UNSIGNED(1110, 12), TO_UNSIGNED(1362, 12),
TO_UNSIGNED(1658, 12), TO_UNSIGNED(1759, 12),
TO_UNSIGNED(492, 12), TO_UNSIGNED(540, 12),
TO_UNSIGNED(1116, 12), TO_UNSIGNED(1392, 12),
TO_UNSIGNED(1661, 12), TO_UNSIGNED(1765, 12),
TO_UNSIGNED(494, 12), TO_UNSIGNED(542, 12),
TO_UNSIGNED(978, 12), TO_UNSIGNED(1380, 12),
TO_UNSIGNED(1664, 12), TO_UNSIGNED(1801, 12),
TO_UNSIGNED(496, 12), TO_UNSIGNED(544, 12),
TO_UNSIGNED(984, 12), TO_UNSIGNED(1386, 12),
TO_UNSIGNED(1667, 12), TO_UNSIGNED(1789, 12),
TO_UNSIGNED(130, 12), TO_UNSIGNED(498, 12),
TO_UNSIGNED(546, 12), TO_UNSIGNED(990, 12),
TO_UNSIGNED(1673, 12), TO_UNSIGNED(1795, 12),
TO_UNSIGNED(78, 12), TO_UNSIGNED(500, 12),
TO_UNSIGNED(548, 12), TO_UNSIGNED(996, 12),
TO_UNSIGNED(1670, 12), TO_UNSIGNED(1807, 12),
TO_UNSIGNED(502, 12), TO_UNSIGNED(550, 12),
TO_UNSIGNED(1002, 12), TO_UNSIGNED(1272, 12),
TO_UNSIGNED(1676, 12), TO_UNSIGNED(1783, 12),
TO_UNSIGNED(6, 12), TO_UNSIGNED(504, 12),
TO_UNSIGNED(552, 12), TO_UNSIGNED(1008, 12),
TO_UNSIGNED(1278, 12), TO_UNSIGNED(1679, 12),
TO_UNSIGNED(506, 12), TO_UNSIGNED(554, 12),
TO_UNSIGNED(1014, 12), TO_UNSIGNED(1284, 12),
TO_UNSIGNED(1682, 12), TO_UNSIGNED(1813, 12),
TO_UNSIGNED(136, 12), TO_UNSIGNED(508, 12),
TO_UNSIGNED(556, 12), TO_UNSIGNED(1020, 12),
TO_UNSIGNED(1266, 12), TO_UNSIGNED(1484, 12),
TO_UNSIGNED(510, 12), TO_UNSIGNED(558, 12),
TO_UNSIGNED(1026, 12), TO_UNSIGNED(1296, 12),
TO_UNSIGNED(1610, 12), TO_UNSIGNED(1687, 12),
TO_UNSIGNED(512, 12), TO_UNSIGNED(560, 12),
TO_UNSIGNED(1032, 12), TO_UNSIGNED(1290, 12),
TO_UNSIGNED(1613, 12), TO_UNSIGNED(1711, 12),
TO_UNSIGNED(514, 12), TO_UNSIGNED(562, 12),
TO_UNSIGNED(1038, 12), TO_UNSIGNED(1308, 12),
TO_UNSIGNED(1622, 12), TO_UNSIGNED(1699, 12),
TO_UNSIGNED(516, 12), TO_UNSIGNED(564, 12),
TO_UNSIGNED(1044, 12), TO_UNSIGNED(1302, 12),
TO_UNSIGNED(1625, 12), TO_UNSIGNED(1705, 12),
TO_UNSIGNED(518, 12), TO_UNSIGNED(566, 12),
TO_UNSIGNED(1050, 12), TO_UNSIGNED(1314, 12),
TO_UNSIGNED(1628, 12), TO_UNSIGNED(1693, 12),
TO_UNSIGNED(520, 12), TO_UNSIGNED(568, 12),
TO_UNSIGNED(1056, 12), TO_UNSIGNED(1320, 12),
TO_UNSIGNED(1637, 12), TO_UNSIGNED(1717, 12),
TO_UNSIGNED(523, 12), TO_UNSIGNED(570, 12),
TO_UNSIGNED(695, 12), TO_UNSIGNED(877, 12),
TO_UNSIGNED(1445, 12), TO_UNSIGNED(1535, 12),
TO_UNSIGNED(525, 12), TO_UNSIGNED(572, 12),
TO_UNSIGNED(701, 12), TO_UNSIGNED(880, 12),
TO_UNSIGNED(1448, 12), TO_UNSIGNED(1541, 12),
TO_UNSIGNED(527, 12), TO_UNSIGNED(574, 12),
TO_UNSIGNED(707, 12), TO_UNSIGNED(883, 12),
TO_UNSIGNED(1451, 12), TO_UNSIGNED(1559, 12),
TO_UNSIGNED(529, 12), TO_UNSIGNED(576, 12),
TO_UNSIGNED(713, 12), TO_UNSIGNED(886, 12),
TO_UNSIGNED(1454, 12), TO_UNSIGNED(1565, 12),
TO_UNSIGNED(531, 12), TO_UNSIGNED(578, 12),
TO_UNSIGNED(719, 12), TO_UNSIGNED(889, 12),
TO_UNSIGNED(1457, 12), TO_UNSIGNED(1577, 12),
TO_UNSIGNED(533, 12), TO_UNSIGNED(580, 12),
TO_UNSIGNED(725, 12), TO_UNSIGNED(892, 12),
TO_UNSIGNED(1463, 12), TO_UNSIGNED(1571, 12),
TO_UNSIGNED(535, 12), TO_UNSIGNED(582, 12),
TO_UNSIGNED(731, 12), TO_UNSIGNED(895, 12),
TO_UNSIGNED(1460, 12), TO_UNSIGNED(1589, 12),
TO_UNSIGNED(537, 12), TO_UNSIGNED(584, 12),
TO_UNSIGNED(737, 12), TO_UNSIGNED(898, 12),
TO_UNSIGNED(1487, 12), TO_UNSIGNED(1583, 12),
TO_UNSIGNED(539, 12), TO_UNSIGNED(586, 12),
TO_UNSIGNED(743, 12), TO_UNSIGNED(901, 12),
TO_UNSIGNED(1601, 12), TO_UNSIGNED(1619, 12),
TO_UNSIGNED(541, 12), TO_UNSIGNED(588, 12),
TO_UNSIGNED(749, 12), TO_UNSIGNED(904, 12),
TO_UNSIGNED(1400, 12), TO_UNSIGNED(1607, 12),
TO_UNSIGNED(543, 12), TO_UNSIGNED(590, 12),
TO_UNSIGNED(755, 12), TO_UNSIGNED(835, 12),
TO_UNSIGNED(1403, 12), TO_UNSIGNED(1595, 12),
TO_UNSIGNED(5, 12), TO_UNSIGNED(545, 12),
TO_UNSIGNED(592, 12), TO_UNSIGNED(761, 12),
TO_UNSIGNED(838, 12), TO_UNSIGNED(1406, 12),
TO_UNSIGNED(547, 12), TO_UNSIGNED(594, 12),
TO_UNSIGNED(767, 12), TO_UNSIGNED(841, 12),
TO_UNSIGNED(1415, 12), TO_UNSIGNED(1481, 12),
TO_UNSIGNED(549, 12), TO_UNSIGNED(596, 12),
TO_UNSIGNED(773, 12), TO_UNSIGNED(844, 12),
TO_UNSIGNED(1418, 12), TO_UNSIGNED(1469, 12),
TO_UNSIGNED(551, 12), TO_UNSIGNED(598, 12),
TO_UNSIGNED(779, 12), TO_UNSIGNED(847, 12),
TO_UNSIGNED(1421, 12), TO_UNSIGNED(1475, 12),
TO_UNSIGNED(553, 12), TO_UNSIGNED(600, 12),
TO_UNSIGNED(785, 12), TO_UNSIGNED(850, 12),
TO_UNSIGNED(1409, 12), TO_UNSIGNED(1499, 12),
TO_UNSIGNED(555, 12), TO_UNSIGNED(602, 12),
TO_UNSIGNED(791, 12), TO_UNSIGNED(853, 12),
TO_UNSIGNED(1412, 12), TO_UNSIGNED(1505, 12),
TO_UNSIGNED(557, 12), TO_UNSIGNED(604, 12),
TO_UNSIGNED(797, 12), TO_UNSIGNED(856, 12),
TO_UNSIGNED(1436, 12), TO_UNSIGNED(1511, 12),
TO_UNSIGNED(559, 12), TO_UNSIGNED(606, 12),
TO_UNSIGNED(803, 12), TO_UNSIGNED(859, 12),
TO_UNSIGNED(1433, 12), TO_UNSIGNED(1493, 12),
TO_UNSIGNED(561, 12), TO_UNSIGNED(608, 12),
TO_UNSIGNED(809, 12), TO_UNSIGNED(862, 12),
TO_UNSIGNED(1424, 12), TO_UNSIGNED(1517, 12),
TO_UNSIGNED(563, 12), TO_UNSIGNED(610, 12),
TO_UNSIGNED(815, 12), TO_UNSIGNED(865, 12),
TO_UNSIGNED(1427, 12), TO_UNSIGNED(1529, 12),
TO_UNSIGNED(565, 12), TO_UNSIGNED(612, 12),
TO_UNSIGNED(821, 12), TO_UNSIGNED(868, 12),
TO_UNSIGNED(1430, 12), TO_UNSIGNED(1523, 12),
TO_UNSIGNED(567, 12), TO_UNSIGNED(614, 12),
TO_UNSIGNED(827, 12), TO_UNSIGNED(871, 12),
TO_UNSIGNED(1439, 12), TO_UNSIGNED(1547, 12),
TO_UNSIGNED(569, 12), TO_UNSIGNED(616, 12),
TO_UNSIGNED(833, 12), TO_UNSIGNED(874, 12),
TO_UNSIGNED(1442, 12), TO_UNSIGNED(1553, 12),
TO_UNSIGNED(76, 12), TO_UNSIGNED(571, 12),
TO_UNSIGNED(922, 12), TO_UNSIGNED(1105, 12),
TO_UNSIGNED(1339, 12), TO_UNSIGNED(1796, 12),
TO_UNSIGNED(573, 12), TO_UNSIGNED(925, 12),
TO_UNSIGNED(1111, 12), TO_UNSIGNED(1345, 12),
TO_UNSIGNED(1615, 12), TO_UNSIGNED(1808, 12),
TO_UNSIGNED(575, 12), TO_UNSIGNED(928, 12),
TO_UNSIGNED(1117, 12), TO_UNSIGNED(1369, 12),
TO_UNSIGNED(1784, 12), TO_UNSIGNED(1820, 12),
TO_UNSIGNED(7, 12), TO_UNSIGNED(13, 12),
TO_UNSIGNED(577, 12), TO_UNSIGNED(931, 12),
TO_UNSIGNED(979, 12), TO_UNSIGNED(1375, 12),
TO_UNSIGNED(16, 12), TO_UNSIGNED(579, 12),
TO_UNSIGNED(934, 12), TO_UNSIGNED(985, 12),
TO_UNSIGNED(1363, 12), TO_UNSIGNED(1814, 12),
TO_UNSIGNED(19, 12), TO_UNSIGNED(137, 12),
TO_UNSIGNED(581, 12), TO_UNSIGNED(937, 12),
TO_UNSIGNED(991, 12), TO_UNSIGNED(1393, 12),
TO_UNSIGNED(22, 12), TO_UNSIGNED(583, 12),
TO_UNSIGNED(940, 12), TO_UNSIGNED(997, 12),
TO_UNSIGNED(1381, 12), TO_UNSIGNED(1688, 12),
TO_UNSIGNED(25, 12), TO_UNSIGNED(585, 12),
TO_UNSIGNED(943, 12), TO_UNSIGNED(1003, 12),
TO_UNSIGNED(1387, 12), TO_UNSIGNED(1712, 12),
TO_UNSIGNED(28, 12), TO_UNSIGNED(131, 12),
TO_UNSIGNED(587, 12), TO_UNSIGNED(946, 12),
TO_UNSIGNED(1009, 12), TO_UNSIGNED(1700, 12),
TO_UNSIGNED(31, 12), TO_UNSIGNED(79, 12),
TO_UNSIGNED(589, 12), TO_UNSIGNED(949, 12),
TO_UNSIGNED(1015, 12), TO_UNSIGNED(1706, 12),
TO_UNSIGNED(34, 12), TO_UNSIGNED(591, 12),
TO_UNSIGNED(952, 12), TO_UNSIGNED(1021, 12),
TO_UNSIGNED(1273, 12), TO_UNSIGNED(1694, 12),
TO_UNSIGNED(37, 12), TO_UNSIGNED(593, 12),
TO_UNSIGNED(955, 12), TO_UNSIGNED(1027, 12),
TO_UNSIGNED(1279, 12), TO_UNSIGNED(1718, 12),
TO_UNSIGNED(40, 12), TO_UNSIGNED(595, 12),
TO_UNSIGNED(958, 12), TO_UNSIGNED(1033, 12),
TO_UNSIGNED(1285, 12), TO_UNSIGNED(1730, 12),
TO_UNSIGNED(43, 12), TO_UNSIGNED(597, 12),
TO_UNSIGNED(961, 12), TO_UNSIGNED(1039, 12),
TO_UNSIGNED(1267, 12), TO_UNSIGNED(1724, 12),
TO_UNSIGNED(46, 12), TO_UNSIGNED(599, 12),
TO_UNSIGNED(964, 12), TO_UNSIGNED(1045, 12),
TO_UNSIGNED(1297, 12), TO_UNSIGNED(1736, 12),
TO_UNSIGNED(49, 12), TO_UNSIGNED(601, 12),
TO_UNSIGNED(967, 12), TO_UNSIGNED(1051, 12),
TO_UNSIGNED(1291, 12), TO_UNSIGNED(1772, 12),
TO_UNSIGNED(52, 12), TO_UNSIGNED(603, 12),
TO_UNSIGNED(970, 12), TO_UNSIGNED(1057, 12),
TO_UNSIGNED(1309, 12), TO_UNSIGNED(1778, 12),
TO_UNSIGNED(55, 12), TO_UNSIGNED(605, 12),
TO_UNSIGNED(973, 12), TO_UNSIGNED(1063, 12),
TO_UNSIGNED(1303, 12), TO_UNSIGNED(1742, 12),
TO_UNSIGNED(58, 12), TO_UNSIGNED(607, 12),
TO_UNSIGNED(976, 12), TO_UNSIGNED(1069, 12),
TO_UNSIGNED(1315, 12), TO_UNSIGNED(1748, 12),
TO_UNSIGNED(61, 12), TO_UNSIGNED(609, 12),
TO_UNSIGNED(907, 12), TO_UNSIGNED(1075, 12),
TO_UNSIGNED(1321, 12), TO_UNSIGNED(1754, 12),
TO_UNSIGNED(64, 12), TO_UNSIGNED(611, 12),
TO_UNSIGNED(910, 12), TO_UNSIGNED(1081, 12),
TO_UNSIGNED(1333, 12), TO_UNSIGNED(1760, 12),
TO_UNSIGNED(67, 12), TO_UNSIGNED(613, 12),
TO_UNSIGNED(913, 12), TO_UNSIGNED(1087, 12),
TO_UNSIGNED(1327, 12), TO_UNSIGNED(1766, 12),
TO_UNSIGNED(70, 12), TO_UNSIGNED(615, 12),
TO_UNSIGNED(916, 12), TO_UNSIGNED(1093, 12),
TO_UNSIGNED(1351, 12), TO_UNSIGNED(1802, 12),
TO_UNSIGNED(73, 12), TO_UNSIGNED(617, 12),
TO_UNSIGNED(919, 12), TO_UNSIGNED(1099, 12),
TO_UNSIGNED(1357, 12), TO_UNSIGNED(1790, 12),
TO_UNSIGNED(8, 12), TO_UNSIGNED(132, 12),
TO_UNSIGNED(671, 12), TO_UNSIGNED(1028, 12),
TO_UNSIGNED(1196, 12), TO_UNSIGNED(1684, 12),
TO_UNSIGNED(1685, 12), TO_UNSIGNED(80, 12),
TO_UNSIGNED(85, 12), TO_UNSIGNED(674, 12),
TO_UNSIGNED(1034, 12), TO_UNSIGNED(1217, 12),
TO_UNSIGNED(1815, 12), TO_UNSIGNED(1822, 12),
TO_UNSIGNED(87, 12), TO_UNSIGNED(138, 12),
TO_UNSIGNED(142, 12), TO_UNSIGNED(677, 12),
TO_UNSIGNED(1040, 12), TO_UNSIGNED(1214, 12),
TO_UNSIGNED(1274, 12), TO_UNSIGNED(89, 12),
TO_UNSIGNED(144, 12), TO_UNSIGNED(680, 12),
TO_UNSIGNED(1046, 12), TO_UNSIGNED(1223, 12),
TO_UNSIGNED(1280, 12), TO_UNSIGNED(1689, 12),
TO_UNSIGNED(91, 12), TO_UNSIGNED(146, 12),
TO_UNSIGNED(683, 12), TO_UNSIGNED(1052, 12),
TO_UNSIGNED(1226, 12), TO_UNSIGNED(1286, 12),
TO_UNSIGNED(1713, 12), TO_UNSIGNED(93, 12),
TO_UNSIGNED(148, 12), TO_UNSIGNED(686, 12),
TO_UNSIGNED(1058, 12), TO_UNSIGNED(1229, 12),
TO_UNSIGNED(1268, 12), TO_UNSIGNED(1701, 12),
TO_UNSIGNED(95, 12), TO_UNSIGNED(150, 12),
TO_UNSIGNED(689, 12), TO_UNSIGNED(1064, 12),
TO_UNSIGNED(1220, 12), TO_UNSIGNED(1298, 12),
TO_UNSIGNED(1707, 12), TO_UNSIGNED(97, 12),
TO_UNSIGNED(152, 12), TO_UNSIGNED(620, 12),
TO_UNSIGNED(1070, 12), TO_UNSIGNED(1235, 12),
TO_UNSIGNED(1292, 12), TO_UNSIGNED(1695, 12),
TO_UNSIGNED(99, 12), TO_UNSIGNED(154, 12),
TO_UNSIGNED(623, 12), TO_UNSIGNED(1076, 12),
TO_UNSIGNED(1238, 12), TO_UNSIGNED(1310, 12),
TO_UNSIGNED(1719, 12), TO_UNSIGNED(101, 12),
TO_UNSIGNED(156, 12), TO_UNSIGNED(626, 12),
TO_UNSIGNED(1082, 12), TO_UNSIGNED(1241, 12),
TO_UNSIGNED(1304, 12), TO_UNSIGNED(1731, 12),
TO_UNSIGNED(103, 12), TO_UNSIGNED(158, 12),
TO_UNSIGNED(629, 12), TO_UNSIGNED(1088, 12),
TO_UNSIGNED(1232, 12), TO_UNSIGNED(1316, 12),
TO_UNSIGNED(1725, 12), TO_UNSIGNED(105, 12),
TO_UNSIGNED(160, 12), TO_UNSIGNED(632, 12),
TO_UNSIGNED(1094, 12), TO_UNSIGNED(1253, 12),
TO_UNSIGNED(1322, 12), TO_UNSIGNED(1737, 12),
TO_UNSIGNED(107, 12), TO_UNSIGNED(162, 12),
TO_UNSIGNED(635, 12), TO_UNSIGNED(1100, 12),
TO_UNSIGNED(1244, 12), TO_UNSIGNED(1334, 12),
TO_UNSIGNED(1773, 12), TO_UNSIGNED(109, 12),
TO_UNSIGNED(164, 12), TO_UNSIGNED(638, 12),
TO_UNSIGNED(1106, 12), TO_UNSIGNED(1247, 12),
TO_UNSIGNED(1328, 12), TO_UNSIGNED(1779, 12),
TO_UNSIGNED(111, 12), TO_UNSIGNED(166, 12),
TO_UNSIGNED(641, 12), TO_UNSIGNED(1112, 12),
TO_UNSIGNED(1250, 12), TO_UNSIGNED(1352, 12),
TO_UNSIGNED(1743, 12), TO_UNSIGNED(113, 12),
TO_UNSIGNED(168, 12), TO_UNSIGNED(644, 12),
TO_UNSIGNED(1118, 12), TO_UNSIGNED(1259, 12),
TO_UNSIGNED(1358, 12), TO_UNSIGNED(1749, 12),
TO_UNSIGNED(115, 12), TO_UNSIGNED(170, 12),
TO_UNSIGNED(647, 12), TO_UNSIGNED(980, 12),
TO_UNSIGNED(1256, 12), TO_UNSIGNED(1340, 12),
TO_UNSIGNED(1755, 12), TO_UNSIGNED(117, 12),
TO_UNSIGNED(172, 12), TO_UNSIGNED(650, 12),
TO_UNSIGNED(986, 12), TO_UNSIGNED(1265, 12),
TO_UNSIGNED(1346, 12), TO_UNSIGNED(1761, 12),
TO_UNSIGNED(119, 12), TO_UNSIGNED(174, 12),
TO_UNSIGNED(653, 12), TO_UNSIGNED(992, 12),
TO_UNSIGNED(1262, 12), TO_UNSIGNED(1370, 12),
TO_UNSIGNED(1767, 12), TO_UNSIGNED(121, 12),
TO_UNSIGNED(176, 12), TO_UNSIGNED(656, 12),
TO_UNSIGNED(998, 12), TO_UNSIGNED(1199, 12),
TO_UNSIGNED(1376, 12), TO_UNSIGNED(1803, 12),
TO_UNSIGNED(123, 12), TO_UNSIGNED(178, 12),
TO_UNSIGNED(659, 12), TO_UNSIGNED(1004, 12),
TO_UNSIGNED(1202, 12), TO_UNSIGNED(1364, 12),
TO_UNSIGNED(1791, 12), TO_UNSIGNED(125, 12),
TO_UNSIGNED(180, 12), TO_UNSIGNED(662, 12),
TO_UNSIGNED(1010, 12), TO_UNSIGNED(1205, 12),
TO_UNSIGNED(1394, 12), TO_UNSIGNED(1797, 12),
TO_UNSIGNED(127, 12), TO_UNSIGNED(182, 12),
TO_UNSIGNED(665, 12), TO_UNSIGNED(1016, 12),
TO_UNSIGNED(1208, 12), TO_UNSIGNED(1382, 12),
TO_UNSIGNED(1809, 12), TO_UNSIGNED(129, 12),
TO_UNSIGNED(184, 12), TO_UNSIGNED(668, 12),
TO_UNSIGNED(1022, 12), TO_UNSIGNED(1211, 12),
TO_UNSIGNED(1388, 12), TO_UNSIGNED(1785, 12),
TO_UNSIGNED(187, 12), TO_UNSIGNED(836, 12),
TO_UNSIGNED(1083, 12), TO_UNSIGNED(1140, 12),
TO_UNSIGNED(1371, 12), TO_UNSIGNED(1686, 12),
TO_UNSIGNED(1690, 12), TO_UNSIGNED(189, 12),
TO_UNSIGNED(839, 12), TO_UNSIGNED(1089, 12),
TO_UNSIGNED(1143, 12), TO_UNSIGNED(1377, 12),
TO_UNSIGNED(1714, 12), TO_UNSIGNED(1823, 12),
TO_UNSIGNED(143, 12), TO_UNSIGNED(191, 12),
TO_UNSIGNED(842, 12), TO_UNSIGNED(1095, 12),
TO_UNSIGNED(1146, 12), TO_UNSIGNED(1365, 12),
TO_UNSIGNED(1702, 12), TO_UNSIGNED(145, 12),
TO_UNSIGNED(193, 12), TO_UNSIGNED(845, 12),
TO_UNSIGNED(1101, 12), TO_UNSIGNED(1149, 12),
TO_UNSIGNED(1395, 12), TO_UNSIGNED(1708, 12),
TO_UNSIGNED(147, 12), TO_UNSIGNED(195, 12),
TO_UNSIGNED(848, 12), TO_UNSIGNED(1107, 12),
TO_UNSIGNED(1152, 12), TO_UNSIGNED(1383, 12),
TO_UNSIGNED(1696, 12), TO_UNSIGNED(149, 12),
TO_UNSIGNED(197, 12), TO_UNSIGNED(851, 12),
TO_UNSIGNED(1113, 12), TO_UNSIGNED(1155, 12),
TO_UNSIGNED(1389, 12), TO_UNSIGNED(1720, 12),
TO_UNSIGNED(133, 12), TO_UNSIGNED(151, 12),
TO_UNSIGNED(199, 12), TO_UNSIGNED(854, 12),
TO_UNSIGNED(1119, 12), TO_UNSIGNED(1158, 12),
TO_UNSIGNED(1732, 12), TO_UNSIGNED(81, 12),
TO_UNSIGNED(153, 12), TO_UNSIGNED(201, 12),
TO_UNSIGNED(857, 12), TO_UNSIGNED(981, 12),
TO_UNSIGNED(1161, 12), TO_UNSIGNED(1726, 12),
TO_UNSIGNED(155, 12), TO_UNSIGNED(203, 12),
TO_UNSIGNED(860, 12), TO_UNSIGNED(987, 12),
TO_UNSIGNED(1164, 12), TO_UNSIGNED(1275, 12),
TO_UNSIGNED(1738, 12), TO_UNSIGNED(157, 12),
TO_UNSIGNED(205, 12), TO_UNSIGNED(863, 12),
TO_UNSIGNED(993, 12), TO_UNSIGNED(1167, 12),
TO_UNSIGNED(1281, 12), TO_UNSIGNED(1774, 12),
TO_UNSIGNED(159, 12), TO_UNSIGNED(207, 12),
TO_UNSIGNED(866, 12), TO_UNSIGNED(999, 12),
TO_UNSIGNED(1170, 12), TO_UNSIGNED(1287, 12),
TO_UNSIGNED(1780, 12), TO_UNSIGNED(161, 12),
TO_UNSIGNED(209, 12), TO_UNSIGNED(869, 12),
TO_UNSIGNED(1005, 12), TO_UNSIGNED(1173, 12),
TO_UNSIGNED(1269, 12), TO_UNSIGNED(1744, 12),
TO_UNSIGNED(163, 12), TO_UNSIGNED(211, 12),
TO_UNSIGNED(872, 12), TO_UNSIGNED(1011, 12),
TO_UNSIGNED(1176, 12), TO_UNSIGNED(1299, 12),
TO_UNSIGNED(1750, 12), TO_UNSIGNED(165, 12),
TO_UNSIGNED(213, 12), TO_UNSIGNED(875, 12),
TO_UNSIGNED(1017, 12), TO_UNSIGNED(1179, 12),
TO_UNSIGNED(1293, 12), TO_UNSIGNED(1756, 12),
TO_UNSIGNED(167, 12), TO_UNSIGNED(215, 12),
TO_UNSIGNED(878, 12), TO_UNSIGNED(1023, 12),
TO_UNSIGNED(1182, 12), TO_UNSIGNED(1311, 12),
TO_UNSIGNED(1762, 12), TO_UNSIGNED(169, 12),
TO_UNSIGNED(217, 12), TO_UNSIGNED(881, 12),
TO_UNSIGNED(1029, 12), TO_UNSIGNED(1185, 12),
TO_UNSIGNED(1305, 12), TO_UNSIGNED(1768, 12),
TO_UNSIGNED(171, 12), TO_UNSIGNED(219, 12),
TO_UNSIGNED(884, 12), TO_UNSIGNED(1035, 12),
TO_UNSIGNED(1188, 12), TO_UNSIGNED(1317, 12),
TO_UNSIGNED(1804, 12), TO_UNSIGNED(173, 12),
TO_UNSIGNED(221, 12), TO_UNSIGNED(887, 12),
TO_UNSIGNED(1041, 12), TO_UNSIGNED(1191, 12),
TO_UNSIGNED(1323, 12), TO_UNSIGNED(1792, 12),
TO_UNSIGNED(175, 12), TO_UNSIGNED(223, 12),
TO_UNSIGNED(890, 12), TO_UNSIGNED(1047, 12),
TO_UNSIGNED(1122, 12), TO_UNSIGNED(1335, 12),
TO_UNSIGNED(1798, 12), TO_UNSIGNED(177, 12),
TO_UNSIGNED(225, 12), TO_UNSIGNED(893, 12),
TO_UNSIGNED(1053, 12), TO_UNSIGNED(1125, 12),
TO_UNSIGNED(1329, 12), TO_UNSIGNED(1810, 12),
TO_UNSIGNED(179, 12), TO_UNSIGNED(227, 12),
TO_UNSIGNED(896, 12), TO_UNSIGNED(1059, 12),
TO_UNSIGNED(1128, 12), TO_UNSIGNED(1353, 12),
TO_UNSIGNED(1786, 12), TO_UNSIGNED(9, 12),
TO_UNSIGNED(181, 12), TO_UNSIGNED(229, 12),
TO_UNSIGNED(899, 12), TO_UNSIGNED(1065, 12),
TO_UNSIGNED(1131, 12), TO_UNSIGNED(1359, 12),
TO_UNSIGNED(183, 12), TO_UNSIGNED(231, 12),
TO_UNSIGNED(902, 12), TO_UNSIGNED(1071, 12),
TO_UNSIGNED(1134, 12), TO_UNSIGNED(1341, 12),
TO_UNSIGNED(1816, 12), TO_UNSIGNED(139, 12),
TO_UNSIGNED(185, 12), TO_UNSIGNED(233, 12),
TO_UNSIGNED(905, 12), TO_UNSIGNED(1077, 12),
TO_UNSIGNED(1137, 12), TO_UNSIGNED(1347, 12),
TO_UNSIGNED(283, 12), TO_UNSIGNED(331, 12),
TO_UNSIGNED(1000, 12), TO_UNSIGNED(1123, 12),
TO_UNSIGNED(1300, 12), TO_UNSIGNED(1616, 12),
TO_UNSIGNED(1721, 12), TO_UNSIGNED(285, 12),
TO_UNSIGNED(333, 12), TO_UNSIGNED(1006, 12),
TO_UNSIGNED(1126, 12), TO_UNSIGNED(1294, 12),
TO_UNSIGNED(1733, 12), TO_UNSIGNED(1821, 12),
TO_UNSIGNED(14, 12), TO_UNSIGNED(287, 12),
TO_UNSIGNED(335, 12), TO_UNSIGNED(1012, 12),
TO_UNSIGNED(1129, 12), TO_UNSIGNED(1312, 12),
TO_UNSIGNED(1727, 12), TO_UNSIGNED(17, 12),
TO_UNSIGNED(289, 12), TO_UNSIGNED(337, 12),
TO_UNSIGNED(1018, 12), TO_UNSIGNED(1132, 12),
TO_UNSIGNED(1306, 12), TO_UNSIGNED(1739, 12),
TO_UNSIGNED(20, 12), TO_UNSIGNED(291, 12),
TO_UNSIGNED(339, 12), TO_UNSIGNED(1024, 12),
TO_UNSIGNED(1135, 12), TO_UNSIGNED(1318, 12),
TO_UNSIGNED(1775, 12), TO_UNSIGNED(23, 12),
TO_UNSIGNED(293, 12), TO_UNSIGNED(341, 12),
TO_UNSIGNED(1030, 12), TO_UNSIGNED(1138, 12),
TO_UNSIGNED(1324, 12), TO_UNSIGNED(1781, 12),
TO_UNSIGNED(26, 12), TO_UNSIGNED(295, 12),
TO_UNSIGNED(343, 12), TO_UNSIGNED(1036, 12),
TO_UNSIGNED(1141, 12), TO_UNSIGNED(1336, 12),
TO_UNSIGNED(1745, 12), TO_UNSIGNED(29, 12),
TO_UNSIGNED(297, 12), TO_UNSIGNED(345, 12),
TO_UNSIGNED(1042, 12), TO_UNSIGNED(1144, 12),
TO_UNSIGNED(1330, 12), TO_UNSIGNED(1751, 12),
TO_UNSIGNED(32, 12), TO_UNSIGNED(299, 12),
TO_UNSIGNED(347, 12), TO_UNSIGNED(1048, 12),
TO_UNSIGNED(1147, 12), TO_UNSIGNED(1354, 12),
TO_UNSIGNED(1757, 12), TO_UNSIGNED(35, 12),
TO_UNSIGNED(301, 12), TO_UNSIGNED(349, 12),
TO_UNSIGNED(1054, 12), TO_UNSIGNED(1150, 12),
TO_UNSIGNED(1360, 12), TO_UNSIGNED(1763, 12),
TO_UNSIGNED(38, 12), TO_UNSIGNED(303, 12),
TO_UNSIGNED(351, 12), TO_UNSIGNED(1060, 12),
TO_UNSIGNED(1153, 12), TO_UNSIGNED(1342, 12),
TO_UNSIGNED(1769, 12), TO_UNSIGNED(41, 12),
TO_UNSIGNED(305, 12), TO_UNSIGNED(353, 12),
TO_UNSIGNED(1066, 12), TO_UNSIGNED(1156, 12),
TO_UNSIGNED(1348, 12), TO_UNSIGNED(1805, 12),
TO_UNSIGNED(44, 12), TO_UNSIGNED(307, 12),
TO_UNSIGNED(355, 12), TO_UNSIGNED(1072, 12),
TO_UNSIGNED(1159, 12), TO_UNSIGNED(1372, 12),
TO_UNSIGNED(1793, 12), TO_UNSIGNED(47, 12),
TO_UNSIGNED(309, 12), TO_UNSIGNED(357, 12),
TO_UNSIGNED(1078, 12), TO_UNSIGNED(1162, 12),
TO_UNSIGNED(1378, 12), TO_UNSIGNED(1799, 12),
TO_UNSIGNED(50, 12), TO_UNSIGNED(311, 12),
TO_UNSIGNED(359, 12), TO_UNSIGNED(1084, 12),
TO_UNSIGNED(1165, 12), TO_UNSIGNED(1366, 12),
TO_UNSIGNED(1811, 12), TO_UNSIGNED(53, 12),
TO_UNSIGNED(313, 12), TO_UNSIGNED(361, 12),
TO_UNSIGNED(1090, 12), TO_UNSIGNED(1168, 12),
TO_UNSIGNED(1396, 12), TO_UNSIGNED(1787, 12),
TO_UNSIGNED(10, 12), TO_UNSIGNED(56, 12),
TO_UNSIGNED(315, 12), TO_UNSIGNED(363, 12),
TO_UNSIGNED(1096, 12), TO_UNSIGNED(1171, 12),
TO_UNSIGNED(1384, 12), TO_UNSIGNED(59, 12),
TO_UNSIGNED(317, 12), TO_UNSIGNED(365, 12),
TO_UNSIGNED(1102, 12), TO_UNSIGNED(1174, 12),
TO_UNSIGNED(1390, 12), TO_UNSIGNED(1817, 12),
TO_UNSIGNED(62, 12), TO_UNSIGNED(134, 12),
TO_UNSIGNED(140, 12), TO_UNSIGNED(319, 12),
TO_UNSIGNED(367, 12), TO_UNSIGNED(1108, 12),
TO_UNSIGNED(1177, 12), TO_UNSIGNED(65, 12),
TO_UNSIGNED(82, 12), TO_UNSIGNED(321, 12),
TO_UNSIGNED(369, 12), TO_UNSIGNED(1114, 12),
TO_UNSIGNED(1180, 12), TO_UNSIGNED(1691, 12),
TO_UNSIGNED(68, 12), TO_UNSIGNED(323, 12),
TO_UNSIGNED(371, 12), TO_UNSIGNED(1120, 12),
TO_UNSIGNED(1183, 12), TO_UNSIGNED(1276, 12),
TO_UNSIGNED(1715, 12), TO_UNSIGNED(71, 12),
TO_UNSIGNED(325, 12), TO_UNSIGNED(373, 12),
TO_UNSIGNED(982, 12), TO_UNSIGNED(1186, 12),
TO_UNSIGNED(1282, 12), TO_UNSIGNED(1703, 12),
TO_UNSIGNED(74, 12), TO_UNSIGNED(327, 12),
TO_UNSIGNED(375, 12), TO_UNSIGNED(988, 12),
TO_UNSIGNED(1189, 12), TO_UNSIGNED(1288, 12),
TO_UNSIGNED(1709, 12), TO_UNSIGNED(77, 12),
TO_UNSIGNED(329, 12), TO_UNSIGNED(377, 12),
TO_UNSIGNED(994, 12), TO_UNSIGNED(1192, 12),
TO_UNSIGNED(1270, 12), TO_UNSIGNED(1697, 12),
TO_UNSIGNED(427, 12), TO_UNSIGNED(475, 12),
TO_UNSIGNED(944, 12), TO_UNSIGNED(1025, 12),
TO_UNSIGNED(1169, 12), TO_UNSIGNED(1343, 12),
TO_UNSIGNED(1752, 12), TO_UNSIGNED(429, 12),
TO_UNSIGNED(477, 12), TO_UNSIGNED(947, 12),
TO_UNSIGNED(1031, 12), TO_UNSIGNED(1172, 12),
TO_UNSIGNED(1349, 12), TO_UNSIGNED(1758, 12),
TO_UNSIGNED(431, 12), TO_UNSIGNED(479, 12),
TO_UNSIGNED(950, 12), TO_UNSIGNED(1037, 12),
TO_UNSIGNED(1175, 12), TO_UNSIGNED(1373, 12),
TO_UNSIGNED(1764, 12), TO_UNSIGNED(433, 12),
TO_UNSIGNED(481, 12), TO_UNSIGNED(953, 12),
TO_UNSIGNED(1043, 12), TO_UNSIGNED(1178, 12),
TO_UNSIGNED(1379, 12), TO_UNSIGNED(1770, 12),
TO_UNSIGNED(435, 12), TO_UNSIGNED(483, 12),
TO_UNSIGNED(956, 12), TO_UNSIGNED(1049, 12),
TO_UNSIGNED(1181, 12), TO_UNSIGNED(1367, 12),
TO_UNSIGNED(1806, 12), TO_UNSIGNED(437, 12),
TO_UNSIGNED(485, 12), TO_UNSIGNED(959, 12),
TO_UNSIGNED(1055, 12), TO_UNSIGNED(1184, 12),
TO_UNSIGNED(1397, 12), TO_UNSIGNED(1794, 12),
TO_UNSIGNED(439, 12), TO_UNSIGNED(487, 12),
TO_UNSIGNED(962, 12), TO_UNSIGNED(1061, 12),
TO_UNSIGNED(1187, 12), TO_UNSIGNED(1385, 12),
TO_UNSIGNED(1800, 12), TO_UNSIGNED(441, 12),
TO_UNSIGNED(489, 12), TO_UNSIGNED(965, 12),
TO_UNSIGNED(1067, 12), TO_UNSIGNED(1190, 12),
TO_UNSIGNED(1391, 12), TO_UNSIGNED(1812, 12),
TO_UNSIGNED(135, 12), TO_UNSIGNED(443, 12),
TO_UNSIGNED(491, 12), TO_UNSIGNED(968, 12),
TO_UNSIGNED(1073, 12), TO_UNSIGNED(1193, 12),
TO_UNSIGNED(1788, 12), TO_UNSIGNED(11, 12),
TO_UNSIGNED(83, 12), TO_UNSIGNED(445, 12),
TO_UNSIGNED(493, 12), TO_UNSIGNED(971, 12),
TO_UNSIGNED(1079, 12), TO_UNSIGNED(1124, 12),
TO_UNSIGNED(447, 12), TO_UNSIGNED(495, 12),
TO_UNSIGNED(974, 12), TO_UNSIGNED(1085, 12),
TO_UNSIGNED(1127, 12), TO_UNSIGNED(1277, 12),
TO_UNSIGNED(1818, 12), TO_UNSIGNED(141, 12),
TO_UNSIGNED(449, 12), TO_UNSIGNED(497, 12),
TO_UNSIGNED(977, 12), TO_UNSIGNED(1091, 12),
TO_UNSIGNED(1130, 12), TO_UNSIGNED(1283, 12),
TO_UNSIGNED(451, 12), TO_UNSIGNED(499, 12),
TO_UNSIGNED(908, 12), TO_UNSIGNED(1097, 12),
TO_UNSIGNED(1133, 12), TO_UNSIGNED(1289, 12),
TO_UNSIGNED(1692, 12), TO_UNSIGNED(453, 12),
TO_UNSIGNED(501, 12), TO_UNSIGNED(911, 12),
TO_UNSIGNED(1103, 12), TO_UNSIGNED(1136, 12),
TO_UNSIGNED(1271, 12), TO_UNSIGNED(1716, 12),
TO_UNSIGNED(455, 12), TO_UNSIGNED(503, 12),
TO_UNSIGNED(914, 12), TO_UNSIGNED(1109, 12),
TO_UNSIGNED(1139, 12), TO_UNSIGNED(1301, 12),
TO_UNSIGNED(1704, 12), TO_UNSIGNED(457, 12),
TO_UNSIGNED(505, 12), TO_UNSIGNED(917, 12),
TO_UNSIGNED(1115, 12), TO_UNSIGNED(1142, 12),
TO_UNSIGNED(1295, 12), TO_UNSIGNED(1710, 12),
TO_UNSIGNED(459, 12), TO_UNSIGNED(507, 12),
TO_UNSIGNED(920, 12), TO_UNSIGNED(1121, 12),
TO_UNSIGNED(1145, 12), TO_UNSIGNED(1313, 12),
TO_UNSIGNED(1698, 12), TO_UNSIGNED(461, 12),
TO_UNSIGNED(509, 12), TO_UNSIGNED(923, 12),
TO_UNSIGNED(983, 12), TO_UNSIGNED(1148, 12),
TO_UNSIGNED(1307, 12), TO_UNSIGNED(1722, 12),
TO_UNSIGNED(463, 12), TO_UNSIGNED(511, 12),
TO_UNSIGNED(926, 12), TO_UNSIGNED(989, 12),
TO_UNSIGNED(1151, 12), TO_UNSIGNED(1319, 12),
TO_UNSIGNED(1734, 12), TO_UNSIGNED(465, 12),
TO_UNSIGNED(513, 12), TO_UNSIGNED(929, 12),
TO_UNSIGNED(995, 12), TO_UNSIGNED(1154, 12),
TO_UNSIGNED(1325, 12), TO_UNSIGNED(1728, 12),
TO_UNSIGNED(467, 12), TO_UNSIGNED(515, 12),
TO_UNSIGNED(932, 12), TO_UNSIGNED(1001, 12),
TO_UNSIGNED(1157, 12), TO_UNSIGNED(1337, 12),
TO_UNSIGNED(1740, 12), TO_UNSIGNED(469, 12),
TO_UNSIGNED(517, 12), TO_UNSIGNED(935, 12),
TO_UNSIGNED(1007, 12), TO_UNSIGNED(1160, 12),
TO_UNSIGNED(1331, 12), TO_UNSIGNED(1776, 12),
TO_UNSIGNED(471, 12), TO_UNSIGNED(519, 12),
TO_UNSIGNED(938, 12), TO_UNSIGNED(1013, 12),
TO_UNSIGNED(1163, 12), TO_UNSIGNED(1355, 12),
TO_UNSIGNED(1782, 12), TO_UNSIGNED(473, 12),
TO_UNSIGNED(521, 12), TO_UNSIGNED(941, 12),
TO_UNSIGNED(1019, 12), TO_UNSIGNED(1166, 12),
TO_UNSIGNED(1361, 12), TO_UNSIGNED(1746, 12)
);
SIGNAL READ_C : UNSIGNED(11 downto 0);
SIGNAL WRITE_C : UNSIGNED(11 downto 0);
SIGNAL ROM_ADR : UNSIGNED(11 downto 0);
BEGIN
-------------------------------------------------------------------------
-- synthesis translate_off
PROCESS
BEGIN
WAIT FOR 1 ns;
--printmsg("(IMS) Q16_8_IndexLUT : ALLOCATION OK !");
WAIT;
END PROCESS;
-- synthesis translate_on
-------------------------------------------------------------------------
--
--
--
process(clock, reset)
VARIABLE TEMP : UNSIGNED(11 downto 0);
begin
if reset = '0' then
WRITE_C <= TO_UNSIGNED(0, 12);
elsif clock'event and clock = '1' then
if write_en = '1' then
TEMP := WRITE_C + TO_UNSIGNED(1, 12);
IF TEMP = 1824 THEN
TEMP := TO_UNSIGNED(0, 12);
END IF;
WRITE_C <= TEMP;
else
WRITE_C <= WRITE_C;
end if;
WE_BIS <= write_en;
IN_BIS <= INPUT_1;
end if;
end process;
--
--
--
process(clock, reset)
VARIABLE TEMP : UNSIGNED(11 downto 0);
begin
if reset = '0' then
READ_C <= TO_UNSIGNED(0, 12);
elsif clock'event and clock = '1' then
if read_en = '1' then
TEMP := READ_C + TO_UNSIGNED(1, 12);
IF TEMP = 1824 THEN
TEMP := TO_UNSIGNED(0, 12);
END IF;
READ_C <= TEMP;
else
READ_C <= READ_C;
end if;
end if;
end process;
--
--
--
process(clock)
VARIABLE ADR : INTEGER RANGE 0 to 1823;
VARIABLE POS : INTEGER RANGE 0 to 1823;
begin
if clock'event and clock = '1' then
ADR := to_integer( WRITE_C );
ROM_ADR <= ROM( ADR );
end if;
end process;
--
--
--
process(clock)
begin
if clock'event and clock = '1' then
if WE_BIS = '1' then
RAM( to_integer( ROM_ADR ) ) <= IN_BIS;
end if;
OUTPUT_1 <= RAM( to_integer(READ_C) );
end if;
end process;
END cRAM;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity kn_kalman_final is
port
( clock : in std_logic;
Uofk : in std_logic_vector(31 downto 0);
Vrefofkplusone : in std_logic_vector(31 downto 0);
Vactcapofk_mux_sel : in std_logic;
Vactcapofk_sel : in std_logic;
Vactcapofk_reset : in std_logic;
Pofk_mux_sel : in std_logic;
Pofk_sel : in std_logic;
Pofk_reset : in std_logic;
Vactcapofkplusone_sel : in std_logic;
Vactcapofkplusone_reset : in std_logic;
Pofkplusone_sel : in std_logic;
Pofkplusone_reset : in std_logic;
Pofkplusone : out std_logic_vector(31 downto 0);
Vactcapofkplusone : out std_logic_vector(31 downto 0);
Vactcapofkplusone_enable : out std_logic;
Pofkplusone_enable : out std_logic
);
end kn_kalman_final;
architecture struct of kn_kalman_final is
component kn_kalman_Vactcapdashofkplusone is
port
( clock : in std_logic;
Vactcapofk : in std_logic_vector(31 downto 0);
M : in std_logic_vector(31 downto 0);
Uofk : in std_logic_vector(31 downto 0);
Vactcapdashofkplusone : out std_logic_vector(31 downto 0)
);
end component;
component kn_kalman_Pdashofkplusone is
port
( clock : in std_logic;
Pofk : in std_logic_vector(31 downto 0);
Q : in std_logic_vector(31 downto 0);
Pdashofkplusone : out std_logic_vector(31 downto 0)
);
end component;
component kn_kalman_Kofkplusone is
port
( clock : in std_logic;
Pdashofkplusone : in std_logic_vector(31 downto 0);
R : in std_logic_vector(31 downto 0);
Kofkplusone : out std_logic_vector(31 downto 0)
);
end component;
component kn_kalman_Pofkplusone is
port
( clock : in std_logic;
Pdashofkplusone : in std_logic_vector(31 downto 0);
Kofkplusone : in std_logic_vector(31 downto 0);
Pofkplusone : out std_logic_vector(31 downto 0)
);
end component;
component kn_kalman_Vactcapofkplusone is
port
( clock : in std_logic;
Vactcapdashofkplusone : in std_logic_vector(31 downto 0);
Vrefofkplusone : in std_logic_vector(31 downto 0);
Kofkplusone : in std_logic_vector(31 downto 0);
Vactcapofkplusone : out std_logic_vector(31 downto 0)
);
end component;
component mux is
port
( clock : in std_logic;
a : in std_logic_vector(31 downto 0);
b : in std_logic_vector(31 downto 0);
Z : in std_logic;
prod : out std_logic_vector(31 downto 0));
end component;
component kr_regbuf is
port ( clock,reset,load : in std_logic;
I : in std_logic_vector (31 downto 0);
Y : out std_logic_vector (31 downto 0) );
end component;
component kr_regbuf_enable is
port ( clock,reset,load : in std_logic;
I : in std_logic_vector (31 downto 0);
Y : out std_logic_vector (31 downto 0);
enable : out std_logic );
end component;
signal Vactcapdashofkplusone,Pdashofkplusone,Kofkplusone : std_logic_vector(31 downto 0);
signal Vactcapofk_initial : std_logic_vector (31 downto 0) := "00111111111011001100110011001101";
signal Pofk_initial : std_logic_vector (31 downto 0) := "00111111011111010111000010100100";
signal M : std_logic_vector (31 downto 0) := "00111100001000111101011100001010";
signal Q : std_logic_vector (31 downto 0) := "00111100001000111101011100001010";
signal R : std_logic_vector (31 downto 0) := "00111000110100011011011100010111";
signal V1,V2,V3,J1,J2,J3,K1,K2,K3,N1,N2 : std_logic_vector (31 downto 0);
begin
M1 : mux port map
( clock => clock,
a => Vactcapofk_initial,
b => K1,
z => Vactcapofk_mux_sel,
prod => V1);
M2 : kr_regbuf port map
( clock => clock,
reset => Vactcapofk_reset,
load => Vactcapofk_sel,
I => V1,
Y => J1);
M3 : mux port map
( clock => clock,
a => Pofk_initial,
b => K2,
z => Pofk_mux_sel,
prod => V2);
M4 : kr_regbuf port map
( clock => clock,
reset => Pofk_reset,
load => Pofk_sel,
I => V2,
Y => J2);
M5 : kn_kalman_Vactcapdashofkplusone
port map
( clock => clock,
Vactcapofk => J1,
M => M,
Uofk => Uofk,
Vactcapdashofkplusone => Vactcapdashofkplusone );
M6 : kn_kalman_Pdashofkplusone
port map
( clock => clock,
Pofk => J2,
Q => Q,
Pdashofkplusone => Pdashofkplusone );
M7 : kn_kalman_Kofkplusone
port map
( clock => clock,
Pdashofkplusone => Pdashofkplusone,
R => R,
Kofkplusone => Kofkplusone );
M8 : kn_kalman_Pofkplusone
port map
( clock => clock,
Pdashofkplusone => Pdashofkplusone,
Kofkplusone => Kofkplusone,
Pofkplusone => N2 );
M9 : kr_regbuf_enable
port map
( clock => clock,
reset => Pofkplusone_reset,
load => Pofkplusone_sel,
I => N2,
Y => K2,
enable => Pofkplusone_enable);
M10 : kn_kalman_Vactcapofkplusone
port map
( clock => clock,
Vactcapdashofkplusone => Vactcapdashofkplusone,
Vrefofkplusone => Vrefofkplusone,
Kofkplusone => Kofkplusone,
Vactcapofkplusone => N1 );
M11 : kr_regbuf_enable
port map
( clock => clock,
reset => Vactcapofkplusone_reset,
load => Vactcapofkplusone_sel,
I => N1,
Y => K1,
enable => Vactcapofkplusone_enable);
Pofkplusone <= K2;
Vactcapofkplusone <= K1;
end struct;
|
-- NEED RESULT: ARCH00033.P1: Target of a variable assignment may be a aggregate of indexed names passed
-- NEED RESULT: ARCH00033.P2: Target of a variable assignment may be a aggregate of indexed names passed
-- NEED RESULT: ARCH00033.P3: Target of a variable assignment may be a aggregate of indexed names passed
-- NEED RESULT: ARCH00033.P4: Target of a variable assignment may be a aggregate of indexed names passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00033
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 8.4 (1)
-- 8.4 (3)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00033)
-- ENT00033_Test_Bench(ARCH00033_Test_Bench)
--
-- REVISION HISTORY:
--
-- 29-JUN-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00033 of E00000 is
signal Dummy : Boolean := false ;
--
begin
P1 :
process ( Dummy )
type arr_boolean is
array (integer range -1 downto - 3 ) of
boolean ;
type arr_bit is
array (integer range -1 downto - 3 ) of
bit ;
type arr_severity_level is
array (integer range -1 downto - 3 ) of
severity_level ;
type arr_character is
array (integer range -1 downto - 3 ) of
character ;
type arr_st_enum1 is
array (integer range -1 downto - 3 ) of
st_enum1 ;
type arr_integer is
array (integer range -1 downto - 3 ) of
integer ;
type arr_st_int1 is
array (integer range -1 downto - 3 ) of
st_int1 ;
type arr_time is
array (integer range -1 downto - 3 ) of
time ;
type arr_st_phys1 is
array (integer range -1 downto - 3 ) of
st_phys1 ;
type arr_real is
array (integer range -1 downto - 3 ) of
real ;
type arr_st_real1 is
array (integer range -1 downto - 3 ) of
st_real1 ;
type arr_st_rec1 is
array (integer range -1 downto - 3 ) of
st_rec1 ;
type arr_st_rec2 is
array (integer range -1 downto - 3 ) of
st_rec2 ;
type arr_st_rec3 is
array (integer range -1 downto - 3 ) of
st_rec3 ;
type arr_st_arr1 is
array (integer range -1 downto - 3 ) of
st_arr1 ;
type arr_st_arr2 is
array (integer range -1 downto - 3 ) of
st_arr2 ;
type arr_st_arr3 is
array (integer range -1 downto - 3 ) of
st_arr3 ;
--
variable v_st_rec3_1 : st_rec3 :=
c_st_rec3_1 ;
variable v_st_arr1_1 : st_arr1 :=
c_st_arr1_1 ;
variable v_st_arr2_1 : st_arr2 :=
c_st_arr2_1 ;
variable v_st_arr3_1 : st_arr3 :=
c_st_arr3_1 ;
--
variable v_st_rec3_2 : st_rec3 :=
c_st_rec3_1 ;
variable v_st_arr1_2 : st_arr1 :=
c_st_arr1_1 ;
variable v_st_arr2_2 : st_arr2 :=
c_st_arr2_1 ;
variable v_st_arr3_2 : st_arr3 :=
c_st_arr3_1 ;
--
variable v_st_rec3_3 : st_rec3 :=
c_st_rec3_1 ;
variable v_st_arr1_3 : st_arr1 :=
c_st_arr1_1 ;
variable v_st_arr2_3 : st_arr2 :=
c_st_arr2_1 ;
variable v_st_arr3_3 : st_arr3 :=
c_st_arr3_1 ;
--
variable correct : boolean := true ;
begin
(
v_st_rec3_1.f3(st_arr2'Left(1),st_arr2'Left(2))
, v_st_rec3_2.f3(st_arr2'Left(1),st_arr2'Left(2))
, v_st_rec3_3.f3(st_arr2'Left(1),st_arr2'Left(2))
) :=
arr_st_arr1 ' (
(others => c_st_rec3_2.f3(st_arr2'Right(1),st_arr2'Right(2) ))) ;
--
(
v_st_arr1_1(st_arr1'Left)
, v_st_arr1_2(st_arr1'Left)
, v_st_arr1_3(st_arr1'Left)
) :=
arr_st_int1 ' (
(others => c_st_arr1_2(st_arr1'Right))) ;
--
(
v_st_arr2_1(st_arr2'Left(1),st_arr2'Left(2))
, v_st_arr2_2(st_arr2'Left(1),st_arr2'Left(2))
, v_st_arr2_3(st_arr2'Left(1),st_arr2'Left(2))
) :=
arr_st_arr1 ' (
(others => c_st_arr2_2(st_arr2'Right(1),st_arr2'Right(2)))) ;
--
(
v_st_arr3_1(st_arr3'Left(1),st_arr3'Left(2))
, v_st_arr3_2(st_arr3'Left(1),st_arr3'Left(2))
, v_st_arr3_3(st_arr3'Left(1),st_arr3'Left(2))
) :=
arr_st_rec3 ' (
(others => c_st_arr3_2(st_arr3'Right(1),st_arr3'Right(2)))) ;
--
correct := correct and
v_st_rec3_1.f3(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr1_1(st_arr1'Left) =
c_st_int1_2 ;
correct := correct and
v_st_arr2_1(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr3_1(st_arr3'Left(1),st_arr3'Left(2)) =
c_st_rec3_2 ;
--
correct := correct and
v_st_rec3_2.f3(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr1_2(st_arr1'Left) =
c_st_int1_2 ;
correct := correct and
v_st_arr2_2(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr3_2(st_arr3'Left(1),st_arr3'Left(2)) =
c_st_rec3_2 ;
--
correct := correct and
v_st_rec3_3.f3(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr1_3(st_arr1'Left) =
c_st_int1_2 ;
correct := correct and
v_st_arr2_3(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr3_3(st_arr3'Left(1),st_arr3'Left(2)) =
c_st_rec3_2 ;
--
test_report ( "ARCH00033.P1" ,
"Target of a variable assignment may be a " &
"aggregate of indexed names" ,
correct) ;
end process P1 ;
--
P2 :
process ( Dummy )
variable correct : boolean := true ;
--
procedure Proc1 is
type arr_boolean is
array (integer range -1 downto - 3 ) of
boolean ;
type arr_bit is
array (integer range -1 downto - 3 ) of
bit ;
type arr_severity_level is
array (integer range -1 downto - 3 ) of
severity_level ;
type arr_character is
array (integer range -1 downto - 3 ) of
character ;
type arr_st_enum1 is
array (integer range -1 downto - 3 ) of
st_enum1 ;
type arr_integer is
array (integer range -1 downto - 3 ) of
integer ;
type arr_st_int1 is
array (integer range -1 downto - 3 ) of
st_int1 ;
type arr_time is
array (integer range -1 downto - 3 ) of
time ;
type arr_st_phys1 is
array (integer range -1 downto - 3 ) of
st_phys1 ;
type arr_real is
array (integer range -1 downto - 3 ) of
real ;
type arr_st_real1 is
array (integer range -1 downto - 3 ) of
st_real1 ;
type arr_st_rec1 is
array (integer range -1 downto - 3 ) of
st_rec1 ;
type arr_st_rec2 is
array (integer range -1 downto - 3 ) of
st_rec2 ;
type arr_st_rec3 is
array (integer range -1 downto - 3 ) of
st_rec3 ;
type arr_st_arr1 is
array (integer range -1 downto - 3 ) of
st_arr1 ;
type arr_st_arr2 is
array (integer range -1 downto - 3 ) of
st_arr2 ;
type arr_st_arr3 is
array (integer range -1 downto - 3 ) of
st_arr3 ;
--
variable v_st_rec3_1 : st_rec3 :=
c_st_rec3_1 ;
variable v_st_arr1_1 : st_arr1 :=
c_st_arr1_1 ;
variable v_st_arr2_1 : st_arr2 :=
c_st_arr2_1 ;
variable v_st_arr3_1 : st_arr3 :=
c_st_arr3_1 ;
--
variable v_st_rec3_2 : st_rec3 :=
c_st_rec3_1 ;
variable v_st_arr1_2 : st_arr1 :=
c_st_arr1_1 ;
variable v_st_arr2_2 : st_arr2 :=
c_st_arr2_1 ;
variable v_st_arr3_2 : st_arr3 :=
c_st_arr3_1 ;
--
variable v_st_rec3_3 : st_rec3 :=
c_st_rec3_1 ;
variable v_st_arr1_3 : st_arr1 :=
c_st_arr1_1 ;
variable v_st_arr2_3 : st_arr2 :=
c_st_arr2_1 ;
variable v_st_arr3_3 : st_arr3 :=
c_st_arr3_1 ;
--
begin
(
v_st_rec3_1.f3(st_arr2'Left(1),st_arr2'Left(2))
, v_st_rec3_2.f3(st_arr2'Left(1),st_arr2'Left(2))
, v_st_rec3_3.f3(st_arr2'Left(1),st_arr2'Left(2))
) :=
arr_st_arr1 ' (
(others => c_st_rec3_2.f3(st_arr2'Right(1),st_arr2'Right(2) ))) ;
--
(
v_st_arr1_1(st_arr1'Left)
, v_st_arr1_2(st_arr1'Left)
, v_st_arr1_3(st_arr1'Left)
) :=
arr_st_int1 ' (
(others => c_st_arr1_2(st_arr1'Right))) ;
--
(
v_st_arr2_1(st_arr2'Left(1),st_arr2'Left(2))
, v_st_arr2_2(st_arr2'Left(1),st_arr2'Left(2))
, v_st_arr2_3(st_arr2'Left(1),st_arr2'Left(2))
) :=
arr_st_arr1 ' (
(others => c_st_arr2_2(st_arr2'Right(1),st_arr2'Right(2)))) ;
--
(
v_st_arr3_1(st_arr3'Left(1),st_arr3'Left(2))
, v_st_arr3_2(st_arr3'Left(1),st_arr3'Left(2))
, v_st_arr3_3(st_arr3'Left(1),st_arr3'Left(2))
) :=
arr_st_rec3 ' (
(others => c_st_arr3_2(st_arr3'Right(1),st_arr3'Right(2)))) ;
--
correct := correct and
v_st_rec3_1.f3(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr1_1(st_arr1'Left) =
c_st_int1_2 ;
correct := correct and
v_st_arr2_1(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr3_1(st_arr3'Left(1),st_arr3'Left(2)) =
c_st_rec3_2 ;
--
correct := correct and
v_st_rec3_2.f3(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr1_2(st_arr1'Left) =
c_st_int1_2 ;
correct := correct and
v_st_arr2_2(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr3_2(st_arr3'Left(1),st_arr3'Left(2)) =
c_st_rec3_2 ;
--
correct := correct and
v_st_rec3_3.f3(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr1_3(st_arr1'Left) =
c_st_int1_2 ;
correct := correct and
v_st_arr2_3(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr3_3(st_arr3'Left(1),st_arr3'Left(2)) =
c_st_rec3_2 ;
--
end Proc1 ;
begin
Proc1 ;
test_report ( "ARCH00033.P2" ,
"Target of a variable assignment may be a " &
"aggregate of indexed names" ,
correct) ;
end process P2 ;
--
P3 :
process ( Dummy )
type arr_boolean is
array (integer range -1 downto - 3 ) of
boolean ;
type arr_bit is
array (integer range -1 downto - 3 ) of
bit ;
type arr_severity_level is
array (integer range -1 downto - 3 ) of
severity_level ;
type arr_character is
array (integer range -1 downto - 3 ) of
character ;
type arr_st_enum1 is
array (integer range -1 downto - 3 ) of
st_enum1 ;
type arr_integer is
array (integer range -1 downto - 3 ) of
integer ;
type arr_st_int1 is
array (integer range -1 downto - 3 ) of
st_int1 ;
type arr_time is
array (integer range -1 downto - 3 ) of
time ;
type arr_st_phys1 is
array (integer range -1 downto - 3 ) of
st_phys1 ;
type arr_real is
array (integer range -1 downto - 3 ) of
real ;
type arr_st_real1 is
array (integer range -1 downto - 3 ) of
st_real1 ;
type arr_st_rec1 is
array (integer range -1 downto - 3 ) of
st_rec1 ;
type arr_st_rec2 is
array (integer range -1 downto - 3 ) of
st_rec2 ;
type arr_st_rec3 is
array (integer range -1 downto - 3 ) of
st_rec3 ;
type arr_st_arr1 is
array (integer range -1 downto - 3 ) of
st_arr1 ;
type arr_st_arr2 is
array (integer range -1 downto - 3 ) of
st_arr2 ;
type arr_st_arr3 is
array (integer range -1 downto - 3 ) of
st_arr3 ;
--
variable v_st_rec3_1 : st_rec3 :=
c_st_rec3_1 ;
variable v_st_arr1_1 : st_arr1 :=
c_st_arr1_1 ;
variable v_st_arr2_1 : st_arr2 :=
c_st_arr2_1 ;
variable v_st_arr3_1 : st_arr3 :=
c_st_arr3_1 ;
--
variable v_st_rec3_2 : st_rec3 :=
c_st_rec3_1 ;
variable v_st_arr1_2 : st_arr1 :=
c_st_arr1_1 ;
variable v_st_arr2_2 : st_arr2 :=
c_st_arr2_1 ;
variable v_st_arr3_2 : st_arr3 :=
c_st_arr3_1 ;
--
variable v_st_rec3_3 : st_rec3 :=
c_st_rec3_1 ;
variable v_st_arr1_3 : st_arr1 :=
c_st_arr1_1 ;
variable v_st_arr2_3 : st_arr2 :=
c_st_arr2_1 ;
variable v_st_arr3_3 : st_arr3 :=
c_st_arr3_1 ;
--
variable correct : boolean := true ;
--
procedure Proc1 is
begin
(
v_st_rec3_1.f3(st_arr2'Left(1),st_arr2'Left(2))
, v_st_rec3_2.f3(st_arr2'Left(1),st_arr2'Left(2))
, v_st_rec3_3.f3(st_arr2'Left(1),st_arr2'Left(2))
) :=
arr_st_arr1 ' (
(others => c_st_rec3_2.f3(st_arr2'Right(1),st_arr2'Right(2) ))) ;
--
(
v_st_arr1_1(st_arr1'Left)
, v_st_arr1_2(st_arr1'Left)
, v_st_arr1_3(st_arr1'Left)
) :=
arr_st_int1 ' (
(others => c_st_arr1_2(st_arr1'Right))) ;
--
(
v_st_arr2_1(st_arr2'Left(1),st_arr2'Left(2))
, v_st_arr2_2(st_arr2'Left(1),st_arr2'Left(2))
, v_st_arr2_3(st_arr2'Left(1),st_arr2'Left(2))
) :=
arr_st_arr1 ' (
(others => c_st_arr2_2(st_arr2'Right(1),st_arr2'Right(2)))) ;
--
(
v_st_arr3_1(st_arr3'Left(1),st_arr3'Left(2))
, v_st_arr3_2(st_arr3'Left(1),st_arr3'Left(2))
, v_st_arr3_3(st_arr3'Left(1),st_arr3'Left(2))
) :=
arr_st_rec3 ' (
(others => c_st_arr3_2(st_arr3'Right(1),st_arr3'Right(2)))) ;
--
end Proc1 ;
begin
Proc1 ;
correct := correct and
v_st_rec3_1.f3(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr1_1(st_arr1'Left) =
c_st_int1_2 ;
correct := correct and
v_st_arr2_1(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr3_1(st_arr3'Left(1),st_arr3'Left(2)) =
c_st_rec3_2 ;
--
correct := correct and
v_st_rec3_2.f3(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr1_2(st_arr1'Left) =
c_st_int1_2 ;
correct := correct and
v_st_arr2_2(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr3_2(st_arr3'Left(1),st_arr3'Left(2)) =
c_st_rec3_2 ;
--
correct := correct and
v_st_rec3_3.f3(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr1_3(st_arr1'Left) =
c_st_int1_2 ;
correct := correct and
v_st_arr2_3(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr3_3(st_arr3'Left(1),st_arr3'Left(2)) =
c_st_rec3_2 ;
--
test_report ( "ARCH00033.P3" ,
"Target of a variable assignment may be a " &
"aggregate of indexed names" ,
correct) ;
end process P3 ;
--
P4 :
process ( Dummy )
type arr_boolean is
array (integer range -1 downto - 3 ) of
boolean ;
type arr_bit is
array (integer range -1 downto - 3 ) of
bit ;
type arr_severity_level is
array (integer range -1 downto - 3 ) of
severity_level ;
type arr_character is
array (integer range -1 downto - 3 ) of
character ;
type arr_st_enum1 is
array (integer range -1 downto - 3 ) of
st_enum1 ;
type arr_integer is
array (integer range -1 downto - 3 ) of
integer ;
type arr_st_int1 is
array (integer range -1 downto - 3 ) of
st_int1 ;
type arr_time is
array (integer range -1 downto - 3 ) of
time ;
type arr_st_phys1 is
array (integer range -1 downto - 3 ) of
st_phys1 ;
type arr_real is
array (integer range -1 downto - 3 ) of
real ;
type arr_st_real1 is
array (integer range -1 downto - 3 ) of
st_real1 ;
type arr_st_rec1 is
array (integer range -1 downto - 3 ) of
st_rec1 ;
type arr_st_rec2 is
array (integer range -1 downto - 3 ) of
st_rec2 ;
type arr_st_rec3 is
array (integer range -1 downto - 3 ) of
st_rec3 ;
type arr_st_arr1 is
array (integer range -1 downto - 3 ) of
st_arr1 ;
type arr_st_arr2 is
array (integer range -1 downto - 3 ) of
st_arr2 ;
type arr_st_arr3 is
array (integer range -1 downto - 3 ) of
st_arr3 ;
--
variable v_st_rec3_1 : st_rec3 :=
c_st_rec3_1 ;
variable v_st_arr1_1 : st_arr1 :=
c_st_arr1_1 ;
variable v_st_arr2_1 : st_arr2 :=
c_st_arr2_1 ;
variable v_st_arr3_1 : st_arr3 :=
c_st_arr3_1 ;
--
variable v_st_rec3_2 : st_rec3 :=
c_st_rec3_1 ;
variable v_st_arr1_2 : st_arr1 :=
c_st_arr1_1 ;
variable v_st_arr2_2 : st_arr2 :=
c_st_arr2_1 ;
variable v_st_arr3_2 : st_arr3 :=
c_st_arr3_1 ;
--
variable v_st_rec3_3 : st_rec3 :=
c_st_rec3_1 ;
variable v_st_arr1_3 : st_arr1 :=
c_st_arr1_1 ;
variable v_st_arr2_3 : st_arr2 :=
c_st_arr2_1 ;
variable v_st_arr3_3 : st_arr3 :=
c_st_arr3_1 ;
--
variable correct : boolean := true ;
--
procedure Proc1 (
v_st_rec3_2 : inout st_rec3
; v_st_arr1_2 : inout st_arr1
; v_st_arr2_2 : inout st_arr2
; v_st_arr3_2 : inout st_arr3
)
is
begin
(
v_st_rec3_1.f3(st_arr2'Left(1),st_arr2'Left(2))
, v_st_rec3_2.f3(st_arr2'Left(1),st_arr2'Left(2))
, v_st_rec3_3.f3(st_arr2'Left(1),st_arr2'Left(2))
) :=
arr_st_arr1 ' (
(others => c_st_rec3_2.f3(st_arr2'Right(1),st_arr2'Right(2) ))) ;
--
(
v_st_arr1_1(st_arr1'Left)
, v_st_arr1_2(st_arr1'Left)
, v_st_arr1_3(st_arr1'Left)
) :=
arr_st_int1 ' (
(others => c_st_arr1_2(st_arr1'Right))) ;
--
(
v_st_arr2_1(st_arr2'Left(1),st_arr2'Left(2))
, v_st_arr2_2(st_arr2'Left(1),st_arr2'Left(2))
, v_st_arr2_3(st_arr2'Left(1),st_arr2'Left(2))
) :=
arr_st_arr1 ' (
(others => c_st_arr2_2(st_arr2'Right(1),st_arr2'Right(2)))) ;
--
(
v_st_arr3_1(st_arr3'Left(1),st_arr3'Left(2))
, v_st_arr3_2(st_arr3'Left(1),st_arr3'Left(2))
, v_st_arr3_3(st_arr3'Left(1),st_arr3'Left(2))
) :=
arr_st_rec3 ' (
(others => c_st_arr3_2(st_arr3'Right(1),st_arr3'Right(2)))) ;
--
end Proc1 ;
begin
Proc1 (
v_st_rec3_1
, v_st_arr1_1
, v_st_arr2_1
, v_st_arr3_1
) ;
correct := correct and
v_st_rec3_1.f3(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr1_1(st_arr1'Left) =
c_st_int1_2 ;
correct := correct and
v_st_arr2_1(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr3_1(st_arr3'Left(1),st_arr3'Left(2)) =
c_st_rec3_2 ;
--
Proc1 (
v_st_rec3_2
, v_st_arr1_2
, v_st_arr2_2
, v_st_arr3_2
) ;
correct := correct and
v_st_rec3_2.f3(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr1_2(st_arr1'Left) =
c_st_int1_2 ;
correct := correct and
v_st_arr2_2(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr3_2(st_arr3'Left(1),st_arr3'Left(2)) =
c_st_rec3_2 ;
--
Proc1 (
v_st_rec3_3
, v_st_arr1_3
, v_st_arr2_3
, v_st_arr3_3
) ;
correct := correct and
v_st_rec3_3.f3(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr1_3(st_arr1'Left) =
c_st_int1_2 ;
correct := correct and
v_st_arr2_3(st_arr2'Left(1),st_arr2'Left(2)) =
c_st_arr1_2 ;
correct := correct and
v_st_arr3_3(st_arr3'Left(1),st_arr3'Left(2)) =
c_st_rec3_2 ;
--
test_report ( "ARCH00033.P4" ,
"Target of a variable assignment may be a " &
"aggregate of indexed names" ,
correct) ;
end process P4 ;
--
end ARCH00033 ;
--
entity ENT00033_Test_Bench is
end ENT00033_Test_Bench ;
--
architecture ARCH00033_Test_Bench of ENT00033_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00033 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00033_Test_Bench ;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity zProg2Bram is
port (
BRAM_PORTA_addr : in STD_LOGIC_VECTOR ( 12 downto 0 );
BRAM_PORTA_clk : in STD_LOGIC;
BRAM_PORTA_din : in STD_LOGIC_VECTOR ( 31 downto 0 );
BRAM_PORTA_dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
BRAM_PORTA_en : in STD_LOGIC;
BRAM_PORTA_rst : in STD_LOGIC;
BRAM_PORTA_we : in STD_LOGIC_VECTOR ( 3 downto 0 );
prog_we : out std_logic;
prog_clk : out std_logic;
prog_addr : out std_logic_vector( 9 downto 0 );
prog_din : out std_logic_vector( 17 downto 0 )
);
end zProg2Bram;
architecture STRUCTURE of zProg2Bram is
begin
prog_clk <= BRAM_PORTA_clk;
prog_addr <= BRAM_PORTA_addr( 11 downto 2 );
prog_we <= BRAM_PORTA_en when (BRAM_PORTA_addr( 1 downto 0) = "00") and ( BRAM_PORTA_we = "1111" ) else '0';
prog_din <= BRAM_PORTA_din( 17 downto 0 );
end STRUCTURE;
|
---------------------------------------------------------------------------
-- Copyright 2010 Lawrence Wilkinson [email protected]
--
-- This file is part of LJW2030, a VHDL implementation of the IBM
-- System/360 Model 30.
--
-- LJW2030 is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- LJW2030 is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with LJW2030 . If not, see <http://www.gnu.org/licenses/>.
--
---------------------------------------------------------------------------
--
-- File: ibm2030.vhd
-- Creation Date: 21:17:39 2005-04-18
-- Description:
-- Top-level System360/30, including CPU, Panel Lamps and Panel Switches
-- Does not yet include I/O
-- Page references like "5-01A" refer to the IBM Maintenance Diagram Manual (MDM)
-- for the 360/30 R25-5103-1
-- References like "02AE6" refer to coordinate "E6" on page "5-02A"
-- Logic references like "AB3D5" refer to card "D5" in board "B3" in gate "A"
-- Gate A is the main logic gate, B is the second (optional) logic gate,
-- C is the core storage and X is the CCROS unit
--
-- Revision History:
-- Revision 1.0 2010-07-09
-- Initial release - no I/O
-- Revision 1.1 2012-04-07
-- 1050 Serial console added
-- External main and aux storage, with pre-loading from platform flash
--
---------------------------------------------------------------------------
library IEEE;
library UNISIM;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
USE work.Buses_package.all;
use UNISIM.vcomponents.all;
use work.all;
entity ibm2030 is
Port ( -- Physical I/O on Digilent S3 Board
-- Seven-segment displays
ssd : out std_logic_vector(7 downto 0); -- 7-segment segment cathodes - active=0, a=bit0, g=bit6, dp=bit7
ssdan : out std_logic_vector(3 downto 0); -- 7-segment digit anodes - active=0, RHS=bit0
-- Discrete LEDs
led : out std_logic_vector(7 downto 0); -- 8 LEDs
-- Pushbuttons and switches
pb : in std_logic_vector(3 downto 0); -- 4 pushbuttons
sw : in std_logic_vector(7 downto 0); -- 8 slide switches
-- Connections to scanned front panel switches
pa_io1,pa_io2,pa_io3,pa_io4 : in std_logic := '0'; -- 4 digital inputs
pa_io5,pa_io6,pa_io7,pa_io8,pa_io9,
pa_io10,pa_io11,pa_io12,pa_io13,pa_io14 : out std_logic; -- 10 digital switch scanning outputs
pa_io15,pa_io16,pa_io17,pa_io18,ma2_db0,ma2_db1,
ma2_db2,ma2_db3,ma2_db4,ma2_db5: in std_logic := '0'; -- 10 digital switch scan inputs
-- ma2_db6,ma2_db7,ma2_astb,ma2_dstb,ma2_write, ma2_wait, ma2_reset, ma2_int : in std_logic := '0'; -- 8 digital inputs (not used)
-- Keyboard connection
-- ps2_clk : inout std_logic; -- Keyboard/Mouse clock (not used)
-- ps2_data : inout std_logic; -- Keyboard/Mouse data (not used)
-- Video output
vga_r,vga_g,vga_b,vga_hs,vga_vs : out std_logic; -- VGA output RGB+Sync
-- Panel switches input
MAX7318_SCL : out std_logic;
MAX7318_SDA : inout std_logic;
-- Panel lights output
MAX7219_CLK,MAX7219_LOAD,MAX7219_DIN : out std_logic;
-- MAX6951 is charlieplexed LED mux (miniature panel)
MAX6951_CLK,MAX6951_CS0,MAX6951_CS1,MAX6951_CS2,MAX6951_CS3,MAX6951_DIN : out std_logic;
-- Static RAM interface
sramaddr : out std_logic_vector(17 downto 0);
srama : inout std_logic_vector(8 downto 0);
sramace : out std_logic;
sramwe : out std_logic;
sramoe : out std_logic;
sramaub : out std_logic;
sramalb : out std_logic;
-- Serial I/O
serialRx : in std_logic;
serialTx : out std_logic := '1';
-- 50Mhz clock
clk : in std_logic;
-- Configuration PROM interface
din : in std_logic;
reset_prom : out std_logic;
rclk : out std_logic);
end ibm2030;
architecture FMD of ibm2030 is
-- Indicator outputs from CPU
signal WX_IND : std_logic_vector(0 to 12);
signal W_IND_P : std_logic;
signal X_IND_P : std_logic;
signal IND_SALS : SALS_BUS;
signal IND_EX,IND_CY_MATCH,IND_ALLOW_WR,IND_1050_INTRV,IND_1050_REQ,IND_MPX,IND_SEL_CHNL : STD_LOGIC;
signal IND_MSDR : STD_LOGIC_VECTOR(0 to 7);
signal IND_MSDR_P : STD_LOGIC;
signal IND_OPNL_IN : STD_LOGIC;
signal IND_ADDR_IN : STD_LOGIC;
signal IND_STATUS_IN : STD_LOGIC;
signal IND_SERV_IN : STD_LOGIC;
signal IND_SEL_OUT : STD_LOGIC;
signal IND_ADDR_OUT : STD_LOGIC;
signal IND_CMMD_OUT : STD_LOGIC;
signal IND_SERV_OUT : STD_LOGIC;
signal IND_SUPPR_OUT : STD_LOGIC;
signal IND_FO : STD_LOGIC_VECTOR(0 to 7);
signal IND_FO_P: STD_LOGIC;
signal IND_A : STD_LOGIC_VECTOR(0 to 8);
signal IND_B : STD_LOGIC_VECTOR(0 to 8);
signal IND_ALU : STD_LOGIC_VECTOR(0 to 8);
signal IND_M : STD_LOGIC_VECTOR(0 to 8);
signal IND_N : STD_LOGIC_VECTOR(0 to 8);
signal IND_MAIN_STG : STD_LOGIC;
signal IND_LOC_STG : STD_LOGIC;
signal IND_COMP_MODE : STD_LOGIC;
signal IND_CHK_A_REG : STD_LOGIC;
signal IND_CHK_B_REG : STD_LOGIC;
signal IND_CHK_STOR_ADDR : STD_LOGIC;
signal IND_CHK_CTRL_REG : STD_LOGIC;
signal IND_CHK_ROS_SALS : STD_LOGIC;
signal IND_CHK_ROS_ADDR : STD_LOGIC;
signal IND_CHK_STOR_DATA : STD_LOGIC;
signal IND_CHK_ALU : STD_LOGIC;
signal IND_SYST : STD_LOGIC;
signal IND_MAN : STD_LOGIC;
signal IND_WAIT : STD_LOGIC;
signal IND_TEST : STD_LOGIC;
signal IND_LOAD : STD_LOGIC;
signal IND_LP : STD_LOGIC;
-- SX
signal IND_COUNT : STD_LOGIC_VECTOR(0 to 15) := "0000000000000000";
signal IND_COUNT_LP, IND_COUNT_HP : STD_LOGIC := '1';
signal IND_SX1_DATA : STD_LOGIC_VECTOR(0 to 7) := "00000000";
signal IND_SX1_DATAP : STD_LOGIC := '1';
signal IND_SX1_COMMAND: STD_LOGIC_VECTOR(0 to 7) := "00000000";
signal IND_SX1_KEY: STD_LOGIC_VECTOR(0 to 3) := "0000";
signal IND_SX1_KEYP : STD_LOGIC := '1';
signal IND_SX1_PCI, IND_SX1_SKIP, IND_SX1_SLI, IND_SX1_CD, IND_SX1_CC : STD_LOGIC;
signal IND_SX1_DA_CHK, IND_SX1_PROT_CHK, IND_SX1_PROG_CHK, IND_SX1_IL_CHK, IND_SX1_CHNLDATA_CHK, IND_SX1_IF_CHK, IND_SX1_CHNLCTRL_CHK : STD_LOGIC;
signal IND_SX1_STATIN_TAG, IND_SX1_ADRIN_TAG, IND_SX1_OPIN_TAG, IND_SX1_SUPOUT_TAG, IND_SX1_SERVOUT_TAG, IND_SX1_CMMDOUT_TAG, IND_SX1_ADROUT_TAG, IND_SX1_SELOUT_TAG : STD_LOGIC;
-- Switch inputs to CPU
signal SW_START,SW_LOAD,SW_SET_IC,SW_STOP,SW_POWER_OFF : STD_LOGIC;
signal SW_INH_CF_STOP,SW_PROC,SW_SCAN : STD_LOGIC;
signal SW_SINGLE_CYCLE,SW_INSTRUCTION_STEP,SW_RATE_SW_PROCESS : STD_LOGIC;
signal SW_LAMP_TEST,SW_DSPLY,SW_STORE,SW_SYS_RST : STD_LOGIC;
signal SW_CHK_RST,SW_ROAR_RST,SW_CHK_RESTART,SW_DIAGNOSTIC : STD_LOGIC;
signal SW_CHK_STOP,SW_CHK_SW_PROCESS,SW_CHK_SW_DISABLE,SW_ROAR_RESTT_STOR_BYPASS : STD_LOGIC;
signal SW_ROAR_RESTT,SW_ROAR_RESTT_WITHOUT_RST,SW_EARLY_ROAR_STOP,SW_ROAR_STOP : STD_LOGIC;
signal SW_ROAR_SYNC,SW_ADDR_COMP_PROC,SW_SAR_DLYD_STOP,SW_SAR_STOP,SW_SAR_RESTART : STD_LOGIC;
signal SW_INTRP_TIMER, SW_CONS_INTRP : STD_LOGIC;
signal SW_A,SW_B,SW_C,SW_D,SW_F,SW_G,SW_H,SW_J : STD_LOGIC_VECTOR(0 to 3);
signal SW_AP,SW_BP,SW_CP,SW_DP,SW_FP,SW_GP,SW_HP,SW_JP : STD_LOGIC;
signal E_SW : E_SW_BUS_Type;
-- Misc stuff
signal StorageIn : STORAGE_IN_INTERFACE; -- CPU interface to storage
signal StorageOut : STORAGE_OUT_INTERFACE; -- CPU interface to storage
signal SerialIn : PCH_CONN;
signal SerialOut : RDR_CONN;
signal SerialControl : CONN_1050;
signal SerialBusUngated : STD_LOGIC_VECTOR(7 downto 0);
signal RxDataAvailable : STD_LOGIC;
signal RxAck, PunchGate : STD_LOGIC;
signal SO : Serial_Output_Lines;
signal SwSlow : STD_LOGIC := '0'; -- Set to '1' to slow clock down to 1Hz, not used
signal N60_CY_TIMER_PULSE : STD_LOGIC; -- Used for the Interval Timer
signal Clock1ms : STD_LOGIC; -- 1kHz clock for single-shots etc.
signal DEBUG : DEBUG_BUS; -- Passed to all modeles to probe signals
signal LED_vector : std_logic_vector(0 to 255);
signal Switch_vector : std_logic_vector(0 to 63);
begin
cpu : entity work.cpu port map (
WX_IND => WX_IND,
W_IND_P => W_IND_P,
X_IND_P => X_IND_P,
IND_SALS => IND_SALS,
IND_EX => IND_EX,
IND_CY_MATCH => IND_CY_MATCH,
IND_ALLOW_WR => IND_ALLOW_WR,
IND_1050_INTRV => IND_1050_INTRV,
IND_1050_REQ => IND_1050_REQ,
IND_MPX => IND_MPX,
IND_SEL_CHNL => IND_SEL_CHNL,
IND_MSDR => IND_MSDR,
IND_MSDR_P => IND_MSDR_P,
IND_OPNL_IN => IND_OPNL_IN,
IND_ADDR_IN => IND_ADDR_IN,
IND_STATUS_IN => IND_STATUS_IN,
IND_SERV_IN => IND_SERV_IN,
IND_SEL_OUT => IND_SEL_OUT,
IND_ADDR_OUT => IND_ADDR_OUT,
IND_CMMD_OUT => IND_CMMD_OUT,
IND_SERV_OUT => IND_SERV_OUT,
IND_SUPPR_OUT => IND_SUPPR_OUT,
IND_FO => IND_FO,
IND_FO_P => IND_FO_P,
IND_A => IND_A,
IND_B => IND_B,
IND_ALU => IND_ALU,
IND_M => IND_M,
IND_N => IND_N,
IND_MAIN_STG => IND_MAIN_STG,
IND_LOC_STG => IND_LOC_STG,
IND_COMP_MODE => IND_COMP_MODE,
IND_CHK_A_REG => IND_CHK_A_REG,
IND_CHK_B_REG => IND_CHK_B_REG,
IND_CHK_STOR_ADDR => IND_CHK_STOR_ADDR,
IND_CHK_CTRL_REG => IND_CHK_CTRL_REG,
IND_CHK_ROS_SALS => IND_CHK_ROS_SALS,
IND_CHK_ROS_ADDR => IND_CHK_ROS_ADDR,
IND_CHK_STOR_DATA => IND_CHK_STOR_DATA,
IND_CHK_ALU => IND_CHK_ALU,
IND_LOAD => IND_LOAD,
IND_WAIT => IND_WAIT,
IND_TEST => IND_TEST,
IND_MAN => IND_MAN,
IND_SYST => IND_SYST,
SW_START => SW_START,
SW_LOAD => SW_LOAD,
SW_SET_IC => SW_SET_IC,
SW_STOP => SW_STOP,
SW_POWER_OFF => SW_POWER_OFF,
SW_INH_CF_STOP => SW_INH_CF_STOP,
SW_PROC => SW_PROC,
SW_SCAN => SW_SCAN,
SW_SINGLE_CYCLE => SW_SINGLE_CYCLE,
SW_INSTRUCTION_STEP => SW_INSTRUCTION_STEP,
SW_RATE_SW_PROCESS => SW_RATE_SW_PROCESS,
SW_LAMP_TEST => SW_LAMP_TEST,
SW_DSPLY => SW_DSPLY,
SW_STORE => SW_STORE,
SW_SYS_RST => SW_SYS_RST,
SW_CHK_RST => SW_CHK_RST,
SW_ROAR_RST => SW_ROAR_RST,
SW_CHK_RESTART => SW_CHK_RESTART,
SW_DIAGNOSTIC => SW_DIAGNOSTIC,
SW_CHK_STOP => SW_CHK_STOP,
SW_CHK_SW_PROCESS => SW_CHK_SW_PROCESS,
SW_CHK_SW_DISABLE => SW_CHK_SW_DISABLE,
SW_ROAR_RESTT_STOR_BYPASS => SW_ROAR_RESTT_STOR_BYPASS,
SW_ROAR_RESTT => SW_ROAR_RESTT,
SW_ROAR_RESTT_WITHOUT_RST => SW_ROAR_RESTT_WITHOUT_RST,
SW_EARLY_ROAR_STOP => SW_EARLY_ROAR_STOP,
SW_ROAR_STOP => SW_ROAR_STOP,
SW_ROAR_SYNC => SW_ROAR_SYNC,
SW_ADDR_COMP_PROC => SW_ADDR_COMP_PROC,
SW_SAR_DLYD_STOP => SW_SAR_DLYD_STOP,
SW_SAR_STOP => SW_SAR_STOP,
SW_SAR_RESTART => SW_SAR_RESTART,
SW_INTRP_TIMER => SW_INTRP_TIMER,
SW_CONS_INTRP => SW_CONS_INTRP,
SW_A => SW_A,
SW_B => SW_B,
SW_C => SW_C,
SW_D => SW_D,
SW_F => SW_F,
SW_G => SW_G,
SW_H => SW_H,
SW_J => SW_J,
SW_AP => SW_AP,
SW_BP => SW_BP,
SW_CP => SW_CP,
SW_DP => SW_DP,
SW_FP => SW_FP,
SW_GP => SW_GP,
SW_HP => SW_HP,
SW_JP => SW_JP,
E_SW => E_SW,
-- Storage interface
StorageIn => StorageIn,
StorageOut => StorageOut,
-- Serial interface for 1050
serialInput.SerialRx => SerialRx,
serialInput.DCD => '1',
serialInput.DSR => '1',
serialInput.RI => '0',
serialInput.CTS => '1',
serialOutput => SO,
-- Multiplexor interface not connected to anything yet
MPX_BUS_O => open,
MPX_BUS_I => (others=>'0'),
MPX_TAGS_O => open,
MPX_TAGS_I => (others=>'0'),
DEBUG => DEBUG, -- Used to pass debug signals up to the top level for output
N60_CY_TIMER_PULSE => N60_CY_TIMER_PULSE, -- Actually 50Hz
Clock1ms => Clock1ms,
SwSlow => SwSlow,
clk => clk -- 50Mhz clock
);
frontPanel : entity vga_panel port map (
Clock50 => clk,
Red => vga_r, Green => vga_g, Blue => vga_b,
HS => vga_hs, VS => vga_vs,
Indicators( 0) => '0', -- Constant
Indicators( 1) => IND_SALS.SALS_PN,
Indicators( 2 to 7) => IND_SALS.SALS_CN,
Indicators( 8) => IND_SALS.SALS_PA,
Indicators( 9) => IND_LP,
Indicators( 10) => W_IND_P,
Indicators( 11 to 15) => WX_IND(0 to 4),
Indicators( 16) => X_IND_P,
Indicators( 17 to 24) => WX_IND(5 to 12),
Indicators( 25) => IND_SALS.SALS_PS,
Indicators( 26 to 29) => IND_SALS.SALS_CH,
Indicators( 30 to 33) => IND_SALS.SALS_CL,
Indicators( 34) => IND_SALS.SALS_AA,
Indicators( 35 to 38) => IND_SALS.SALS_CA,
Indicators( 39 to 40) => IND_SALS.SALS_CB,
Indicators( 41 to 43) => IND_SALS.SALS_CM,
Indicators( 44 to 45) => IND_SALS.SALS_CU,
Indicators( 46) => IND_SALS.SALS_AK,
Indicators( 47) => IND_SALS.SALS_PK,
Indicators( 48 to 51) => IND_SALS.SALS_CK,
Indicators( 52) => IND_SALS.SALS_PC,
Indicators( 53 to 56) => IND_SALS.SALS_CD,
Indicators( 57 to 59) => IND_SALS.SALS_CF,
Indicators( 60 to 61) => IND_SALS.SALS_CG,
Indicators( 62 to 63) => IND_SALS.SALS_CV,
Indicators( 64 to 66) => IND_SALS.SALS_CC,
Indicators( 67) => IND_SALS.SALS_SA,
Indicators( 68 to 71) => IND_SALS.SALS_CS,
-- Skip 18 + 9 + 9 + 5 + 9 + 6 = 56 for SX1 (72 to 127)
Indicators( 72 to 127) => "00000000000000000000000000000000000000000000000000000000",
-- If we had SX2 there would be another 56 here
-- MPX
Indicators( 128) => IND_OPNL_IN,
Indicators( 129) => IND_ADDR_IN,
Indicators( 130) => IND_STATUS_IN,
Indicators( 131) => IND_SERV_IN,
Indicators( 132) => IND_SEL_OUT,
Indicators( 133) => IND_ADDR_OUT,
Indicators( 134) => IND_CMMD_OUT,
Indicators( 135) => IND_SERV_OUT,
Indicators( 136) => IND_SUPPR_OUT,
Indicators( 137) => IND_FO_P,
Indicators(138 to 145) => IND_FO,
-- MSAR
Indicators( 146) => IND_MAIN_STG,
Indicators( 147) => IND_M(8),
Indicators(148 to 155) => IND_M(0 to 7),
Indicators( 156) => IND_N(8),
Indicators(157 to 164) => IND_N(0 to 7),
Indicators( 165) => IND_LOC_STG,
-- MSDR
Indicators( 166) => IND_MSDR_P,
Indicators(167 to 174) => IND_MSDR,
-- ALU
Indicators( 175) => IND_ALU(8),
Indicators(176 to 183) => IND_ALU(0 to 7),
Indicators( 184) => IND_EX,
Indicators( 185) => IND_CY_MATCH,
Indicators( 186) => IND_ALLOW_WR,
Indicators( 187) => IND_CHK_STOR_ADDR,
Indicators( 188) => IND_CHK_STOR_DATA,
Indicators( 189) => IND_1050_INTRV,
Indicators( 190) => IND_1050_REQ,
Indicators( 191) => IND_CHK_B_REG,
Indicators( 192) => IND_CHK_A_REG,
Indicators( 193) => IND_CHK_ALU,
-- A,B
Indicators( 194) => IND_A(8),
Indicators(195 to 202) => IND_A(0 to 7),
Indicators( 203) => IND_B(8),
Indicators(204 to 211) => IND_B(0 to 7),
Indicators( 212) => IND_MPX,
Indicators( 213) => IND_SEL_CHNL,
Indicators( 214) => IND_COMP_MODE,
Indicators( 215) => IND_CHK_ROS_ADDR,
Indicators( 216) => IND_CHK_ROS_SALS,
Indicators( 217) => IND_CHK_CTRL_REG,
-- The following indicators mimic the 8 Hex rotary switches to make it easier to set them
Indicators(218 to 221) => SW_A(0 to 3),
Indicators(222 to 225) => SW_B(0 to 3),
Indicators(226 to 229) => SW_C(0 to 3),
Indicators(230 to 233) => SW_D(0 to 3),
Indicators(234 to 237) => SW_F(0 to 3),
Indicators(238 to 241) => SW_G(0 to 3),
Indicators(242 to 245) => SW_H(0 to 3),
Indicators(246 to 249) => SW_J(0 to 3)
);
-- LEDs are set here
led(0) <= IND_LOAD;
led(1) <= IND_TEST;
led(2) <= IND_WAIT;
led(3) <= IND_MAN;
led(4) <= IND_SYST;
led(5) <= '0';
led(6) <= '0';
led(7) <= DEBUG.Probe;
IND_LP <= SW_LAMP_TEST;
-- Temporary Selector Channel indicators
IND_COUNT_LP <= '1';
IND_COUNT_HP <= '1';
IND_COUNT <= (others => SW_LAMP_TEST);
IND_SX1_DATA <= (others => SW_LAMP_TEST);
IND_SX1_DATAP <= SW_LAMP_TEST;
IND_SX1_COMMAND <= (others => SW_LAMP_TEST);
IND_SX1_KEY <= (others => SW_LAMP_TEST);
IND_SX1_KEYP <= SW_LAMP_TEST;
IND_SX1_PCI <= SW_LAMP_TEST;
IND_SX1_SKIP <= SW_LAMP_TEST;
IND_SX1_SLI <= SW_LAMP_TEST;
IND_SX1_CD <= SW_LAMP_TEST;
IND_SX1_CC <= SW_LAMP_TEST;
IND_SX1_DA_CHK <= SW_LAMP_TEST;
IND_SX1_PROT_CHK <= SW_LAMP_TEST;
IND_SX1_PROG_CHK <= SW_LAMP_TEST;
IND_SX1_IL_CHK <= SW_LAMP_TEST;
IND_SX1_CHNLDATA_CHK <= SW_LAMP_TEST;
IND_SX1_STATIN_TAG <= SW_LAMP_TEST;
IND_SX1_ADRIN_TAG <= SW_LAMP_TEST;
IND_SX1_OPIN_TAG <= SW_LAMP_TEST;
IND_SX1_SUPOUT_TAG <= SW_LAMP_TEST;
IND_SX1_SERVOUT_TAG <= SW_LAMP_TEST;
IND_SX1_CMMDOUT_TAG <= SW_LAMP_TEST;
IND_SX1_ADROUT_TAG <= SW_LAMP_TEST;
IND_SX1_SELOUT_TAG <= SW_LAMP_TEST;
IND_SX1_IF_CHK <= SW_LAMP_TEST;
IND_SX1_CHNLCTRL_CHK <= SW_LAMP_TEST;
frontPanel_switches: entity switches port map (
-- Hardware switch inputs and scan outputs
SwA_scan => pa_io5,
SwB_scan => pa_io6,
SwC_scan => pa_io7,
SwD_scan => pa_io8,
SwE_scan => pa_io9,
SwF_scan => pa_io10,
SwG_scan => pa_io11,
SwH_scan => pa_io12,
SwJ_scan => pa_io13,
SwAC_scan => pa_io14,
Hex_in(0) => pa_io1,
Hex_in(1) => pa_io2,
Hex_in(2) => pa_io3,
Hex_in(3) => pa_io4,
SW_E_INNER => pa_io15,
SW_E_OUTER => pa_io16,
RawSw_Proc_Inh_CF_Stop => pa_io17,
RawSw_Proc_Scan => pa_io18,
RawSw_Rate_Single_Cycle => ma2_db1,
RawSw_Rate_Instruction_Step => ma2_db0,
RawSw_Chk_Chk_Restart => ma2_db5,
RawSw_Chk_Diagnostic => ma2_db2,
RawSw_Chk_Stop => ma2_db4,
RawSw_Chk_Disable => ma2_db3,
sw => sw,
pb => pb,
-- Switches fed to CPU
SwA => SW_A, SwAP => SW_AP,
SwB => SW_B, SwBP => SW_BP,
SwC => SW_C, SwCP => SW_CP,
SwD => SW_D, SwDP => SW_DP,
SwE => E_SW,
SwF => SW_F, SwFP => SW_FP,
SwG => SW_G, SwGP => SW_GP,
SwH => SW_H, SwHP => SW_HP,
SwJ => SW_J, SwJP => SW_JP,
Sw_PowerOff => SW_POWER_OFF,
Sw_Interrupt => SW_CONS_INTRP,
Sw_Load => SW_LOAD,
Sw_SystemReset => SW_SYS_RST,
Sw_RoarReset => SW_ROAR_RST,
Sw_Start => SW_START,
Sw_SetIC => SW_SET_IC,
Sw_CheckReset => SW_CHK_RST,
Sw_Stop => SW_STOP,
Sw_IntTmr => SW_INTRP_TIMER,
Sw_Store => SW_STORE,
Sw_LampTest => SW_LAMP_TEST,
Sw_Display => SW_DSPLY,
Sw_Proc_Inh_CF_Stop => SW_INH_CF_STOP,
Sw_Proc_Proc => SW_PROC,
Sw_Proc_Scan => SW_SCAN,
Sw_Rate_Single_Cycle => SW_SINGLE_CYCLE,
Sw_Rate_Instruction_Step => SW_INSTRUCTION_STEP,
Sw_Rate_Process => SW_RATE_SW_PROCESS,
Sw_Chk_Chk_Restart => SW_CHK_RESTART,
Sw_Chk_Diagnostic => SW_DIAGNOSTIC,
Sw_Chk_Stop => SW_CHK_STOP,
Sw_Chk_Process => SW_CHK_SW_PROCESS,
Sw_Chk_Disable => SW_CHK_SW_DISABLE,
Sw_ROAR_RESTT_STOR_BYPASS => SW_ROAR_RESTT_STOR_BYPASS,
Sw_ROAR_RESTT => SW_ROAR_RESTT,
Sw_ROAR_RESTT_WITHOUT_RST => SW_ROAR_RESTT_WITHOUT_RST,
Sw_EARLY_ROAR_STOP => SW_EARLY_ROAR_STOP,
Sw_ROAR_STOP => SW_ROAR_STOP,
Sw_ROAR_SYNC => SW_ROAR_SYNC,
Sw_ADDR_COMP_PROC => SW_ADDR_COMP_PROC,
Sw_SAR_DLYD_STOP => SW_SAR_DLYD_STOP,
Sw_SAR_STOP => SW_SAR_STOP,
Sw_SAR_RESTART => SW_SAR_RESTART,
-- MAX7318
SCL => MAX7318_SCL,
SDA => MAX7318_SDA,
-- Clocks etc.
clk => clk, -- 50MHz clock
status_lamps(4) => IND_LOAD,
status_lamps(3) => IND_TEST,
status_lamps(2) => IND_WAIT,
status_lamps(1) => IND_MAN,
status_lamps(0) => IND_SYST,
-- Clock1ms => Clock1ms,
Timer => N60_CY_TIMER_PULSE -- Output from Switches is actually 50Hz
);
core_storage : entity storage port map(
phys_address => sramaddr(16 downto 0),
phys_data => srama(8 downto 0),
phys_CE => sramace,
phys_OE => sramoe,
phys_WE => sramwe,
phys_UB => sramaub,
phys_LB => sramalb,
-- Interface to config ROM
din => din,
reset_prom => reset_prom,
cclk => rclk,
-- Storage interface to CPU
StorageIn => StorageIn,
StorageOut => StorageOut,
-- Debug => Debug,
-- Other inputs
clk => clk
);
sramaddr(17) <= '0';
LED_vector <= (
0 => IND_SALS.SALS_PA,
1 => IND_SALS.SALS_CN(5),
2 => IND_SALS.SALS_CN(4),
3 => IND_SALS.SALS_CN(3),
4 => IND_SALS.SALS_CN(2),
5 => IND_SALS.SALS_CN(1),
6 => IND_SALS.SALS_CN(0),
7 => IND_SALS.SALS_PN,
8 => X_IND_P,
9 => WX_IND(4),
10 => WX_IND(3),
11 => WX_IND(2),
12 => WX_IND(1),
13 => WX_IND(0),
14 => W_IND_P,
15 => IND_LP,
16 => WX_IND(12),
17 => WX_IND(11),
18 => WX_IND(10),
19 => WX_IND(9),
20 => WX_IND(8),
21 => WX_IND(7),
22 => WX_IND(6),
23 => WX_IND(5),
24 => IND_SALS.SALS_CL(2),
25 => IND_SALS.SALS_CL(1),
26 => IND_SALS.SALS_CL(0),
27 => IND_SALS.SALS_CH(3),
28 => IND_SALS.SALS_CH(2),
29 => IND_SALS.SALS_CH(1),
30 => IND_SALS.SALS_CH(0),
31 => IND_SALS.SALS_PS,
32 => IND_SALS.SALS_CB(1),
33 => IND_SALS.SALS_CB(0),
34 => IND_SALS.SALS_CA(3),
35 => IND_SALS.SALS_CA(2),
36 => IND_SALS.SALS_CA(1),
37 => IND_SALS.SALS_CA(0),
38 => IND_SALS.SALS_AA,
39 => IND_SALS.SALS_CL(3),
40 => IND_SALS.SALS_CK(0),
41 => IND_SALS.SALS_PK,
42 => IND_SALS.SALS_AK,
43 => IND_SALS.SALS_CU(1),
44 => IND_SALS.SALS_CU(0),
45 => IND_SALS.SALS_CM(2),
46 => IND_SALS.SALS_CM(1),
47 => IND_SALS.SALS_CM(0),
48 => IND_SALS.SALS_CD(3),
49 => IND_SALS.SALS_CD(2),
50 => IND_SALS.SALS_CD(1),
51 => IND_SALS.SALS_CD(0),
52 => IND_SALS.SALS_PC,
53 => IND_SALS.SALS_CK(3),
54 => IND_SALS.SALS_CK(2),
55 => IND_SALS.SALS_CK(1),
56 => IND_SALS.SALS_CC(0),
57 => IND_SALS.SALS_CV(1),
58 => IND_SALS.SALS_CV(0),
59 => IND_SALS.SALS_CG(1),
60 => IND_SALS.SALS_CG(0),
61 => IND_SALS.SALS_CF(2),
62 => IND_SALS.SALS_CF(1),
63 => IND_SALS.SALS_CF(0),
64 => IND_COUNT_HP, -- Count-P
65 => IND_SALS.SALS_CS(3),
66 => IND_SALS.SALS_CS(2),
67 => IND_SALS.SALS_CS(1),
68 => IND_SALS.SALS_CS(0),
69 => IND_SALS.SALS_SA,
70 => IND_SALS.SALS_CC(2),
71 => IND_SALS.SALS_CC(1),
-- Count 72-87,95
72 => IND_COUNT(8),
73 => IND_COUNT(6),
74 => IND_COUNT(5),
75 => IND_COUNT(4),
76 => IND_COUNT(3),
77 => IND_COUNT(2),
78 => IND_COUNT(1),
79 => IND_COUNT(0),
80 => IND_COUNT(15),
81 => IND_COUNT(14),
82 => IND_COUNT(13),
83 => IND_COUNT(12),
84 => IND_COUNT(11),
85 => IND_COUNT(10),
86 => IND_COUNT(9),
87 => IND_COUNT_LP,
95 => IND_COUNT(0),
-- SX1
88 => IND_SX1_DATA(5),
89 => IND_SX1_DATA(4),
90 => IND_SX1_DATA(3),
91 => IND_SX1_DATA(2),
92 => IND_SX1_DATA(1),
93 => IND_SX1_DATA(0),
94 => IND_SX1_DATAP,
96 => IND_SX1_COMMAND(4),
97 => IND_SX1_KEY(3),
98 => IND_SX1_KEY(2),
99 => IND_SX1_KEY(1),
100 => IND_SX1_KEY(0),
101 => IND_SX1_KEYP,
102 => IND_SX1_DATA(7),
103 => IND_SX1_DATA(6),
104 => IND_SX1_PCI,
105 => IND_SX1_SKIP,
106 => IND_SX1_SLI,
107 => IND_SX1_CD,
108 => IND_SX1_CC,
109 => IND_SX1_COMMAND(7),
110 => IND_SX1_COMMAND(6),
111 => IND_SX1_COMMAND(5),
112 => IND_SX1_DA_CHK,
113 => IND_SX1_PROT_CHK,
114 => IND_SX1_PROG_CHK,
115 => IND_SX1_IL_CHK,
116 => IND_SX1_CHNLDATA_CHK,
117 => IND_SX1_STATIN_TAG,
118 => IND_SX1_ADRIN_TAG,
119 => IND_SX1_OPIN_TAG,
120 => '0', -- LED5
121 => IND_SX1_SUPOUT_TAG,
122 => IND_SX1_SERVOUT_TAG,
123 => IND_SX1_CMMDOUT_TAG,
124 => IND_SX1_ADROUT_TAG,
125 => IND_SX1_SELOUT_TAG,
126 => IND_SX1_IF_CHK,
127 => IND_SX1_CHNLCTRL_CHK,
-- SX2 128-150. 162-167
-- Temporary indicators 152-159
152 => IND_LOAD,
153 => IND_TEST,
154 => IND_WAIT,
155 => IND_MAN,
156 => IND_SYST,
157 => '1', -- Power
158 => '1',
159 => '1',
160 => IND_ADDR_IN,
161 => IND_OPNL_IN,
-- 162-167 in SX2
168 => IND_FO_P,
169 => IND_SUPPR_OUT,
170 => IND_SERV_OUT,
171 => IND_CMMD_OUT,
172 => IND_ADDR_OUT,
173 => IND_SEL_OUT,
174 => IND_SERV_IN,
175 => IND_STATUS_IN,
176 => IND_FO(7),
177 => IND_FO(6),
178 => IND_FO(5),
179 => IND_FO(4),
180 => IND_FO(3),
181 => IND_FO(2),
182 => IND_FO(1),
183 => IND_FO(0),
184 => IND_M(6),
185 => IND_M(5),
186 => IND_M(4),
187 => IND_M(3),
188 => IND_M(2),
189 => IND_M(1),
190 => IND_M(0),
191 => IND_M(8),
192 => IND_N(5),
193 => IND_N(4),
194 => IND_N(3),
195 => IND_N(2),
196 => IND_N(1),
197 => IND_N(0),
198 => IND_N(8),
199 => IND_M(7),
200 => IND_MSDR(2),
201 => IND_MSDR(1),
202 => IND_MSDR(0),
203 => IND_MSDR_P,
204 => IND_LOC_STG,
205 => IND_MAIN_STG,
206 => IND_N(7),
207 => IND_N(6),
208 => IND_ALU(1),
209 => IND_ALU(0),
210 => IND_ALU(8),
211 => IND_MSDR(7),
212 => IND_MSDR(6),
213 => IND_MSDR(5),
214 => IND_MSDR(4),
215 => IND_MSDR(3),
216 => IND_B(0),
217 => IND_B(8),
218 => IND_ALU(7),
219 => IND_ALU(6),
220 => IND_ALU(5),
221 => IND_ALU(4),
222 => IND_ALU(3),
223 => IND_ALU(2),
224 => IND_A(8),
225 => IND_B(7),
226 => IND_B(6),
227 => IND_B(5),
228 => IND_B(4),
229 => IND_B(3),
230 => IND_B(2),
231 => IND_B(1),
232 => IND_A(7),
233 => IND_A(6),
234 => IND_A(5),
235 => IND_A(4),
236 => IND_A(3),
237 => IND_A(2),
238 => IND_A(1),
239 => IND_A(0),
240 => IND_CHK_B_REG,
241 => IND_1050_REQ,
242 => IND_1050_INTRV,
243 => IND_CHK_STOR_DATA,
244 => IND_CHK_STOR_ADDR,
245 => IND_ALLOW_WR,
246 => IND_CY_MATCH,
247 => IND_EX,
248 => IND_CHK_CTRL_REG,
249 => IND_CHK_ROS_SALS,
250 => IND_CHK_ROS_ADDR,
251 => IND_COMP_MODE,
252 => IND_SEL_CHNL,
253 => IND_MPX,
254 => IND_CHK_ALU,
255 => IND_CHK_A_REG,
others => '0');
front_panel_LEDs : entity panel_LEDs
generic map(
clock_divider => 2,
number_LEDs => 256
)
port map(
clk => clk,
LEDs => LED_vector,
-- MAX7219 is standard LED mux (full-size panel)
MAX7219_CLK => MAX7219_CLK,
MAX7219_LOAD => MAX7219_LOAD,
MAX7219_DIN => MAX7219_DIN,
-- MAX6951 is charlieplexed LED mux (miniature panel)
MAX6951_CLK => MAX6951_CLK,
MAX6951_CS0 => MAX6951_CS0,
MAX6951_CS1 => MAX6951_CS1,
MAX6951_CS2 => MAX6951_CS2,
MAX6951_CS3 => MAX6951_CS3,
MAX6951_DIN => MAX6951_DIN
);
number_LEDs : entity segment_LEDs
port map(
clk => clk,
number(15 downto 13) => "000",
number(12 downto 0) => WX_IND(0 to 12),
anodes => ssdan,
cathodes => ssd
);
DEBUG.Selection <= CONV_INTEGER(unsigned(SW_J));
SerialTx <= SO.SerialTx;
-- with DEBUG.Selection select
-- DEBUG.Probe <=
-- SerialBusUngated(0) when 0, SerialBusUngated(1) when 1, SerialBusUngated(2) when 2, SerialBusUngated(3) when 3,
-- SerialBusUngated(4) when 4, SerialBusUngated(5) when 5, SerialBusUngated(6) when 6, SerialBusUngated(7) when 7,
-- RxDataAvailable when others;
end FMD;
|
-- -------------------------------------------------------------
--
-- Entity Declaration for inst_ea_e
--
-- Generated
-- by: wig
-- on: Wed Aug 18 12:41:45 2004
-- cmd: H:/work/mix_new/MIX/mix_0.pl -strip -nodelta ../constant.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_ea_e-e.vhd,v 1.3 2004/08/18 10:47:06 wig Exp $
-- $Date: 2004/08/18 10:47:06 $
-- $Log: inst_ea_e-e.vhd,v $
-- Revision 1.3 2004/08/18 10:47:06 wig
-- reworked some testcases
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.45 2004/08/09 15:48:14 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.32 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_ea_e
--
entity inst_ea_e is
-- Generics:
-- No Generated Generics for Entity inst_ea_e
-- Generated Port Declaration:
port(
-- Generated Port for Entity inst_ea_e
bad_width_p : in bit_vector(7 downto 0);
const_06_p : in std_ulogic_vector(6 downto 0);
const_07_p : in std_ulogic_vector(5 downto 0);
zero_dup_e : in std_ulogic
-- End of Generated Port for Entity inst_ea_e
);
end inst_ea_e;
--
-- End of Generated Entity inst_ea_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
Library UNISIM;
use UNISIM.vcomponents.all;
entity mojo_top is
Port (
clk50m : in STD_LOGIC;
rst_n : in STD_LOGIC;
--cclk : in STD_LOGIC; -- spi/fpga programming clock (not used).
led : out STD_LOGIC_VECTOR (7 downto 0); -- board LEDs
-- spi interface shared with AVR and SPI flash chip (not used here)
--spi_mosi : in STD_LOGIC;
--spi_miso : out STD_LOGIC;
--spi_ss : in STD_LOGIC;
--spi_sck : in STD_LOGIC;
--spi_channel : in STD_LOGIC_VECTOR (3 downto 0); ( not used here)
-- avr rs232 interface (ttl levels) ( not used here )
-- avr_tx : in STD_LOGIC;
-- avr_rx : in STD_LOGIC;
-- avr_rx_busy : in STD_LOGIC
-- RS232
serial_tx : out STD_LOGIC; -- 3rd pin up from uC outside.
serial_rx : in STD_LOGIC; -- 4th pin up from uC outside.
-- Dac Interface
dac_reset : out STD_LOGIC;
dac_sleep : out STD_LOGIC;
dac_mode : out STD_LOGIC;
dac_cmode : out STD_LOGIC;
dac_clk_p : out STD_LOGIC;
dac_clk_n : out STD_LOGIC;
dac_DB : out signed( 11 downto 0 )
);
end mojo_top;
architecture Behavioral of mojo_top is
--#########################################################
--# Component Definitions
--#########################################################
component uart is
port (
i_clk : in std_logic; -- system clock
i_srst : in std_logic; -- synchronious reset, 1 - active
i_baud_div : in std_logic_vector(15 downto 0); -- clk divider to get to baud rate
-- uart interface
o_uart_tx : out std_logic; -- tx bit stream
i_uart_rx : in std_logic; -- uart rx bit stream input
-- fpga side
i_tx_send : in std_logic_vector(7 downto 0); -- data byte in
i_tx_send_we : in std_logic; -- write enable
o_tx_send_busy : out std_logic; -- tx is busy, writes are ignored.
o_rx_read : out std_logic_vector(7 downto 0); -- data byte out
o_rx_read_valid : out std_logic; -- read data valid this clock cycle
i_rx_read_rd : in std_logic -- read request, get next byte..
);
end component uart;
component uart_db_interface is
port (
i_clk : in std_logic; -- input system clock
i_srst : in std_logic; -- sync reset to system clock
-- uart interface
i_rx_data : in std_logic_vector( 7 downto 0); -- data from uart
i_rx_data_valid : in std_logic; -- valid data from uart
o_rx_read_ack : out std_logic; -- tell uart we have read byte.
o_tx_send : out std_logic_vector( 7 downto 0); -- tx_send data
o_tx_send_wstrb : out std_logic; -- write data strobe
i_tx_send_busy : in std_logic; -- uart is busy tx, don't write anything.. (stall)
-- databus master interface
o_db_cmd_wstrb : out std_logic; -- write command strobe
o_db_cmd_out : out std_logic_vector( 7 downto 0); -- cmd to databus master
o_db_cmd_data_out : out std_logic_vector( 7 downto 0); -- write data to databus master
i_db_cmd_data_in : in std_logic_vector( 7 downto 0); -- read data from databus master
i_db_cmd_rdy : in std_logic -- db is ready to process a cmd / previous cmd is complete.
);
end component;
component databus_master is
generic (
slave_latency_max : integer := 3 -- latency from read/write strb to when the
-- operation is complete in number of i_clk cycles.
-- 3 would give a slave 3 clock cycles to perform
-- the needed operation.
);
port (
-- clock and resets
i_clk : in std_logic; -- input system clock
i_srst : in std_logic; -- sync reset to system clock
-- db master cmd interface
i_db_cmd_in : in std_logic_vector( 7 downto 0); -- input cmd byte
i_db_cmd_wstrb : in std_logic; -- write strobe for cmd byte
o_db_cmd_rdy : out std_logic; -- '1' rdy to process next cmd, '0' busy
i_db_cmd_data_in : in std_logic_vector( 7 downto 0); -- input byte if cmd is a write (with wstrb)
o_db_cmd_data_out : out std_logic_vector( 7 downto 0); -- output byte if cmd was a read
-- data bus interface
o_db_addr : out std_logic_vector( 6 downto 0); -- 6 -> 0 bit address bus (7 bits)
o_db_write_data : out std_logic_vector( 7 downto 0); -- write data
i_db_read_data : in std_logic_vector( 7 downto 0); -- read data
o_db_read_strb : out std_logic; -- db_read_strobe
o_db_write_strb : out std_logic -- db_write_strobe
);
end component;
component dac_test is
port (
i_clk : in std_logic;
i_rst : in std_logic;
------------------------------------------
o_dac_cmode : out std_logic;
o_dac_mode : out std_logic;
o_dac_reset : out std_logic;
o_dac_sleep : out std_logic;
o_dac_clk : out std_logic;
o_dac_db : out signed(11 downto 0);
------------------------------------------
i_nco_ftw : in unsigned(31 downto 0)
);
end component dac_test;
--###########################################################
--# Signal Definitions
--###########################################################
-- uart signals
signal baud_div : std_logic_vector( 15 downto 0);
signal tx_byte : std_logic_vector( 7 downto 0);
signal tx_byte_we : std_logic;
signal tx_byte_busy : std_logic;
signal rx_byte : std_logic_vector( 7 downto 0);
signal rx_byte_valid : std_logic;
signal rx_byte_rd : std_logic;
-- data bus master signals
signal db_cmd : std_logic_vector( 7 downto 0 );
signal db_cmd_wstrb : std_logic;
signal db_cmd_rdy : std_logic;
signal db_cmd_wr_data : std_logic_vector( 7 downto 0 );
signal db_cmd_rd_data : std_logic_vector( 7 downto 0 );
-- data bus interface to slaves
signal db_addr : std_logic_vector(6 downto 0);
signal db_wr_data : std_logic_vector(7 downto 0);
signal db_rd_data : std_logic_vector(7 downto 0);
signal db_wr_strb : std_logic;
signal db_rd_strb : std_logic;
-- output register for driving the LEDs
signal led_reg : std_logic_vector(7 downto 0);
-- nco registers
signal nco_reg0 : unsigned( 7 downto 0 );
signal nco_reg1 : unsigned( 7 downto 0 );
signal nco_reg2 : unsigned( 7 downto 0 );
signal nco_reg3 : unsigned( 7 downto 0 );
signal nco_update_state : std_logic_vector( 3 downto 0 );
signal nco_ftw : unsigned( 31 downto 0 );
signal dac_clk : std_logic;
signal dac_sample : signed(11 downto 0);
-- sync reset signal to 50 MHz clk
signal srst : std_logic;
begin
led <= led_reg;
-- led <= rx_byte;
baud_div <= x"01B2"; -- 115200
uart_1 : uart
port map (
i_clk => clk50m,
i_srst => srst,
i_baud_div => baud_div,
-- uart interface
o_uart_tx => serial_tx,
i_uart_rx => serial_rx,
-- fpga side
i_tx_send => tx_byte,
i_tx_send_we => tx_byte_we,
o_tx_send_busy => tx_byte_busy,
o_rx_read => rx_byte,
o_rx_read_valid => rx_byte_valid,
i_rx_read_rd => rx_byte_rd
);
udbi_1 : uart_db_interface
port map (
i_clk => clk50m,
i_srst => srst,
-- uart interface
i_rx_data => rx_byte,
i_rx_data_valid => rx_byte_valid,
o_rx_read_ack => rx_byte_rd,
o_tx_send => tx_byte,
o_tx_send_wstrb => tx_byte_we,
i_tx_send_busy => tx_byte_busy,
-- databus master interface
o_db_cmd_wstrb => db_cmd_wstrb,
o_db_cmd_out => db_cmd,
o_db_cmd_data_out => db_cmd_wr_data,
i_db_cmd_data_in => db_cmd_rd_data,
i_db_cmd_rdy => db_cmd_rdy
);
db_master_1 : databus_master
generic map (
slave_latency_max => 3 -- latency from read/write strb to when the
-- operation is complete in number of i_clk cycles.
-- 3 would give a slave 3 clock cycles to perform
-- the needed operation.
)
port map (
-- clock and resets
i_clk => clk50m,
i_srst => srst,
-- db master cmd interface
i_db_cmd_in => db_cmd,
i_db_cmd_wstrb => db_cmd_wstrb,
o_db_cmd_rdy => db_cmd_rdy,
i_db_cmd_data_in => db_cmd_wr_data,
o_db_cmd_data_out => db_cmd_rd_data,
-- data bus interface
o_db_addr => db_addr,
o_db_write_data => db_wr_data,
i_db_read_data => db_rd_data,
o_db_read_strb => db_rd_strb,
o_db_write_strb => db_wr_strb
);
-- generate synchronious reset signal for
-- synchronious blocks
rst_sync : process( clk50m )
begin
if ( rising_edge(clk50m) ) then
if ( rst_n = '0' ) then
-- reset active
srst <= '1';
-- for now, just hardcode the nco rate at startup
-- 0x1AE ~= 10 Hz rate.. (10.0117176818 Hz)
-- freq = (nco_ftw / 2^31-1)*50e6
-- nco_ftw = ( Freq / 50e6 ) * (2^31-1)
-- nco_ftw <= x"000001AE";
else
srst <= '0';
end if;
end if;
end process;
-- simple data bus slave to control LEDs on address 3
led_ctrl : process( clk50m )
begin
if ( rising_edge( clk50m ) ) then
if ( srst = '1' ) then
led_reg <= (others=>'0');
else
if ( db_wr_strb = '1' ) then
-- if address 0x03
if ( db_addr = "0000011" ) then
led_reg <= db_wr_data;
end if;
end if;
if ( db_rd_strb = '1' ) then
if ( db_addr = "0000011" ) then
db_rd_data <= led_reg;
end if;
else
db_rd_data <= (others=>'Z');
end if;
end if;
end if;
end process;
-- memory map register for DAC, write only registers.
-- have to write to all 4 to update the nco_ftw. order dosn't mater.
DAC_REGS : process( clk50m )
begin
if ( rising_edge(clk50m) ) then
if ( srst = '1' ) then
nco_ftw <= x"051eb852"; -- ~ 1 MHz cycle rate..
else
if ( db_wr_strb = '1' ) then
if ( db_addr = "0000100" ) then -- addr 4
nco_reg0 <= unsigned(db_wr_data);
nco_update_state(0) <= '1';
end if;
if ( db_addr = "0000101" ) then -- addr 5
nco_reg1 <= unsigned(db_wr_data);
nco_update_state(1) <= '1';
end if;
if ( db_addr = "0000110" ) then -- addr 6
nco_reg2 <= unsigned(db_wr_data);
nco_update_state(2) <= '1';
end if;
if ( db_addr = "0000111" ) then -- addr 7
nco_reg3 <= unsigned(db_wr_data);
nco_update_state(3) <= '1';
end if;
if ( nco_update_state <= "1111" ) then
nco_update_state <= (others=>'0');
nco_ftw <= nco_reg0 & nco_reg1 & nco_reg2 & nco_reg3;
end if;
end if;
end if;
end if;
end process;
-- single ended to differental IO driver block.. (Xilinx)
OBUFDS_inst : OBUFDS
generic map (
IOSTANDARD => "DEFAULT"
)
port map (
O => dac_clk_p, -- P clk pin
OB => dac_clk_n, -- N clk pin
I => dac_clk -- input clock
);
u_dac_test : dac_test
port map (
i_clk => clk50m,
i_rst => srst,
------------------------------------------
o_dac_cmode => dac_cmode,
o_dac_mode => dac_mode,
o_dac_reset => dac_reset,
o_dac_sleep => dac_sleep,
o_dac_clk => dac_clk,
o_dac_db => dac_sample,
------------------------------------------
i_nco_ftw => nco_ftw
);
dac_sample_latch : process( dac_clk )
begin
if ( rising_edge(dac_clk) ) then
dac_DB <= dac_sample;
end if;
end process;
end architecture;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_05_fg_05_12.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity fg_05_12 is
end entity fg_05_12;
architecture test of fg_05_12 is
signal a, z : bit;
begin
-- code from book
asym_delay : process (a) is
constant Tpd_01 : time := 800 ps;
constant Tpd_10 : time := 500 ps;
begin
if a = '1' then
z <= transport a after Tpd_01;
else -- a = '0'
z <= transport a after Tpd_10;
end if;
end process asym_delay;
-- end code from book
stimulus : process is
begin
a <= '1' after 2000 ps,
'0' after 4000 ps,
'1' after 6000 ps,
'0' after 6200 ps;
wait;
end process stimulus;
end architecture test;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_05_fg_05_12.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity fg_05_12 is
end entity fg_05_12;
architecture test of fg_05_12 is
signal a, z : bit;
begin
-- code from book
asym_delay : process (a) is
constant Tpd_01 : time := 800 ps;
constant Tpd_10 : time := 500 ps;
begin
if a = '1' then
z <= transport a after Tpd_01;
else -- a = '0'
z <= transport a after Tpd_10;
end if;
end process asym_delay;
-- end code from book
stimulus : process is
begin
a <= '1' after 2000 ps,
'0' after 4000 ps,
'1' after 6000 ps,
'0' after 6200 ps;
wait;
end process stimulus;
end architecture test;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_05_fg_05_12.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity fg_05_12 is
end entity fg_05_12;
architecture test of fg_05_12 is
signal a, z : bit;
begin
-- code from book
asym_delay : process (a) is
constant Tpd_01 : time := 800 ps;
constant Tpd_10 : time := 500 ps;
begin
if a = '1' then
z <= transport a after Tpd_01;
else -- a = '0'
z <= transport a after Tpd_10;
end if;
end process asym_delay;
-- end code from book
stimulus : process is
begin
a <= '1' after 2000 ps,
'0' after 4000 ps,
'1' after 6000 ps,
'0' after 6200 ps;
wait;
end process stimulus;
end architecture test;
|
--------------------------------------------------------------------------------
--
-- Title : ctrl_key_decoder.vhd
-- Design : Example
-- Author : Kapitanov
-- Company : InSys
--
-- Version : 1.0
--------------------------------------------------------------------------------
--
-- Description : Keyboard data decoder
--
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.ctrl_types_pkg.key_data;
entity ctrl_key_decoder is
port(
-- system signals
clk : in std_logic; --! system clock
-- keyboard in:
ps2_clk : in std_logic; --! PS/2 CLK
ps2_data : in std_logic; --! PS/2 DATA
-- keyboard out:
keys_out : out key_data; --! key data
new_key : out std_logic --! detect new key
);
end ctrl_key_decoder;
architecture ctrl_key_decoder of ctrl_key_decoder is
component ps2_keyboard is
generic(
clk_freq : integer; --! System clock frequency in Hz
db_cnt_size : integer --! Set such that (2^size)/clk_freq = 5us (size = 8 for 50MHz)
);
port(
clk : in std_logic; --! System clock
ps2_clk : in std_logic; --! Clock signal from PS/2 keyboard
ps2_data : in std_logic; --! Data signal from PS/2 keyboard
ps2_code_new : out std_logic; --! New PS/2 code is available
ps2_code : out std_logic_vector(7 downto 0) --! Code received from PS/2
);
end component;
signal new_code : std_logic;
signal key_code : std_logic_vector(7 downto 0);
signal key_codez : std_logic_vector(7 downto 0);
signal arrowU, arrowD, arrowL, arrowR : std_logic;
signal arrowEn, arrowSp, arrowY, arrowN : std_logic;
signal Esc : std_logic;
begin
key_codez <= key_code after 1 ns when rising_edge(clk);
------------------------------------------------
new_key <= new_code when rising_edge(clk);
------------------------------------------------
keys_out.wsad <= arrowU & arrowD & arrowL & arrowR when rising_edge(clk);
keys_out.enter <= arrowEn when rising_edge(clk);
keys_out.space <= arrowSp when rising_edge(clk);
keys_out.kY <= arrowY when rising_edge(clk);
keys_out.kN <= arrowN when rising_edge(clk);
keys_out.Esc <= Esc when rising_edge(clk);
------------------------------------------------
arrowU <= '1' when key_codez = x"1D" and key_code = x"F0" else '0';
arrowD <= '1' when key_codez = x"1B" and key_code = x"F0" else '0';
arrowL <= '1' when key_codez = x"1C" and key_code = x"F0" else '0';
arrowR <= '1' when key_codez = x"23" and key_code = x"F0" else '0';
arrowN <= '1' when key_codez = x"31" and key_code = x"F0" else '0';
arrowY <= '1' when key_codez = x"35" and key_code = x"F0" else '0';
arrowSp <= '1' when key_codez = x"29" and key_code = x"F0" else '0';
arrowEn <= '1' when key_codez = x"5A" and key_code = x"F0" else '0';
Esc <= '1' when key_codez = x"76" and key_code = x"F0" else '0';
------------------------------------------------
x_key: ps2_keyboard
generic map(
clk_freq => 50_000_000,
db_cnt_size => 8
)
port map(
clk => clk,
ps2_clk => ps2_clk,
ps2_data => ps2_data,
ps2_code_new => new_code,
ps2_code => key_code
);
end ctrl_key_decoder; |
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library std;
use std.env.all;
library lib;
use lib.all;
entity a_testbench is
end entity a_testbench;
architecture bench of a_testbench is
-- Component to test
component a_entity is
port (
clk : in std_logic;
din_enable : in std_logic;
din_value : in unsigned(15 downto 0);
dout_enable : out std_logic;
dout_value : out unsigned(15 downto 0)
);
end component a_entity;
signal clk : std_logic;
signal din_enable : std_logic;
signal din_value : unsigned(15 downto 0);
signal dout1_enable : std_logic;
signal dout1_value : unsigned(15 downto 0);
signal a_dout2_enable : std_logic;
signal a_dout2_value : unsigned(15 downto 0);
signal b_dout2_enable : std_logic;
signal b_dout2_value : unsigned(15 downto 0);
-- bench tools
constant CLOCK_HALF_PERIOD : time := 5 ns;
begin
a_instance_1 : a_entity
port map (
clk => clk,
din_enable => din_enable,
din_value => din_value,
dout_enable => dout1_enable,
dout_value => dout1_value
);
a_instance_2 : a_entity
port map (
clk => clk,
din_enable => dout1_enable,
din_value => dout1_value,
dout_enable => a_dout2_enable,
dout_value => a_dout2_value
);
--b_instance_1 : entity lib.b(b_archi2)
b_instance_1 : configuration lib.b_config
port map (
clk => clk,
din_enable => dout1_enable,
din_value => dout1_value,
dout_enable => b_dout2_enable,
dout_value => b_dout2_value
);
proc_clk : process
begin
clk <= '0';
wait for CLOCK_HALF_PERIOD;
clk <= '1';
wait for CLOCK_HALF_PERIOD;
end process proc_clk;
proc_upstream : process
begin
din_enable <= '0';
din_value <= (others => '0');
for i in 0 to 5 loop
wait until rising_edge(clk);
end loop;
-- feed
for i in 0 to 3 loop
din_enable <= '1';
din_value <= din_value +1;
wait until rising_edge(clk);
din_enable <= '0';
wait until rising_edge(clk);
end loop;
wait;
end process proc_upstream;
proc_downstream : process(clk)
begin
if rising_edge(clk) then
if a_dout2_enable = '1' then
report string'("(A) dout2_value = ") & integer'image(to_integer(a_dout2_value));
end if;
if b_dout2_enable = '1' then
report string'("(B) dout2_value = ") & integer'image(to_integer(b_dout2_value));
end if;
end if;
end process proc_downstream;
proc_end_of_simulation : process
begin
wait for 1 us;
report "End of simulation";
finish(0);
wait;
end process proc_end_of_simulation;
end bench;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3117.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c05s02b01x01p03n01i03117ent_a IS
generic ( g1 : boolean );
port ( p1 : in Bit;
p2 : out Bit );
END c05s02b01x01p03n01i03117ent_a;
ARCHITECTURE c05s02b01x01p03n01i03117arch_a OF c05s02b01x01p03n01i03117ent_a IS
BEGIN
p2 <= p1 after 10 ns;
END c05s02b01x01p03n01i03117arch_a;
ARCHITECTURE c05s02b01x01p03n01i03117arch_b OF c05s02b01x01p03n01i03117ent_a IS
BEGIN
p2 <= p1 after 15 ns;
END c05s02b01x01p03n01i03117arch_b;
configuration c05s02b01x01p03n01i03117cfg_a of c05s02b01x01p03n01i03117ent_a is
for c05s02b01x01p03n01i03117arch_a
end for;
end c05s02b01x01p03n01i03117cfg_a;
configuration c05s02b01x01p03n01i03117cfg_b of c05s02b01x01p03n01i03117ent_a is
for c05s02b01x01p03n01i03117arch_b
end for;
end c05s02b01x01p03n01i03117cfg_b;
--
ENTITY c05s02b01x01p03n01i03117ent IS
END c05s02b01x01p03n01i03117ent;
ARCHITECTURE c05s02b01x01p03n01i03117arch OF c05s02b01x01p03n01i03117ent IS
component ic_socket
generic ( g1 : boolean );
port ( p1 : in Bit;
p2 : out Bit );
end component;
signal s1,s2,s3,s4 : Bit;
BEGIN
u1 : ic_socket
generic map ( true )
port map (s1, s2);
u2 : ic_socket
generic map ( true )
port map (s2, s3);
u3 : ic_socket
generic map ( true )
port map (s3, s4);
TESTING: PROCESS
BEGIN
wait for 30 ns;
assert NOT( s2 = s1 and
s3 = s2 and
s4 = s3 )
report "***PASSED TEST: c05s02b01x01p03n01i03117"
severity NOTE;
assert ( s2 = s1 and
s3 = s2 and
s4 = s3 )
report "***FAILED TEST: c05s02b01x01p03n01i03117 - Entity declaration denoted by the entity name together with an architecture body binding in configuration blocks test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c05s02b01x01p03n01i03117arch;
configuration c05s02b01x01p03n01i03117cfg of c05s02b01x01p03n01i03117ent is
for c05s02b01x01p03n01i03117arch
for all : ic_socket use entity work.c05s02b01x01p03n01i03117ent_a (c05s02b01x01p03n01i03117arch_a);
end for;
end for;
end c05s02b01x01p03n01i03117cfg;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3117.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c05s02b01x01p03n01i03117ent_a IS
generic ( g1 : boolean );
port ( p1 : in Bit;
p2 : out Bit );
END c05s02b01x01p03n01i03117ent_a;
ARCHITECTURE c05s02b01x01p03n01i03117arch_a OF c05s02b01x01p03n01i03117ent_a IS
BEGIN
p2 <= p1 after 10 ns;
END c05s02b01x01p03n01i03117arch_a;
ARCHITECTURE c05s02b01x01p03n01i03117arch_b OF c05s02b01x01p03n01i03117ent_a IS
BEGIN
p2 <= p1 after 15 ns;
END c05s02b01x01p03n01i03117arch_b;
configuration c05s02b01x01p03n01i03117cfg_a of c05s02b01x01p03n01i03117ent_a is
for c05s02b01x01p03n01i03117arch_a
end for;
end c05s02b01x01p03n01i03117cfg_a;
configuration c05s02b01x01p03n01i03117cfg_b of c05s02b01x01p03n01i03117ent_a is
for c05s02b01x01p03n01i03117arch_b
end for;
end c05s02b01x01p03n01i03117cfg_b;
--
ENTITY c05s02b01x01p03n01i03117ent IS
END c05s02b01x01p03n01i03117ent;
ARCHITECTURE c05s02b01x01p03n01i03117arch OF c05s02b01x01p03n01i03117ent IS
component ic_socket
generic ( g1 : boolean );
port ( p1 : in Bit;
p2 : out Bit );
end component;
signal s1,s2,s3,s4 : Bit;
BEGIN
u1 : ic_socket
generic map ( true )
port map (s1, s2);
u2 : ic_socket
generic map ( true )
port map (s2, s3);
u3 : ic_socket
generic map ( true )
port map (s3, s4);
TESTING: PROCESS
BEGIN
wait for 30 ns;
assert NOT( s2 = s1 and
s3 = s2 and
s4 = s3 )
report "***PASSED TEST: c05s02b01x01p03n01i03117"
severity NOTE;
assert ( s2 = s1 and
s3 = s2 and
s4 = s3 )
report "***FAILED TEST: c05s02b01x01p03n01i03117 - Entity declaration denoted by the entity name together with an architecture body binding in configuration blocks test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c05s02b01x01p03n01i03117arch;
configuration c05s02b01x01p03n01i03117cfg of c05s02b01x01p03n01i03117ent is
for c05s02b01x01p03n01i03117arch
for all : ic_socket use entity work.c05s02b01x01p03n01i03117ent_a (c05s02b01x01p03n01i03117arch_a);
end for;
end for;
end c05s02b01x01p03n01i03117cfg;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3117.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c05s02b01x01p03n01i03117ent_a IS
generic ( g1 : boolean );
port ( p1 : in Bit;
p2 : out Bit );
END c05s02b01x01p03n01i03117ent_a;
ARCHITECTURE c05s02b01x01p03n01i03117arch_a OF c05s02b01x01p03n01i03117ent_a IS
BEGIN
p2 <= p1 after 10 ns;
END c05s02b01x01p03n01i03117arch_a;
ARCHITECTURE c05s02b01x01p03n01i03117arch_b OF c05s02b01x01p03n01i03117ent_a IS
BEGIN
p2 <= p1 after 15 ns;
END c05s02b01x01p03n01i03117arch_b;
configuration c05s02b01x01p03n01i03117cfg_a of c05s02b01x01p03n01i03117ent_a is
for c05s02b01x01p03n01i03117arch_a
end for;
end c05s02b01x01p03n01i03117cfg_a;
configuration c05s02b01x01p03n01i03117cfg_b of c05s02b01x01p03n01i03117ent_a is
for c05s02b01x01p03n01i03117arch_b
end for;
end c05s02b01x01p03n01i03117cfg_b;
--
ENTITY c05s02b01x01p03n01i03117ent IS
END c05s02b01x01p03n01i03117ent;
ARCHITECTURE c05s02b01x01p03n01i03117arch OF c05s02b01x01p03n01i03117ent IS
component ic_socket
generic ( g1 : boolean );
port ( p1 : in Bit;
p2 : out Bit );
end component;
signal s1,s2,s3,s4 : Bit;
BEGIN
u1 : ic_socket
generic map ( true )
port map (s1, s2);
u2 : ic_socket
generic map ( true )
port map (s2, s3);
u3 : ic_socket
generic map ( true )
port map (s3, s4);
TESTING: PROCESS
BEGIN
wait for 30 ns;
assert NOT( s2 = s1 and
s3 = s2 and
s4 = s3 )
report "***PASSED TEST: c05s02b01x01p03n01i03117"
severity NOTE;
assert ( s2 = s1 and
s3 = s2 and
s4 = s3 )
report "***FAILED TEST: c05s02b01x01p03n01i03117 - Entity declaration denoted by the entity name together with an architecture body binding in configuration blocks test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c05s02b01x01p03n01i03117arch;
configuration c05s02b01x01p03n01i03117cfg of c05s02b01x01p03n01i03117ent is
for c05s02b01x01p03n01i03117arch
for all : ic_socket use entity work.c05s02b01x01p03n01i03117ent_a (c05s02b01x01p03n01i03117arch_a);
end for;
end for;
end c05s02b01x01p03n01i03117cfg;
|
---------------------------------------------------------------------------------------
-- BUFFER
-- --------------
-- RX ->| |-> H
-- DATA_IN ->| |<- ACK_H
-- CLOCK_RX ->| |
-- CREDIT_O <-| |-> DATA_AV
-- | |-> DATA
-- | |<- DATA_ACK
-- | |
-- | |
-- | |=> SENDER
-- | | all ports
-- --------------
--
-- Quando o algoritmo de chaveamento resulta no bloqueio dos flits de um pacote,
-- ocorre uma perda de desempenho em toda rede de interconexao, porque os flits sao
-- bloqueados nao somente na chave atual, mas em todas as intermediarias.
-- Para diminuir a perda de desempenho foi adicionada uma fila em cada porta de
-- entrada da chave, reduzindo as chaves afetadas com o bloqueio dos flits de um
-- pacote. E importante observar que quanto maior for o tamanho da fila menor sera o
-- numero de chaves intermediarias afetadas.
-- As filas usadas contem dimensao e largura de flit parametrizaveis, para altera-las
-- modifique as constantes TAM_BUFFER e TAM_FLIT no arquivo "Phoenix_packet.vhd".
-- As filas funcionam como FIFOs circulares. Cada fila possui dois ponteiros: first e
-- last. First aponta para a posicao da fila onde se encontra o flit a ser consumido.
-- Last aponta para a posicao onde deve ser inserido o proximo flit.
---------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use ieee.numeric_std.all;
use STD.textio.all;
use work.HammingPack16.all;
use work.NoCPackage.all;
-- interface da Phoenix_buffer
entity Phoenix_buffer is
generic(address : regflit := (others=>'0');
bufLocation: integer := 0);
port(
clock: in std_logic;
reset: in std_logic;
clock_rx: in std_logic;
rx: in std_logic;
data_in: in unsigned((TAM_FLIT-1) downto 0);
credit_o: out std_logic;
h: out std_logic; -- requisicao de chaveamento
c_ctrl: out std_logic; -- indica se foi lido ou criado de um pacote de controle pelo buffer
c_buffCtrlOut:out buffControl; -- linha da tabela de roteamento lida do pacote de controle que sera escrita na tabela de roteamento
c_buffCtrlFalha:out row_FaultTable_Ports; -- tabela de falhas lida do pacote de controle que solicitou escrever/atualizar a tabela
c_codigoCtrl: out regFlit; -- tipo do pacote de controle (leitura do Code). Terceiro flit do pacote de controle
c_chipETable: out std_logic; -- chip enable da tabela de roteamento
c_ceTF_out: out std_logic; -- ce (chip enable) para escrever/atualizar a tabela de falhas
c_error_find: in RouterControl; -- indica se terminou de achar uma porta de saida para o pacote conforme a tabela de roteamento
c_error_dir : in regNport; -- indica qual destino/porta de saida o pacote sera encaminhado
c_tabelaFalhas :in row_FaultTable_Ports; -- tabela de falhas atualizada/final
ack_h: in std_logic; -- resposta da requisicao de chaveamento
data_av: out std_logic;
data: out regflit;
data_ack: in std_logic;
sender: out std_logic;
c_strLinkTst: out std_logic; -- (start link test) indica que houve um pacote de controle do tipo TEST_LINKS para testar os links. Comentario antigo: send to router (testa as falhas)
c_strLinkTstOthers: in std_logic; -- indica se algum vizinho pediu para testar o link
c_strLinkTstNeighbor: in std_logic; -- indica se o vizinho pediu para testar o link
c_strLinkTstAll: in std_logic; -- se algum buffer fez o pedido de teste de links
c_stpLinkTst: in std_logic; -- (stop link test) indica se algum vizinho pediu para testar o link. Gerado pelo FaultDetection
retransmission_in: in std_logic;
retransmission_out: out std_logic;
statusHamming: in reg3);
end Phoenix_buffer;
architecture Phoenix_buffer of Phoenix_buffer is
type fila_out is (S_INIT, S_PAYLOAD, S_SENDHEADER, S_HEADER, S_END, S_END2,C_PAYLOAD,C_SIZE);
signal EA : fila_out;
signal buf: buff := (others=>(others=>'0'));
signal first,last: unsigned((TAM_POINTER-1) downto 0) := (others=>'0');
signal tem_espaco: std_logic := '0';
signal counter_flit: unsigned((TAM_FLIT-1) downto 0) := (others=>'0');
signal eh_controle : std_logic := '0';
signal buffCtrl : buffControl := (others=>(others=>'0')); -- XY | XY | DIR
signal codigoControl : unsigned((TAM_FLIT-1) downto 0):= (others=>'0');
signal buffCtrlFalha : row_FaultTable_Ports := (others=>(others=>'0'));
signal ceTF_out : std_logic := '0';
signal c_error : std_logic := '0'; -- '0' sem erro para o destino, '1' com erro para o destino
signal c_direcao: regNport :=(others=>'0'); -- registrador com a direcao que esta mandando o pacote
signal c_createmessage : std_logic := '0'; -- sinal usado para criar um pacote de controle com a tabela de falhas
signal c_Buffer : regflit := (others=>'0'); -- dado de saida gerado ao criar um pacote de controle (pacote de resposta eh criado quando eh pedido leitura da tabela de falhas)
signal c_strLinkTstLocal : std_logic := '0'; -- sinal do pedido de inicio de teste de links
signal old_tabelaFalhas : regNport :=(others=>'0'); -- antiga tabela e falhas com 1 bit para cada porta. '0' indica sem falha, '1' indica com falha
signal last_retransmission: regflit := (others=>'0');
signal counter_flit_up: unsigned((TAM_FLIT-1) downto 0) := (others=>'0');
signal last_count_rx: regflit := (others=>'0');
signal retransmission_o: std_logic := '0';
signal pkt_size: unsigned((TAM_FLIT-1) downto 0) := (others=>'0');
begin
retransmission_out <= retransmission_o;
old_tabelaFalhas(LOCAL) <= '0';
old_tabelaFalhas(EAST) <= c_tabelafalhas(EAST)(3*COUNTERS_SIZE+1);
old_tabelaFalhas(WEST) <= c_tabelafalhas(WEST)(3*COUNTERS_SIZE+1);
old_tabelaFalhas(NORTH) <= c_tabelafalhas(NORTH)(3*COUNTERS_SIZE+1);
old_tabelaFalhas(SOUTH) <= c_tabelafalhas(SOUTH)(3*COUNTERS_SIZE+1);
-- sinal indica se tem falha no link destino
c_error <= '1' when unsigned(c_direcao and old_tabelafalhas) /= 0 else '0';
-------------------------------------------------------------------------------------------
-- ENTRADA DE DADOS NA FILA
-------------------------------------------------------------------------------------------
-- Verifica se existe espaco na fila para armazenamento de flits.
-- Se existe espaco na fila o sinal tem_espaco_na_fila eh igual a 1.
process(reset, clock_rx)
begin
if reset = '1' then
tem_espaco <= '1';
elsif clock_rx'event and clock_rx = '1' then
if not ((first = x"0" and last = TAM_BUFFER - 1) or (first = last + 1)) then
tem_espaco <= '1';
else
tem_espaco <= '0';
end if;
end if;
end process;
credit_o <= tem_espaco;
-- O ponteiro last eh inicializado com o valor zero quando o reset eh ativado.
-- Quando o sinal rx eh ativado indicando que existe um flit na porta de entrada. Eh
-- verificado se existe espaco na fila para armazena-lo. Se existir espaco na fila o
-- flit recebido eh armazenado na posicao apontada pelo ponteiro last e o mesmo eh
-- incrementado. Quando last atingir o tamanho da fila, ele recebe zero.
process(reset, clock_rx)
variable count: integer;
variable pkt_received: std_logic := '0';
file my_output : TEXT;
variable fstatus: file_open_status := STATUS_ERROR;
variable my_output_line : LINE;
variable count_retx: integer := 0;
variable total_count_retx: unsigned((TAM_FLIT-1) downto 0);
begin
if reset = '1' then
last <= (others=>'0');
count := 0;
last_count_rx <= (others=>'0');
pkt_size <= (others=>'0');
pkt_received := '1';
elsif clock_rx'event and clock_rx = '0' then
if (rx = '0' and pkt_received='1') then
count := 0;
last_count_rx <= (others=>'1');
pkt_received := '0';
pkt_size <= (others=>'0');
count_retx := 0;
end if;
-- se tenho espaco e se tem alguem enviando, armazena, mas
-- nao queremos armazenar os flits recebidos durante o teste de link, entao
-- se meu roteador esta testando os links ou se o link ligado a este buffer esta sendo testando pelo vizinho, irei ignorar os flits durante o teste
-- o buffer local que eh conectado ao link local (assumido que nunca falha) nunca sera testado
if tem_espaco = '1' and rx = '1' and ((c_strLinkTstAll = '0' and c_strLinkTstNeighbor='0') or bufLocation = LOCAL) then
-- se nao deu erro, esta tudo normal. Posso armazenar o flit no buffer e incrementar o ponteiro
if (statusHamming /= ED) then
retransmission_o <= '0';
-- modifica o ultimo flit do pacote para armazenar o numero de retransmissoes
if (count = pkt_size+1 and pkt_size > 0) then
total_count_retx := data_in;
total_count_retx := total_count_retx + count_retx;
buf(to_integer(last)) <= std_logic_vector(total_count_retx);
else
buf(to_integer(last)) <= std_logic_vector(data_in); -- armazena o flit
end if;
if (count = 1) then
pkt_size <= data_in;
end if;
--incrementa o last
if last = TAM_BUFFER - 1 then
last <= (others=>'0');
else
last <= last + 1;
end if;
count := count + 1;
-- detectado erro e nao corrigido. Posso tentar mais uma vez pedindo retransmissao...
else
retransmission_o <= '1';
count_retx := count_retx + 1;
last_count_rx <= std_logic_vector(to_unsigned(count,TAM_FLIT));
end if;
if (count = pkt_size+2 and pkt_size > 0) then
pkt_received := '1';
if (count_retx /= 0) then
if(fstatus /= OPEN_OK) then
file_open(fstatus, my_output,"retransmission_00"&to_hstring(address)&".txt",WRITE_MODE);
end if;
write(my_output_line, "Packet in port "&PORT_NAME(bufLocation)&" received "&integer'image(count_retx)&" flits with double error "&time'image(now));
writeline(my_output, my_output_line);
end if;
else
pkt_received := '0';
end if;
end if;
end if;
end process;
-------------------------------------------------------------------------------------------
-- SAIDA DE DADOS NA FILA
-------------------------------------------------------------------------------------------
-- disponibiliza o dado para transmissao. Se nao estiver criando um pacote de controle, envia normalmente o dado do buffer, caso contrario envia dado criado (c_buffer)
data <= buf(to_integer(first)) when c_createmessage ='0' else c_Buffer;
-- Quando sinal reset eh ativado a maquina de estados avanca para o estado S_INIT.
-- No estado S_INIT os sinais counter_flit (contador de flits do corpo do pacote), h (que
-- indica requisicao de chaveamento) e data_av (que indica a existencia de flit a ser
-- transmitido) sao inicializados com zero. Se existir algum flit na fila, ou seja, os
-- ponteiros first e last apontarem para posicoes diferentes, a maquina de estados avanca
-- para o estado S_HEADER.
-- No estado S_HEADER eh requisitado o chaveamento (h='1'), porque o flit na posicao
-- apontada pelo ponteiro first, quando a maquina encontra-se nesse estado, eh sempre o
-- header do pacote. A maquina permanece neste estado ate que receba a confirmacao do
-- chaveamento (ack_h='1') entao o sinal h recebe o valor zero e a maquina avanca para
-- S_SENDHEADER.
-- Em S_SENDHEADER eh indicado que existe um flit a ser transmitido (data_av='1'). A maquina de
-- estados permanece em S_SENDHEADER ate receber a confirmacao da transmissao (data_ack='1')
-- entao o ponteiro first aponta para o segundo flit do pacote e avanca para o estado S_PAYLOAD.
-- No estado S_PAYLOAD eh indicado que existe um flit a ser transmitido (data_av='1') quando
-- eh recebida a confirmacao da transmissao (data_ack='1') eh verificado qual o valor do sinal
-- counter_flit. Se counter_flit eh igual a um, a maquina avanca para o estado S_INIT. Caso
-- counter_flit seja igual a zero, o sinal counter_flit eh inicializado com o valor do flit, pois
-- este ao numero de flits do corpo do pacote. Caso counter_flit seja diferente de um e de zero
-- o mesmo eh decrementado e a maquina de estados permanece em S_PAYLOAD enviando o proximo flit
-- do pacote.
process(reset, clock)
variable indexFlitCtrl: integer :=0;
variable varControlCom: integer :=1; -- variavel de comando, para fazer as iteracoes
begin
if reset = '1' then
counter_flit <= (others=>'0');
counter_flit_up <= (others=>'0');
h <= '0';
data_av <= '0';
sender <= '0';
first <= (others=>'0');
eh_controle <= '0';
c_chipETable <= '0';
EA <= S_INIT;
elsif clock'event and clock = '1' then
case EA is
when S_INIT =>
c_chipETable <= '0'; -- desabilita escrita na tabela de roteamento
counter_flit <= (others=>'0');
counter_flit_up <= (others=>'0');
data_av <= '0';
eh_controle <= '0';
last_retransmission <= (others=>'0');
-- se existe dados no buffer a serem transmitidos (por causa dos ponteiros first e last diferentes) OU se devo criar um pacote de controle com a tabela de falhas
if first /= last or c_createmessage = '1' then
-- se o primeiro flit do pacote a ser transmitido possui o bit indicando que eh um pacote de controle E se nesse primeiro flit possui o endereco do roteador em que o buffer se encontra
-- OU se devo criar um pacote de controle com a tabela de falhas (este pacote eh criado se for pedido a leitura da tabela de falhas)
if((buf(to_integer(first))(TAM_FLIT-1)='1') and (buf(to_integer(first))((TAM_FLIT-2) downto 0)=address((TAM_FLIT-2) downto 0))) or c_createmessage = '1' then -- PACOTE DE CONTROLE
-- se preciso criar um pacote com a tabela de falhas. Comentario antigo: o pacote de controle pare este roteador
if c_createmessage = '1' then
-- se ultimo pacote de controle recebido foi de leitura da tabela de falhas
if codigoControl = c_RD_FAULT_TAB_STEP1 then
c_Buffer <= '1' & address((TAM_FLIT-2) downto 0); -- entao crio o primeiro flit do pacote que vai conter a tabela de falhas
h <= '1'; -- requisicao de chaveamento (chavear os dados de entrada para a porta de saida atraves da crossbar)
EA <= S_HEADER; -- maquina de estados avanca para o estado S_HEADER
eh_controle <= '1'; -- indica que o pacote lido/criado eh de controle
c_direcao <= "10000"; --direcao para a saida Local
end if;
-- nao irei criar pacote de controle com a tabela de falhas, irei apenas transmitir o pacote do buffer
else
-- incrementa ponteiro first (ponteiro usado para envio)
-- nao preciso tratar erro detectado aqui, pq em ED o flit eh igual a zero, logo nao sera pacote de controle
if first = TAM_BUFFER - 1 then
first <= (others=>'0');
else
first <= first + 1;
end if;
EA <= C_SIZE; -- maquina de estados avanca para o estado S_SIZE (estado onde eh lido o tamanho do pacote)
eh_controle <= '1'; -- indica que o pacote lido/criado eh de controle
c_direcao <= "10000"; -- direcao para o a saida Local
end if;
-- tenho dados para enviar e nao sao de controle (apenas pacote de dados)
else
h <= '1'; -- requisicao de chaveamento (chavear os dados de entrada para a porta de saida atraves da crossbar)
EA <= S_HEADER; -- maquina de estados avanca para o estado S_HEADER
end if;
-- entao nao tenho dados no buffer para enviar nem preciso criar um pacote de controle
else
h <= '0'; -- nao pede/solicita chaveamento pq nao preciso enviar nada
end if;
when S_HEADER =>
-- se terminou de achar uma porta de saida para o pacote conforme a tabela de roteamento
if (c_error_find = validRegion) then
c_direcao <= c_error_dir; -- direcao/porta de saida da tabela de roteamento
end if;
-- atendido/confirmado a requisicao de chaveamento OU se link destino tiver falhar
if ack_h = '1' or c_error = '1' then
EA <= S_SENDHEADER;
h <= '0'; -- nao preciso mais solicitar o chaveamento pq ele foi ja foi atendido :)
data_av <= '1'; -- data available (usado para indicar que exite flit a ser transmitido)
sender <= '1'; -- usado para indicar que esta transmitindo (por este sinal sabemos quando termina a transmissao e a porta destino desocupa)
end if;
when S_SENDHEADER =>
-- se recebeu confirmacao de dado recebido OU o link destino esta com falha
if data_ack = '1' or c_error = '1' then
-- incrementa pointeiro first (usado para transmitir flit) e sinaliza que tem dado disponivel
if c_createmessage = '0' then
-- se receptor nao pediu retransmissao, continua enviando
if (retransmission_in='0') then
EA <= S_PAYLOAD;
if first = TAM_BUFFER - 1 then
first <= (others=>'0');
if last /= 0 then data_av <= '1';
else data_av <= '0';
end if;
else
first <= first + 1;
if first + 1 /= last then data_av <= '1';
else data_av <= '0';
end if;
end if;
-- solicitou reenvio do pacote, logo ponteiro nao sera incrementado e dado sera enviado novamente
else
last_retransmission <= (0=>'1', others=>'0'); -- 1
--assert last_retransmission /= 1 report "sender detectou que nao conseguiu transmitir flit correto. Pacote descartado. Flit "&integer'image(to_integer(last_retransmission));
end if;
-- irei criar um pacote de controle com a tabela de falhas
else
-- se ultimo pacote de controle recebido foi pedido de leitura da tabela de falhas
if codigoControl = c_RD_FAULT_TAB_STEP1 then
counter_flit <= x"000A"; -- 10 flits de payload (code + origem + tabela)
c_Buffer <= x"000A"; -- segundo flit do pacote de controle criado (tamanho de pacote)
EA <= C_PAYLOAD;
indexFlitCtrl := 0;
varControlCom := 10;
end if;
end if;
end if;
when S_PAYLOAD =>
-- se tiver que retransmitir, nao incrementa ponteiro first
if (( data_ack = '1' or c_error = '1') and retransmission_in = '1') then
if (counter_flit = 0) then
last_retransmission <= (1=>'1', others=>'0'); -- 2
--assert last_retransmission /= 2 report "sender detectou que nao conseguiu transmitir flit correto. Pacote descartado. Flit "&integer'image(to_integer(last_retransmission));
else
last_retransmission <= std_logic_vector(counter_flit_up);
--assert last_retransmission /= counter_flit_up report "sender detectou que nao conseguiu transmitir flit correto. Pacote descartado. Flit "&integer'image(to_integer(last_retransmission));
end if;
-- se nao eh o ultimo flit do pacote E se foi confirmado que foi recebido com sucesso o dado transmitido OU o link destino esta com falha. Comentario antigo: confirmacao do envio de um dado que nao eh o tail
elsif counter_flit /= x"1" and ( data_ack = '1' or c_error = '1') then
-- se counter_flit eh zero indica que terei que receber o size do payload
if counter_flit = x"0" then
counter_flit <= unsigned(buf(to_integer(first)));
counter_flit_up <= (1=>'1', others=>'0'); -- 2
else
counter_flit <= counter_flit - 1;
counter_flit_up <= counter_flit_up + 1;
end if;
-- incrementa pointeiro first (usado para transmitir flit) e sinaliza que tem dado disponivel
if first = TAM_BUFFER - 1 then
first <= (others=>'0');
if last /= 0 then
data_av <= '1'; -- (data available)
else
data_av <= '0';
end if;
else
first <= first + 1;
if first + 1 /= last then
data_av <= '1';
else
data_av <= '0';
end if;
end if;
-- se eh o ultimo flit do pacote E se foi confirmado que foi recebido com sucesso o dado transmitido OU o link destino esta com falha. Comentario antigo: confirmacao do envio do tail
elsif counter_flit = x"1" and (data_ack = '1' or c_error = '1') then
-- Incrementa pointeiro de envio. Comentario antigo: retira um dado do buffer
if first = TAM_BUFFER - 1 then
first <= (others=>'0');
else
first <= first + 1;
end if;
data_av <= '0'; -- como o ultimo flit sera enviado, nao tem mais dados disponiveis
sender <= '0'; -- como o ultimo flit sera enviado, nao preciso sinalizar que estou enviando dados
EA <= S_END; -- -- como o ultimo flit sera enviado, posso ir para o estado S_END
-- se tem dado a ser enviado, sinaliza
elsif first /= last then
data_av <= '1'; -- (data available)
end if;
when C_SIZE =>
-- detectou dado na fila (tem dados a serem enviados no buffer) e nao pediu retransmissao
if (first /= last and retransmission_o='0') then
counter_flit <= unsigned(buf(to_integer(first))); -- leitura do segundo flit (tamanho do pacote)
-- incrementa o pointeiro first (pointeiro usado para envio)
if first = TAM_BUFFER - 1 then
first <= (others=>'0');
else
first <= first + 1;
end if;
EA <= C_PAYLOAD;
indexFlitCtrl := 0; -- coloca o indice do flit de controle igual 0 (esse indice eh usado para percorrer os flits de payload de controle). O indice igual a 0 representa o terceito flit do pacote e nele havera o Code (codigo que indica o tipo do pacote de controle)
varControlCom := 1; -- numero de flits no payload usados para processar o pacote de controle
end if;
when C_PAYLOAD =>
c_chipETable <= '0'; -- desabilita escrita na tabela de roteamento
if (first /= last) and indexFlitCtrl /= varControlCom and c_createmessage = '0' and retransmission_o='0' then
if first = TAM_BUFFER - 1 then
first <= (others=>'0');
else
first <= first + 1;
end if;
end if;
-- indice igual a zero, ou seja, primeiro flit do payload do pacote (onde possui o codigo do pacote de controle)
if (indexFlitCtrl = 0 and retransmission_o='0') then
codigoControl <= unsigned(buf(to_integer(first))); -- leitura do tipo do pacote de controle (leitura do Code)
indexFlitCtrl := indexFlitCtrl + 1; -- incrementa o indice do payload que sera lido
counter_flit <= counter_flit - 1; -- decrementa o numero de flits que faltam a ser lidos/processados do pacote
-- define qual o tamanho da variavel de comando (tamanho do payload).
-- Pode ser entendido como o numero de flits no payload usados para processar o pacote de controle
if c_createmessage = '0' then
if to_integer(unsigned(buf(to_integer(first)))) = c_WR_ROUT_TAB then
varControlCom := 5;
elsif to_integer(unsigned(buf(to_integer(first)))) = c_WR_FAULT_TAB then
varControlCom := 9; -- code + tabela
elsif to_integer(unsigned(buf(to_integer(first)))) = c_RD_FAULT_TAB_STEP1 then
varControlCom := 1;
elsif to_integer(unsigned(buf(to_integer(first)))) = c_TEST_LINKS then
varControlCom := 1;
end if;
-- se c_createmessage='1', logo tenho que criar um pacote com a tabela de falhas para o OsPhoenix
else
-- se ultimo pacote de controle recebido foi pedido de leitura da tabela de falhas
if codigoControl = c_RD_FAULT_TAB_STEP1 then
varControlCom := 10; -- code + origem + tabela
codigoControl <= to_unsigned(c_RD_FAULT_TAB_STEP2, TAM_FLIT); -- atualiza codigo com c_RD_FAULT_TAB_STEP2
c_Buffer <= x"0004"; -- terceiro flit do pacote de controle criado que contem o tipo do pacote (code/codigo)
end if;
end if;
-- escrita de linha na tabela de roteamento. Comentario antigo: codigo para atualizar tabela de roteamento.
-- a linha do pacote de roteamento eh divida em 3 flits: o primeiro flit tem o XY do ponto inferior, o segundo flit tem o XY do ponto superior,
-- o terceiro flit contem os 5 bits que indica a direcao/porta de saida dos pacotes conforme a regiao
elsif (codigoControl = c_WR_ROUT_TAB and retransmission_o='0') then
-- terminou de processar todos os flits do pacote de controle
if indexFlitCtrl = 5 then
counter_flit <= counter_flit - 1;
if counter_flit = x"1" then
EA <= S_END;
end if;
c_chipETable <= '1'; -- habilita escrita na tabela de roteamento
indexFlitCtrl := 1;
else
buffCtrl(indexFlitCtrl-1) <= buf(to_integer(first)); -- vai armazenando os dados lido do pacote de controle (o pacote tera uma linha da tabela de roteamento)
if (first /= last) then
if indexFlitCtrl /= 4 then
counter_flit <= counter_flit - 1;
end if;
indexFlitCtrl := indexFlitCtrl + 1;
end if;
c_chipETable <= '0';
end if;
-- escrita na tabela de falhas (irei ler a tabela recebido no pacote de controle). Comentario antigo: codigo para atualizar tabela de portas com falhas
elsif (codigoControl = c_WR_FAULT_TAB and retransmission_o='0') then
case (indexFlitCtrl) is
when 1 => buffCtrlFalha(EAST)((3*COUNTERS_SIZE+1) downto 3*COUNTERS_SIZE) <= buf(to_integer(first))((METADEFLIT+1) downto METADEFLIT); -- leitura dos 2 bits que indicam falha que sera armazenado/atualizado na tabela de falhas
buffCtrlFalha(EAST)((3*COUNTERS_SIZE-1) downto 2*COUNTERS_SIZE) <= buf(to_integer(first))(COUNTERS_SIZE-1 downto 0); -- leitura do contador N
when 2 => buffCtrlFalha(EAST)((2*COUNTERS_SIZE-1) downto COUNTERS_SIZE) <= buf(to_integer(first))((METADEFLIT+COUNTERS_SIZE-1) downto METADEFLIT); -- leitura do contador M
buffCtrlFalha(EAST)((COUNTERS_SIZE-1) downto 0) <= buf(to_integer(first))(COUNTERS_SIZE-1 downto 0); -- leitura do contador P
when 3 => buffCtrlFalha(WEST)((3*COUNTERS_SIZE+1) downto 3*COUNTERS_SIZE) <= buf(to_integer(first))((METADEFLIT+1) downto METADEFLIT); -- leitura dos 2 bits que indicam falha que sera armazenado/atualizado na tabela de falhas
buffCtrlFalha(WEST)((3*COUNTERS_SIZE-1) downto 2*COUNTERS_SIZE) <= buf(to_integer(first))(COUNTERS_SIZE-1 downto 0); -- leitura do contador N
when 4 => buffCtrlFalha(WEST)((2*COUNTERS_SIZE-1) downto COUNTERS_SIZE) <= buf(to_integer(first))((METADEFLIT+COUNTERS_SIZE-1) downto METADEFLIT); -- leitura do contador M
buffCtrlFalha(WEST)((COUNTERS_SIZE-1) downto 0) <= buf(to_integer(first))(COUNTERS_SIZE-1 downto 0); -- leitura do contador P
when 5 => buffCtrlFalha(NORTH)((3*COUNTERS_SIZE+1) downto 3*COUNTERS_SIZE) <= buf(to_integer(first))((METADEFLIT+1) downto METADEFLIT); -- leitura dos 2 bits que indicam falha que sera armazenado/atualizado na tabela de falhas
buffCtrlFalha(NORTH)((3*COUNTERS_SIZE-1) downto 2*COUNTERS_SIZE) <= buf(to_integer(first))(COUNTERS_SIZE-1 downto 0); -- leitura do contador N
when 6 => buffCtrlFalha(NORTH)((2*COUNTERS_SIZE-1) downto COUNTERS_SIZE) <= buf(to_integer(first))((METADEFLIT+COUNTERS_SIZE-1) downto METADEFLIT); -- leitura do contador M
buffCtrlFalha(NORTH)((COUNTERS_SIZE-1) downto 0) <= buf(to_integer(first))(COUNTERS_SIZE-1 downto 0); -- leitura do contador P
when 7 => buffCtrlFalha(SOUTH)((3*COUNTERS_SIZE+1) downto 3*COUNTERS_SIZE) <= buf(to_integer(first))((METADEFLIT+1) downto METADEFLIT); -- leitura dos 2 bits que indicam falha que sera armazenado/atualizado na tabela de falhas
buffCtrlFalha(SOUTH)((3*COUNTERS_SIZE-1) downto 2*COUNTERS_SIZE) <= buf(to_integer(first))(COUNTERS_SIZE-1 downto 0); -- leitura do contador N
when 8 => buffCtrlFalha(SOUTH)((2*COUNTERS_SIZE-1) downto COUNTERS_SIZE) <= buf(to_integer(first))((METADEFLIT+COUNTERS_SIZE-1) downto METADEFLIT); -- leitura do contador M
buffCtrlFalha(SOUTH)((COUNTERS_SIZE-1) downto 0) <= buf(to_integer(first))(COUNTERS_SIZE-1 downto 0); -- leitura do contador P
when others => null;
end case;
if (first /= last) then
indexFlitCtrl := indexFlitCtrl + 1;
counter_flit <= counter_flit - 1;
end if;
-- ultimo flit?
if counter_flit = 0 then
ceTF_out <= '1'; -- habilita ce para escrever/atualizar a tabela de falhas
EA <= S_END;
end if;
-- pedido de leitura da tabela de falhas
elsif codigoControl = c_RD_FAULT_TAB_STEP1 then
--codigo requerindo a tabela de falhas
counter_flit <= counter_flit - 1;
EA <= S_INIT;
-- sinal usado para criar um pacote de controle com a tabela de falhas. Comentario antigo: envia msg para tabela
c_createmessage <= '1';
-- resposta da leitura da tabela de falhas
elsif codigoControl = c_RD_FAULT_TAB_STEP2 then
-- code complement. Comentario antigo: codigo para enviar a msg de falhas para o PE
if (data_ack = '1') then
case (indexFlitCtrl) is
when 1 => c_Buffer <= address; -- neste quarto flit havera o endereco do roteador
when 2 => c_Buffer((TAM_FLIT-1) downto METADEFLIT) <= std_logic_vector(to_unsigned(0,METADEFLIT-2)) & c_TabelaFalhas(EAST)((3*COUNTERS_SIZE+1) downto 3*COUNTERS_SIZE);
c_Buffer((METADEFLIT-1) downto 0) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(EAST)((3*COUNTERS_SIZE-1) downto 2*COUNTERS_SIZE);
when 3 => c_Buffer((TAM_FLIT-1) downto METADEFLIT) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(EAST)((2*COUNTERS_SIZE-1) downto COUNTERS_SIZE);
c_Buffer((METADEFLIT-1) downto 0) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(EAST)((COUNTERS_SIZE-1) downto 0);
when 4 => c_Buffer((TAM_FLIT-1) downto METADEFLIT) <= std_logic_vector(to_unsigned(0,METADEFLIT-2)) & c_TabelaFalhas(WEST)((3*COUNTERS_SIZE+1) downto 3*COUNTERS_SIZE);
c_Buffer((METADEFLIT-1) downto 0) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(WEST)((3*COUNTERS_SIZE-1) downto 2*COUNTERS_SIZE);
when 5 => c_Buffer((TAM_FLIT-1) downto METADEFLIT) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(WEST)((2*COUNTERS_SIZE-1) downto COUNTERS_SIZE);
c_Buffer((METADEFLIT-1) downto 0) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(WEST)((COUNTERS_SIZE-1) downto 0);
when 6 => c_Buffer((TAM_FLIT-1) downto METADEFLIT) <= std_logic_vector(to_unsigned(0,METADEFLIT-2)) & c_TabelaFalhas(NORTH)((3*COUNTERS_SIZE+1) downto 3*COUNTERS_SIZE);
c_Buffer((METADEFLIT-1) downto 0) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(NORTH)((3*COUNTERS_SIZE-1) downto 2*COUNTERS_SIZE);
when 7 => c_Buffer((TAM_FLIT-1) downto METADEFLIT) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(NORTH)((2*COUNTERS_SIZE-1) downto COUNTERS_SIZE);
c_Buffer((METADEFLIT-1) downto 0) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(NORTH)((COUNTERS_SIZE-1) downto 0);
when 8 => c_Buffer((TAM_FLIT-1) downto METADEFLIT) <= std_logic_vector(to_unsigned(0,METADEFLIT-2)) & c_TabelaFalhas(SOUTH)((3*COUNTERS_SIZE+1) downto 3*COUNTERS_SIZE);
c_Buffer((METADEFLIT-1) downto 0) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(SOUTH)((3*COUNTERS_SIZE-1) downto 2*COUNTERS_SIZE);
when 9 => c_Buffer((TAM_FLIT-1) downto METADEFLIT) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(SOUTH)((2*COUNTERS_SIZE-1) downto COUNTERS_SIZE);
c_Buffer((METADEFLIT-1) downto 0) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(SOUTH)((COUNTERS_SIZE-1) downto 0);
when others => null;
end case;
counter_flit <= counter_flit - 1; -- decrementa o numero de payloads que faltam processar
indexFlitCtrl := indexFlitCtrl + 1; -- incrementa o indice do payload
end if;
-- se enviou todos os flits
if counter_flit = x"0" then
c_createmessage <= '0'; -- nao preciso mais sinalizar para criar um pacote, pq ele ja foi criado e enviado :)
data_av <= '0'; -- ja enviei o pacote, entao nao tem mais dados disponiveis
sender <= '0'; -- ja enviei o pacote, nao preciso sinalizar que estou enviando
EA <= S_END;
-- se tem dado a ser enviado, sinalizado que existe dados disponiveis
else
data_av <= '1'; -- (data available)
end if;
-- se o pacote gerado pelo OsPhoenix eh um pacote de controle do tipo TEST_LINKS.
elsif codigoControl = c_TEST_LINKS then
-- pede para verificar os links aos vizinhos caso nenhum vizinho tenha pedido o teste de link. Comentario antigo: codigo para testar falhas e gravar na tabela de falhas do switchControl
-- SE nenhum vizinho pediu o teste de link ENTAO...
if c_strLinkTstOthers = '0' then
c_strLinkTstLocal <= '1'; -- pede para iniciar o teste de links
end if;
-- se terminou o teste de links
if c_stpLinkTst = '1' then
c_strLinkTstLocal <= '0'; -- nao preciso mais pedir para iniciar o teste de link pq ele ja acabou :)
EA <= S_END;
end if;
end if;
when S_END =>
c_chipETable <= '0';
ceTF_out <= '0';
eh_controle <= '0';
data_av <= '0';
c_direcao <= (others=>'0');
indexFlitCtrl := 0;
EA <= S_END2;
when S_END2 => -- estado necessario para permitir a liberacao da porta antes da solicitacao de novo envio
data_av <= '0';
EA <= S_INIT;
end case;
end if;
end process;
------------New Hardware------------
c_ctrl <= eh_controle;
c_buffCtrlOut <= buffCtrl;
c_codigoCtrl <= std_logic_vector(codigoControl);
c_buffCtrlFalha <= buffCtrlFalha;
c_ceTF_out <= ceTF_out;
c_strLinkTst <= c_strLinkTstLocal;
end Phoenix_buffer; |
---------------------------------------------------------------------------------------
-- BUFFER
-- --------------
-- RX ->| |-> H
-- DATA_IN ->| |<- ACK_H
-- CLOCK_RX ->| |
-- CREDIT_O <-| |-> DATA_AV
-- | |-> DATA
-- | |<- DATA_ACK
-- | |
-- | |
-- | |=> SENDER
-- | | all ports
-- --------------
--
-- Quando o algoritmo de chaveamento resulta no bloqueio dos flits de um pacote,
-- ocorre uma perda de desempenho em toda rede de interconexao, porque os flits sao
-- bloqueados nao somente na chave atual, mas em todas as intermediarias.
-- Para diminuir a perda de desempenho foi adicionada uma fila em cada porta de
-- entrada da chave, reduzindo as chaves afetadas com o bloqueio dos flits de um
-- pacote. E importante observar que quanto maior for o tamanho da fila menor sera o
-- numero de chaves intermediarias afetadas.
-- As filas usadas contem dimensao e largura de flit parametrizaveis, para altera-las
-- modifique as constantes TAM_BUFFER e TAM_FLIT no arquivo "Phoenix_packet.vhd".
-- As filas funcionam como FIFOs circulares. Cada fila possui dois ponteiros: first e
-- last. First aponta para a posicao da fila onde se encontra o flit a ser consumido.
-- Last aponta para a posicao onde deve ser inserido o proximo flit.
---------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use ieee.numeric_std.all;
use STD.textio.all;
use work.HammingPack16.all;
use work.NoCPackage.all;
-- interface da Phoenix_buffer
entity Phoenix_buffer is
generic(address : regflit := (others=>'0');
bufLocation: integer := 0);
port(
clock: in std_logic;
reset: in std_logic;
clock_rx: in std_logic;
rx: in std_logic;
data_in: in unsigned((TAM_FLIT-1) downto 0);
credit_o: out std_logic;
h: out std_logic; -- requisicao de chaveamento
c_ctrl: out std_logic; -- indica se foi lido ou criado de um pacote de controle pelo buffer
c_buffCtrlOut:out buffControl; -- linha da tabela de roteamento lida do pacote de controle que sera escrita na tabela de roteamento
c_buffCtrlFalha:out row_FaultTable_Ports; -- tabela de falhas lida do pacote de controle que solicitou escrever/atualizar a tabela
c_codigoCtrl: out regFlit; -- tipo do pacote de controle (leitura do Code). Terceiro flit do pacote de controle
c_chipETable: out std_logic; -- chip enable da tabela de roteamento
c_ceTF_out: out std_logic; -- ce (chip enable) para escrever/atualizar a tabela de falhas
c_error_find: in RouterControl; -- indica se terminou de achar uma porta de saida para o pacote conforme a tabela de roteamento
c_error_dir : in regNport; -- indica qual destino/porta de saida o pacote sera encaminhado
c_tabelaFalhas :in row_FaultTable_Ports; -- tabela de falhas atualizada/final
ack_h: in std_logic; -- resposta da requisicao de chaveamento
data_av: out std_logic;
data: out regflit;
data_ack: in std_logic;
sender: out std_logic;
c_strLinkTst: out std_logic; -- (start link test) indica que houve um pacote de controle do tipo TEST_LINKS para testar os links. Comentario antigo: send to router (testa as falhas)
c_strLinkTstOthers: in std_logic; -- indica se algum vizinho pediu para testar o link
c_strLinkTstNeighbor: in std_logic; -- indica se o vizinho pediu para testar o link
c_strLinkTstAll: in std_logic; -- se algum buffer fez o pedido de teste de links
c_stpLinkTst: in std_logic; -- (stop link test) indica se algum vizinho pediu para testar o link. Gerado pelo FaultDetection
retransmission_in: in std_logic;
retransmission_out: out std_logic;
statusHamming: in reg3);
end Phoenix_buffer;
architecture Phoenix_buffer of Phoenix_buffer is
type fila_out is (S_INIT, S_PAYLOAD, S_SENDHEADER, S_HEADER, S_END, S_END2,C_PAYLOAD,C_SIZE);
signal EA : fila_out;
signal buf: buff := (others=>(others=>'0'));
signal first,last: unsigned((TAM_POINTER-1) downto 0) := (others=>'0');
signal tem_espaco: std_logic := '0';
signal counter_flit: unsigned((TAM_FLIT-1) downto 0) := (others=>'0');
signal eh_controle : std_logic := '0';
signal buffCtrl : buffControl := (others=>(others=>'0')); -- XY | XY | DIR
signal codigoControl : unsigned((TAM_FLIT-1) downto 0):= (others=>'0');
signal buffCtrlFalha : row_FaultTable_Ports := (others=>(others=>'0'));
signal ceTF_out : std_logic := '0';
signal c_error : std_logic := '0'; -- '0' sem erro para o destino, '1' com erro para o destino
signal c_direcao: regNport :=(others=>'0'); -- registrador com a direcao que esta mandando o pacote
signal c_createmessage : std_logic := '0'; -- sinal usado para criar um pacote de controle com a tabela de falhas
signal c_Buffer : regflit := (others=>'0'); -- dado de saida gerado ao criar um pacote de controle (pacote de resposta eh criado quando eh pedido leitura da tabela de falhas)
signal c_strLinkTstLocal : std_logic := '0'; -- sinal do pedido de inicio de teste de links
signal old_tabelaFalhas : regNport :=(others=>'0'); -- antiga tabela e falhas com 1 bit para cada porta. '0' indica sem falha, '1' indica com falha
signal last_retransmission: regflit := (others=>'0');
signal counter_flit_up: unsigned((TAM_FLIT-1) downto 0) := (others=>'0');
signal last_count_rx: regflit := (others=>'0');
signal retransmission_o: std_logic := '0';
signal pkt_size: unsigned((TAM_FLIT-1) downto 0) := (others=>'0');
begin
retransmission_out <= retransmission_o;
old_tabelaFalhas(LOCAL) <= '0';
old_tabelaFalhas(EAST) <= c_tabelafalhas(EAST)(3*COUNTERS_SIZE+1);
old_tabelaFalhas(WEST) <= c_tabelafalhas(WEST)(3*COUNTERS_SIZE+1);
old_tabelaFalhas(NORTH) <= c_tabelafalhas(NORTH)(3*COUNTERS_SIZE+1);
old_tabelaFalhas(SOUTH) <= c_tabelafalhas(SOUTH)(3*COUNTERS_SIZE+1);
-- sinal indica se tem falha no link destino
c_error <= '1' when unsigned(c_direcao and old_tabelafalhas) /= 0 else '0';
-------------------------------------------------------------------------------------------
-- ENTRADA DE DADOS NA FILA
-------------------------------------------------------------------------------------------
-- Verifica se existe espaco na fila para armazenamento de flits.
-- Se existe espaco na fila o sinal tem_espaco_na_fila eh igual a 1.
process(reset, clock_rx)
begin
if reset = '1' then
tem_espaco <= '1';
elsif clock_rx'event and clock_rx = '1' then
if not ((first = x"0" and last = TAM_BUFFER - 1) or (first = last + 1)) then
tem_espaco <= '1';
else
tem_espaco <= '0';
end if;
end if;
end process;
credit_o <= tem_espaco;
-- O ponteiro last eh inicializado com o valor zero quando o reset eh ativado.
-- Quando o sinal rx eh ativado indicando que existe um flit na porta de entrada. Eh
-- verificado se existe espaco na fila para armazena-lo. Se existir espaco na fila o
-- flit recebido eh armazenado na posicao apontada pelo ponteiro last e o mesmo eh
-- incrementado. Quando last atingir o tamanho da fila, ele recebe zero.
process(reset, clock_rx)
variable count: integer;
variable pkt_received: std_logic := '0';
file my_output : TEXT;
variable fstatus: file_open_status := STATUS_ERROR;
variable my_output_line : LINE;
variable count_retx: integer := 0;
variable total_count_retx: unsigned((TAM_FLIT-1) downto 0);
begin
if reset = '1' then
last <= (others=>'0');
count := 0;
last_count_rx <= (others=>'0');
pkt_size <= (others=>'0');
pkt_received := '1';
elsif clock_rx'event and clock_rx = '0' then
if (rx = '0' and pkt_received='1') then
count := 0;
last_count_rx <= (others=>'1');
pkt_received := '0';
pkt_size <= (others=>'0');
count_retx := 0;
end if;
-- se tenho espaco e se tem alguem enviando, armazena, mas
-- nao queremos armazenar os flits recebidos durante o teste de link, entao
-- se meu roteador esta testando os links ou se o link ligado a este buffer esta sendo testando pelo vizinho, irei ignorar os flits durante o teste
-- o buffer local que eh conectado ao link local (assumido que nunca falha) nunca sera testado
if tem_espaco = '1' and rx = '1' and ((c_strLinkTstAll = '0' and c_strLinkTstNeighbor='0') or bufLocation = LOCAL) then
-- se nao deu erro, esta tudo normal. Posso armazenar o flit no buffer e incrementar o ponteiro
if (statusHamming /= ED) then
retransmission_o <= '0';
-- modifica o ultimo flit do pacote para armazenar o numero de retransmissoes
if (count = pkt_size+1 and pkt_size > 0) then
total_count_retx := data_in;
total_count_retx := total_count_retx + count_retx;
buf(to_integer(last)) <= std_logic_vector(total_count_retx);
else
buf(to_integer(last)) <= std_logic_vector(data_in); -- armazena o flit
end if;
if (count = 1) then
pkt_size <= data_in;
end if;
--incrementa o last
if last = TAM_BUFFER - 1 then
last <= (others=>'0');
else
last <= last + 1;
end if;
count := count + 1;
-- detectado erro e nao corrigido. Posso tentar mais uma vez pedindo retransmissao...
else
retransmission_o <= '1';
count_retx := count_retx + 1;
last_count_rx <= std_logic_vector(to_unsigned(count,TAM_FLIT));
end if;
if (count = pkt_size+2 and pkt_size > 0) then
pkt_received := '1';
if (count_retx /= 0) then
if(fstatus /= OPEN_OK) then
file_open(fstatus, my_output,"retransmission_00"&to_hstring(address)&".txt",WRITE_MODE);
end if;
write(my_output_line, "Packet in port "&PORT_NAME(bufLocation)&" received "&integer'image(count_retx)&" flits with double error "&time'image(now));
writeline(my_output, my_output_line);
end if;
else
pkt_received := '0';
end if;
end if;
end if;
end process;
-------------------------------------------------------------------------------------------
-- SAIDA DE DADOS NA FILA
-------------------------------------------------------------------------------------------
-- disponibiliza o dado para transmissao. Se nao estiver criando um pacote de controle, envia normalmente o dado do buffer, caso contrario envia dado criado (c_buffer)
data <= buf(to_integer(first)) when c_createmessage ='0' else c_Buffer;
-- Quando sinal reset eh ativado a maquina de estados avanca para o estado S_INIT.
-- No estado S_INIT os sinais counter_flit (contador de flits do corpo do pacote), h (que
-- indica requisicao de chaveamento) e data_av (que indica a existencia de flit a ser
-- transmitido) sao inicializados com zero. Se existir algum flit na fila, ou seja, os
-- ponteiros first e last apontarem para posicoes diferentes, a maquina de estados avanca
-- para o estado S_HEADER.
-- No estado S_HEADER eh requisitado o chaveamento (h='1'), porque o flit na posicao
-- apontada pelo ponteiro first, quando a maquina encontra-se nesse estado, eh sempre o
-- header do pacote. A maquina permanece neste estado ate que receba a confirmacao do
-- chaveamento (ack_h='1') entao o sinal h recebe o valor zero e a maquina avanca para
-- S_SENDHEADER.
-- Em S_SENDHEADER eh indicado que existe um flit a ser transmitido (data_av='1'). A maquina de
-- estados permanece em S_SENDHEADER ate receber a confirmacao da transmissao (data_ack='1')
-- entao o ponteiro first aponta para o segundo flit do pacote e avanca para o estado S_PAYLOAD.
-- No estado S_PAYLOAD eh indicado que existe um flit a ser transmitido (data_av='1') quando
-- eh recebida a confirmacao da transmissao (data_ack='1') eh verificado qual o valor do sinal
-- counter_flit. Se counter_flit eh igual a um, a maquina avanca para o estado S_INIT. Caso
-- counter_flit seja igual a zero, o sinal counter_flit eh inicializado com o valor do flit, pois
-- este ao numero de flits do corpo do pacote. Caso counter_flit seja diferente de um e de zero
-- o mesmo eh decrementado e a maquina de estados permanece em S_PAYLOAD enviando o proximo flit
-- do pacote.
process(reset, clock)
variable indexFlitCtrl: integer :=0;
variable varControlCom: integer :=1; -- variavel de comando, para fazer as iteracoes
begin
if reset = '1' then
counter_flit <= (others=>'0');
counter_flit_up <= (others=>'0');
h <= '0';
data_av <= '0';
sender <= '0';
first <= (others=>'0');
eh_controle <= '0';
c_chipETable <= '0';
EA <= S_INIT;
elsif clock'event and clock = '1' then
case EA is
when S_INIT =>
c_chipETable <= '0'; -- desabilita escrita na tabela de roteamento
counter_flit <= (others=>'0');
counter_flit_up <= (others=>'0');
data_av <= '0';
eh_controle <= '0';
last_retransmission <= (others=>'0');
-- se existe dados no buffer a serem transmitidos (por causa dos ponteiros first e last diferentes) OU se devo criar um pacote de controle com a tabela de falhas
if first /= last or c_createmessage = '1' then
-- se o primeiro flit do pacote a ser transmitido possui o bit indicando que eh um pacote de controle E se nesse primeiro flit possui o endereco do roteador em que o buffer se encontra
-- OU se devo criar um pacote de controle com a tabela de falhas (este pacote eh criado se for pedido a leitura da tabela de falhas)
if((buf(to_integer(first))(TAM_FLIT-1)='1') and (buf(to_integer(first))((TAM_FLIT-2) downto 0)=address((TAM_FLIT-2) downto 0))) or c_createmessage = '1' then -- PACOTE DE CONTROLE
-- se preciso criar um pacote com a tabela de falhas. Comentario antigo: o pacote de controle pare este roteador
if c_createmessage = '1' then
-- se ultimo pacote de controle recebido foi de leitura da tabela de falhas
if codigoControl = c_RD_FAULT_TAB_STEP1 then
c_Buffer <= '1' & address((TAM_FLIT-2) downto 0); -- entao crio o primeiro flit do pacote que vai conter a tabela de falhas
h <= '1'; -- requisicao de chaveamento (chavear os dados de entrada para a porta de saida atraves da crossbar)
EA <= S_HEADER; -- maquina de estados avanca para o estado S_HEADER
eh_controle <= '1'; -- indica que o pacote lido/criado eh de controle
c_direcao <= "10000"; --direcao para a saida Local
end if;
-- nao irei criar pacote de controle com a tabela de falhas, irei apenas transmitir o pacote do buffer
else
-- incrementa ponteiro first (ponteiro usado para envio)
-- nao preciso tratar erro detectado aqui, pq em ED o flit eh igual a zero, logo nao sera pacote de controle
if first = TAM_BUFFER - 1 then
first <= (others=>'0');
else
first <= first + 1;
end if;
EA <= C_SIZE; -- maquina de estados avanca para o estado S_SIZE (estado onde eh lido o tamanho do pacote)
eh_controle <= '1'; -- indica que o pacote lido/criado eh de controle
c_direcao <= "10000"; -- direcao para o a saida Local
end if;
-- tenho dados para enviar e nao sao de controle (apenas pacote de dados)
else
h <= '1'; -- requisicao de chaveamento (chavear os dados de entrada para a porta de saida atraves da crossbar)
EA <= S_HEADER; -- maquina de estados avanca para o estado S_HEADER
end if;
-- entao nao tenho dados no buffer para enviar nem preciso criar um pacote de controle
else
h <= '0'; -- nao pede/solicita chaveamento pq nao preciso enviar nada
end if;
when S_HEADER =>
-- se terminou de achar uma porta de saida para o pacote conforme a tabela de roteamento
if (c_error_find = validRegion) then
c_direcao <= c_error_dir; -- direcao/porta de saida da tabela de roteamento
end if;
-- atendido/confirmado a requisicao de chaveamento OU se link destino tiver falhar
if ack_h = '1' or c_error = '1' then
EA <= S_SENDHEADER;
h <= '0'; -- nao preciso mais solicitar o chaveamento pq ele foi ja foi atendido :)
data_av <= '1'; -- data available (usado para indicar que exite flit a ser transmitido)
sender <= '1'; -- usado para indicar que esta transmitindo (por este sinal sabemos quando termina a transmissao e a porta destino desocupa)
end if;
when S_SENDHEADER =>
-- se recebeu confirmacao de dado recebido OU o link destino esta com falha
if data_ack = '1' or c_error = '1' then
-- incrementa pointeiro first (usado para transmitir flit) e sinaliza que tem dado disponivel
if c_createmessage = '0' then
-- se receptor nao pediu retransmissao, continua enviando
if (retransmission_in='0') then
EA <= S_PAYLOAD;
if first = TAM_BUFFER - 1 then
first <= (others=>'0');
if last /= 0 then data_av <= '1';
else data_av <= '0';
end if;
else
first <= first + 1;
if first + 1 /= last then data_av <= '1';
else data_av <= '0';
end if;
end if;
-- solicitou reenvio do pacote, logo ponteiro nao sera incrementado e dado sera enviado novamente
else
last_retransmission <= (0=>'1', others=>'0'); -- 1
--assert last_retransmission /= 1 report "sender detectou que nao conseguiu transmitir flit correto. Pacote descartado. Flit "&integer'image(to_integer(last_retransmission));
end if;
-- irei criar um pacote de controle com a tabela de falhas
else
-- se ultimo pacote de controle recebido foi pedido de leitura da tabela de falhas
if codigoControl = c_RD_FAULT_TAB_STEP1 then
counter_flit <= x"000A"; -- 10 flits de payload (code + origem + tabela)
c_Buffer <= x"000A"; -- segundo flit do pacote de controle criado (tamanho de pacote)
EA <= C_PAYLOAD;
indexFlitCtrl := 0;
varControlCom := 10;
end if;
end if;
end if;
when S_PAYLOAD =>
-- se tiver que retransmitir, nao incrementa ponteiro first
if (( data_ack = '1' or c_error = '1') and retransmission_in = '1') then
if (counter_flit = 0) then
last_retransmission <= (1=>'1', others=>'0'); -- 2
--assert last_retransmission /= 2 report "sender detectou que nao conseguiu transmitir flit correto. Pacote descartado. Flit "&integer'image(to_integer(last_retransmission));
else
last_retransmission <= std_logic_vector(counter_flit_up);
--assert last_retransmission /= counter_flit_up report "sender detectou que nao conseguiu transmitir flit correto. Pacote descartado. Flit "&integer'image(to_integer(last_retransmission));
end if;
-- se nao eh o ultimo flit do pacote E se foi confirmado que foi recebido com sucesso o dado transmitido OU o link destino esta com falha. Comentario antigo: confirmacao do envio de um dado que nao eh o tail
elsif counter_flit /= x"1" and ( data_ack = '1' or c_error = '1') then
-- se counter_flit eh zero indica que terei que receber o size do payload
if counter_flit = x"0" then
counter_flit <= unsigned(buf(to_integer(first)));
counter_flit_up <= (1=>'1', others=>'0'); -- 2
else
counter_flit <= counter_flit - 1;
counter_flit_up <= counter_flit_up + 1;
end if;
-- incrementa pointeiro first (usado para transmitir flit) e sinaliza que tem dado disponivel
if first = TAM_BUFFER - 1 then
first <= (others=>'0');
if last /= 0 then
data_av <= '1'; -- (data available)
else
data_av <= '0';
end if;
else
first <= first + 1;
if first + 1 /= last then
data_av <= '1';
else
data_av <= '0';
end if;
end if;
-- se eh o ultimo flit do pacote E se foi confirmado que foi recebido com sucesso o dado transmitido OU o link destino esta com falha. Comentario antigo: confirmacao do envio do tail
elsif counter_flit = x"1" and (data_ack = '1' or c_error = '1') then
-- Incrementa pointeiro de envio. Comentario antigo: retira um dado do buffer
if first = TAM_BUFFER - 1 then
first <= (others=>'0');
else
first <= first + 1;
end if;
data_av <= '0'; -- como o ultimo flit sera enviado, nao tem mais dados disponiveis
sender <= '0'; -- como o ultimo flit sera enviado, nao preciso sinalizar que estou enviando dados
EA <= S_END; -- -- como o ultimo flit sera enviado, posso ir para o estado S_END
-- se tem dado a ser enviado, sinaliza
elsif first /= last then
data_av <= '1'; -- (data available)
end if;
when C_SIZE =>
-- detectou dado na fila (tem dados a serem enviados no buffer) e nao pediu retransmissao
if (first /= last and retransmission_o='0') then
counter_flit <= unsigned(buf(to_integer(first))); -- leitura do segundo flit (tamanho do pacote)
-- incrementa o pointeiro first (pointeiro usado para envio)
if first = TAM_BUFFER - 1 then
first <= (others=>'0');
else
first <= first + 1;
end if;
EA <= C_PAYLOAD;
indexFlitCtrl := 0; -- coloca o indice do flit de controle igual 0 (esse indice eh usado para percorrer os flits de payload de controle). O indice igual a 0 representa o terceito flit do pacote e nele havera o Code (codigo que indica o tipo do pacote de controle)
varControlCom := 1; -- numero de flits no payload usados para processar o pacote de controle
end if;
when C_PAYLOAD =>
c_chipETable <= '0'; -- desabilita escrita na tabela de roteamento
if (first /= last) and indexFlitCtrl /= varControlCom and c_createmessage = '0' and retransmission_o='0' then
if first = TAM_BUFFER - 1 then
first <= (others=>'0');
else
first <= first + 1;
end if;
end if;
-- indice igual a zero, ou seja, primeiro flit do payload do pacote (onde possui o codigo do pacote de controle)
if (indexFlitCtrl = 0 and retransmission_o='0') then
codigoControl <= unsigned(buf(to_integer(first))); -- leitura do tipo do pacote de controle (leitura do Code)
indexFlitCtrl := indexFlitCtrl + 1; -- incrementa o indice do payload que sera lido
counter_flit <= counter_flit - 1; -- decrementa o numero de flits que faltam a ser lidos/processados do pacote
-- define qual o tamanho da variavel de comando (tamanho do payload).
-- Pode ser entendido como o numero de flits no payload usados para processar o pacote de controle
if c_createmessage = '0' then
if to_integer(unsigned(buf(to_integer(first)))) = c_WR_ROUT_TAB then
varControlCom := 5;
elsif to_integer(unsigned(buf(to_integer(first)))) = c_WR_FAULT_TAB then
varControlCom := 9; -- code + tabela
elsif to_integer(unsigned(buf(to_integer(first)))) = c_RD_FAULT_TAB_STEP1 then
varControlCom := 1;
elsif to_integer(unsigned(buf(to_integer(first)))) = c_TEST_LINKS then
varControlCom := 1;
end if;
-- se c_createmessage='1', logo tenho que criar um pacote com a tabela de falhas para o OsPhoenix
else
-- se ultimo pacote de controle recebido foi pedido de leitura da tabela de falhas
if codigoControl = c_RD_FAULT_TAB_STEP1 then
varControlCom := 10; -- code + origem + tabela
codigoControl <= to_unsigned(c_RD_FAULT_TAB_STEP2, TAM_FLIT); -- atualiza codigo com c_RD_FAULT_TAB_STEP2
c_Buffer <= x"0004"; -- terceiro flit do pacote de controle criado que contem o tipo do pacote (code/codigo)
end if;
end if;
-- escrita de linha na tabela de roteamento. Comentario antigo: codigo para atualizar tabela de roteamento.
-- a linha do pacote de roteamento eh divida em 3 flits: o primeiro flit tem o XY do ponto inferior, o segundo flit tem o XY do ponto superior,
-- o terceiro flit contem os 5 bits que indica a direcao/porta de saida dos pacotes conforme a regiao
elsif (codigoControl = c_WR_ROUT_TAB and retransmission_o='0') then
-- terminou de processar todos os flits do pacote de controle
if indexFlitCtrl = 5 then
counter_flit <= counter_flit - 1;
if counter_flit = x"1" then
EA <= S_END;
end if;
c_chipETable <= '1'; -- habilita escrita na tabela de roteamento
indexFlitCtrl := 1;
else
buffCtrl(indexFlitCtrl-1) <= buf(to_integer(first)); -- vai armazenando os dados lido do pacote de controle (o pacote tera uma linha da tabela de roteamento)
if (first /= last) then
if indexFlitCtrl /= 4 then
counter_flit <= counter_flit - 1;
end if;
indexFlitCtrl := indexFlitCtrl + 1;
end if;
c_chipETable <= '0';
end if;
-- escrita na tabela de falhas (irei ler a tabela recebido no pacote de controle). Comentario antigo: codigo para atualizar tabela de portas com falhas
elsif (codigoControl = c_WR_FAULT_TAB and retransmission_o='0') then
case (indexFlitCtrl) is
when 1 => buffCtrlFalha(EAST)((3*COUNTERS_SIZE+1) downto 3*COUNTERS_SIZE) <= buf(to_integer(first))((METADEFLIT+1) downto METADEFLIT); -- leitura dos 2 bits que indicam falha que sera armazenado/atualizado na tabela de falhas
buffCtrlFalha(EAST)((3*COUNTERS_SIZE-1) downto 2*COUNTERS_SIZE) <= buf(to_integer(first))(COUNTERS_SIZE-1 downto 0); -- leitura do contador N
when 2 => buffCtrlFalha(EAST)((2*COUNTERS_SIZE-1) downto COUNTERS_SIZE) <= buf(to_integer(first))((METADEFLIT+COUNTERS_SIZE-1) downto METADEFLIT); -- leitura do contador M
buffCtrlFalha(EAST)((COUNTERS_SIZE-1) downto 0) <= buf(to_integer(first))(COUNTERS_SIZE-1 downto 0); -- leitura do contador P
when 3 => buffCtrlFalha(WEST)((3*COUNTERS_SIZE+1) downto 3*COUNTERS_SIZE) <= buf(to_integer(first))((METADEFLIT+1) downto METADEFLIT); -- leitura dos 2 bits que indicam falha que sera armazenado/atualizado na tabela de falhas
buffCtrlFalha(WEST)((3*COUNTERS_SIZE-1) downto 2*COUNTERS_SIZE) <= buf(to_integer(first))(COUNTERS_SIZE-1 downto 0); -- leitura do contador N
when 4 => buffCtrlFalha(WEST)((2*COUNTERS_SIZE-1) downto COUNTERS_SIZE) <= buf(to_integer(first))((METADEFLIT+COUNTERS_SIZE-1) downto METADEFLIT); -- leitura do contador M
buffCtrlFalha(WEST)((COUNTERS_SIZE-1) downto 0) <= buf(to_integer(first))(COUNTERS_SIZE-1 downto 0); -- leitura do contador P
when 5 => buffCtrlFalha(NORTH)((3*COUNTERS_SIZE+1) downto 3*COUNTERS_SIZE) <= buf(to_integer(first))((METADEFLIT+1) downto METADEFLIT); -- leitura dos 2 bits que indicam falha que sera armazenado/atualizado na tabela de falhas
buffCtrlFalha(NORTH)((3*COUNTERS_SIZE-1) downto 2*COUNTERS_SIZE) <= buf(to_integer(first))(COUNTERS_SIZE-1 downto 0); -- leitura do contador N
when 6 => buffCtrlFalha(NORTH)((2*COUNTERS_SIZE-1) downto COUNTERS_SIZE) <= buf(to_integer(first))((METADEFLIT+COUNTERS_SIZE-1) downto METADEFLIT); -- leitura do contador M
buffCtrlFalha(NORTH)((COUNTERS_SIZE-1) downto 0) <= buf(to_integer(first))(COUNTERS_SIZE-1 downto 0); -- leitura do contador P
when 7 => buffCtrlFalha(SOUTH)((3*COUNTERS_SIZE+1) downto 3*COUNTERS_SIZE) <= buf(to_integer(first))((METADEFLIT+1) downto METADEFLIT); -- leitura dos 2 bits que indicam falha que sera armazenado/atualizado na tabela de falhas
buffCtrlFalha(SOUTH)((3*COUNTERS_SIZE-1) downto 2*COUNTERS_SIZE) <= buf(to_integer(first))(COUNTERS_SIZE-1 downto 0); -- leitura do contador N
when 8 => buffCtrlFalha(SOUTH)((2*COUNTERS_SIZE-1) downto COUNTERS_SIZE) <= buf(to_integer(first))((METADEFLIT+COUNTERS_SIZE-1) downto METADEFLIT); -- leitura do contador M
buffCtrlFalha(SOUTH)((COUNTERS_SIZE-1) downto 0) <= buf(to_integer(first))(COUNTERS_SIZE-1 downto 0); -- leitura do contador P
when others => null;
end case;
if (first /= last) then
indexFlitCtrl := indexFlitCtrl + 1;
counter_flit <= counter_flit - 1;
end if;
-- ultimo flit?
if counter_flit = 0 then
ceTF_out <= '1'; -- habilita ce para escrever/atualizar a tabela de falhas
EA <= S_END;
end if;
-- pedido de leitura da tabela de falhas
elsif codigoControl = c_RD_FAULT_TAB_STEP1 then
--codigo requerindo a tabela de falhas
counter_flit <= counter_flit - 1;
EA <= S_INIT;
-- sinal usado para criar um pacote de controle com a tabela de falhas. Comentario antigo: envia msg para tabela
c_createmessage <= '1';
-- resposta da leitura da tabela de falhas
elsif codigoControl = c_RD_FAULT_TAB_STEP2 then
-- code complement. Comentario antigo: codigo para enviar a msg de falhas para o PE
if (data_ack = '1') then
case (indexFlitCtrl) is
when 1 => c_Buffer <= address; -- neste quarto flit havera o endereco do roteador
when 2 => c_Buffer((TAM_FLIT-1) downto METADEFLIT) <= std_logic_vector(to_unsigned(0,METADEFLIT-2)) & c_TabelaFalhas(EAST)((3*COUNTERS_SIZE+1) downto 3*COUNTERS_SIZE);
c_Buffer((METADEFLIT-1) downto 0) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(EAST)((3*COUNTERS_SIZE-1) downto 2*COUNTERS_SIZE);
when 3 => c_Buffer((TAM_FLIT-1) downto METADEFLIT) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(EAST)((2*COUNTERS_SIZE-1) downto COUNTERS_SIZE);
c_Buffer((METADEFLIT-1) downto 0) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(EAST)((COUNTERS_SIZE-1) downto 0);
when 4 => c_Buffer((TAM_FLIT-1) downto METADEFLIT) <= std_logic_vector(to_unsigned(0,METADEFLIT-2)) & c_TabelaFalhas(WEST)((3*COUNTERS_SIZE+1) downto 3*COUNTERS_SIZE);
c_Buffer((METADEFLIT-1) downto 0) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(WEST)((3*COUNTERS_SIZE-1) downto 2*COUNTERS_SIZE);
when 5 => c_Buffer((TAM_FLIT-1) downto METADEFLIT) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(WEST)((2*COUNTERS_SIZE-1) downto COUNTERS_SIZE);
c_Buffer((METADEFLIT-1) downto 0) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(WEST)((COUNTERS_SIZE-1) downto 0);
when 6 => c_Buffer((TAM_FLIT-1) downto METADEFLIT) <= std_logic_vector(to_unsigned(0,METADEFLIT-2)) & c_TabelaFalhas(NORTH)((3*COUNTERS_SIZE+1) downto 3*COUNTERS_SIZE);
c_Buffer((METADEFLIT-1) downto 0) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(NORTH)((3*COUNTERS_SIZE-1) downto 2*COUNTERS_SIZE);
when 7 => c_Buffer((TAM_FLIT-1) downto METADEFLIT) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(NORTH)((2*COUNTERS_SIZE-1) downto COUNTERS_SIZE);
c_Buffer((METADEFLIT-1) downto 0) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(NORTH)((COUNTERS_SIZE-1) downto 0);
when 8 => c_Buffer((TAM_FLIT-1) downto METADEFLIT) <= std_logic_vector(to_unsigned(0,METADEFLIT-2)) & c_TabelaFalhas(SOUTH)((3*COUNTERS_SIZE+1) downto 3*COUNTERS_SIZE);
c_Buffer((METADEFLIT-1) downto 0) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(SOUTH)((3*COUNTERS_SIZE-1) downto 2*COUNTERS_SIZE);
when 9 => c_Buffer((TAM_FLIT-1) downto METADEFLIT) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(SOUTH)((2*COUNTERS_SIZE-1) downto COUNTERS_SIZE);
c_Buffer((METADEFLIT-1) downto 0) <= std_logic_vector(to_unsigned(0,METADEFLIT-COUNTERS_SIZE)) & c_TabelaFalhas(SOUTH)((COUNTERS_SIZE-1) downto 0);
when others => null;
end case;
counter_flit <= counter_flit - 1; -- decrementa o numero de payloads que faltam processar
indexFlitCtrl := indexFlitCtrl + 1; -- incrementa o indice do payload
end if;
-- se enviou todos os flits
if counter_flit = x"0" then
c_createmessage <= '0'; -- nao preciso mais sinalizar para criar um pacote, pq ele ja foi criado e enviado :)
data_av <= '0'; -- ja enviei o pacote, entao nao tem mais dados disponiveis
sender <= '0'; -- ja enviei o pacote, nao preciso sinalizar que estou enviando
EA <= S_END;
-- se tem dado a ser enviado, sinalizado que existe dados disponiveis
else
data_av <= '1'; -- (data available)
end if;
-- se o pacote gerado pelo OsPhoenix eh um pacote de controle do tipo TEST_LINKS.
elsif codigoControl = c_TEST_LINKS then
-- pede para verificar os links aos vizinhos caso nenhum vizinho tenha pedido o teste de link. Comentario antigo: codigo para testar falhas e gravar na tabela de falhas do switchControl
-- SE nenhum vizinho pediu o teste de link ENTAO...
if c_strLinkTstOthers = '0' then
c_strLinkTstLocal <= '1'; -- pede para iniciar o teste de links
end if;
-- se terminou o teste de links
if c_stpLinkTst = '1' then
c_strLinkTstLocal <= '0'; -- nao preciso mais pedir para iniciar o teste de link pq ele ja acabou :)
EA <= S_END;
end if;
end if;
when S_END =>
c_chipETable <= '0';
ceTF_out <= '0';
eh_controle <= '0';
data_av <= '0';
c_direcao <= (others=>'0');
indexFlitCtrl := 0;
EA <= S_END2;
when S_END2 => -- estado necessario para permitir a liberacao da porta antes da solicitacao de novo envio
data_av <= '0';
EA <= S_INIT;
end case;
end if;
end process;
------------New Hardware------------
c_ctrl <= eh_controle;
c_buffCtrlOut <= buffCtrl;
c_codigoCtrl <= std_logic_vector(codigoControl);
c_buffCtrlFalha <= buffCtrlFalha;
c_ceTF_out <= ceTF_out;
c_strLinkTst <= c_strLinkTstLocal;
end Phoenix_buffer; |
--
-- Wrapper of gtx example
--
-- Author:
-- * Rodrigo A. Melo, [email protected]
--
-- Copyright (c) 2016 Authors and INTI
-- Distributed under the BSD 3-Clause License
--
library IEEE;
use IEEE.std_logic_1164.all;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity Wrapper is
port (
clk_i : in std_logic;
rst_i : in std_logic;
clk_o : out std_logic;
--
rxp_i : in std_logic;
rxn_i : in std_logic;
txp_o : out std_logic;
txn_o : out std_logic;
--
loopback_i: in std_logic;
rx_data_o : out std_logic_vector(15 downto 0);
rx_isk_o : out std_logic_vector(1 downto 0);
tx_data_i : in std_logic_vector(15 downto 0);
tx_isk_i : in std_logic_vector(1 downto 0);
ready_o : out std_logic
);
end entity Wrapper;
architecture Structural of Wrapper is
signal refclk : std_logic_vector(1 downto 0);
signal outclk : std_logic;
signal rx_plllkdet : std_logic;
signal usrclk2 : std_logic;
signal rx_ready, tx_ready : std_logic;
signal loopback : std_logic_vector(2 downto 0);
begin
txoutclk_bufg0_i : BUFG
port map (
I => outclk,
O => usrclk2
);
refclk <= '0' & clk_i;
loopback <= '0' & loopback_i & '0';
gtx_v6_i : entity work.gbt1_gtx
generic map (
GTX_SIM_GTXRESET_SPEEDUP => 1,
GTX_TX_CLK_SOURCE => "RXPLL",
GTX_POWER_SAVE => "0000110100"
)
port map (
LOOPBACK_IN => loopback, -- Near-End PMA Loopback
-- RX 8b10b Decoder
RXCHARISK_OUT => rx_isk_o,
RXDISPERR_OUT => open,
RXNOTINTABLE_OUT => open,
-- RX Comma Detection and Alignment
RXBYTEISALIGNED_OUT => open,
RXENMCOMMAALIGN_IN => '1',
RXENPCOMMAALIGN_IN => '1',
-- RX Data Path interface
RXDATA_OUT => rx_data_o,
RXUSRCLK2_IN => usrclk2,
-- RX Driver
RXN_IN => rxn_i,
RXP_IN => rxp_i,
-- RX PLL Ports
GTXRXRESET_IN => rst_i,
MGTREFCLKRX_IN => refclk,
PLLRXRESET_IN => '0',
RXPLLLKDET_OUT => rx_plllkdet,
RXRESETDONE_OUT => rx_ready,
-- TX 8b10b Encoder Control Ports
TXCHARISK_IN => tx_isk_i,
-- TX Data Path interface
TXDATA_IN => tx_data_i,
TXOUTCLK_OUT => outclk,
TXUSRCLK2_IN => usrclk2,
-- TX Driver
TXN_OUT => txn_o,
TXP_OUT => txp_o,
TXPOSTEMPHASIS_IN => "00000",
TXPREEMPHASIS_IN => "0000",
-- TX PLL Ports
GTXTXRESET_IN => rst_i,
MGTREFCLKTX_IN => refclk,
PLLTXRESET_IN => '0',
TXPLLLKDET_OUT => open,
TXRESETDONE_OUT => tx_ready
);
clk_o <= usrclk2;
ready_o <= rx_ready and tx_ready and rx_plllkdet;
end architecture Structural;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Package: stdlib
-- File: stdlib.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Package for common VHDL functions
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- pragma translate_off
use std.textio.all;
-- pragma translate_on
library grlib;
use grlib.version.all;
package stdlib is
constant LIBVHDL_VERSION : integer := grlib_version;
constant LIBVHDL_BUILD : integer := grlib_build;
-- pragma translate_off
constant LIBVHDL_DATE : string := grlib_date;
-- pragma translate_on
constant zero32 : std_logic_vector(31 downto 0) := (others => '0');
constant zero64 : std_logic_vector(63 downto 0) := (others => '0');
constant zero128 : std_logic_vector(127 downto 0) := (others => '0');
constant one32 : std_logic_vector(31 downto 0) := (others => '1');
constant one64 : std_logic_vector(63 downto 0) := (others => '1');
constant one128 : std_logic_vector(127 downto 0) := (others => '1');
type log2arr is array(0 to 512) of integer;
constant log2 : log2arr := (
0,0,1,2,2,3,3,3,3,4,4,4,4,4,4,4,4,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,
6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,
7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,
7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,
8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,
8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,
8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,
8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,
others => 9);
constant log2x : log2arr := (
0,1,1,2,2,3,3,3,3,4,4,4,4,4,4,4,4,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,
6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,
7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,
7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,7,
8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,
8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,
8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,
8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,
others => 9);
function log2ext(i: integer) return integer;
function decode(v : std_logic_vector) return std_logic_vector;
function genmux(s,v : std_logic_vector) return std_ulogic;
function xorv(d : std_logic_vector) return std_ulogic;
function orv(d : std_logic_vector) return std_ulogic;
function andv(d : std_logic_vector) return std_ulogic;
function notx(d : std_logic_vector) return boolean;
function notx(d : std_ulogic) return boolean;
function "-" (d : std_logic_vector; i : integer) return std_logic_vector;
function "-" (i : integer; d : std_logic_vector) return std_logic_vector;
function "+" (d : std_logic_vector; i : integer) return std_logic_vector;
function "+" (i : integer; d : std_logic_vector) return std_logic_vector;
function "-" (d : std_logic_vector; i : std_ulogic) return std_logic_vector;
function "+" (d : std_logic_vector; i : std_ulogic) return std_logic_vector;
function "-" (a, b : std_logic_vector) return std_logic_vector;
function "+" (a, b : std_logic_vector) return std_logic_vector;
function "*" (a, b : std_logic_vector) return std_logic_vector;
function unsigned_mul (a, b : std_logic_vector) return std_logic_vector;
function signed_mul (a, b : std_logic_vector) return std_logic_vector;
function mixed_mul (a, b : std_logic_vector; sign : std_logic) return std_logic_vector;
--function ">" (a, b : std_logic_vector) return boolean;
function "<" (i : integer; b : std_logic_vector) return boolean;
function conv_integer(v : std_logic_vector) return integer;
function conv_integer(v : std_logic) return integer;
function conv_std_logic_vector(i : integer; w : integer) return std_logic_vector;
function conv_std_logic_vector_signed(i : integer; w : integer) return std_logic_vector;
function conv_std_logic(b : boolean) return std_ulogic;
attribute sync_set_reset : string;
attribute async_set_reset : string;
-- Reporting and diagnostics
-- pragma translate_off
function tost(v:std_logic_vector) return string;
function tost(v:std_logic) return string;
function tost(i : integer) return string;
function tost_any(s: std_ulogic) return string;
function tost_bits(s: std_logic_vector) return string;
function tost(b: boolean) return string;
function tost(r: real) return string;
procedure print(s : string);
component report_version
generic (msg1, msg2, msg3, msg4 : string := ""; mdel : integer := 4);
end component;
component report_design
generic (msg1, fabtech, memtech : string := ""; mdel : integer := 4);
end component;
-- pragma translate_on
end;
package body stdlib is
function notx(d : std_logic_vector) return boolean is
variable res : boolean;
begin
res := true;
-- pragma translate_off
res := not is_x(d);
-- pragma translate_on
return (res);
end;
function notx(d : std_ulogic) return boolean is
variable res : boolean;
begin
res := true;
-- pragma translate_off
res := not is_x(d);
-- pragma translate_on
return (res);
end;
-- generic decoder
function decode(v : std_logic_vector) return std_logic_vector is
variable res : std_logic_vector((2**v'length)-1 downto 0);
variable i : integer range res'range;
begin
res := (others => '0'); i := 0;
if notx(v) then i := to_integer(unsigned(v)); end if;
res(i) := '1';
return(res);
end;
-- generic multiplexer
function genmux(s,v : std_logic_vector) return std_ulogic is
variable res : std_logic_vector(v'length-1 downto 0);
variable i : integer range res'range;
begin
res := v; i := 0;
if notx(s) then i := to_integer(unsigned(s)); end if;
return(res(i));
end;
-- vector XOR
function xorv(d : std_logic_vector) return std_ulogic is
variable tmp : std_ulogic;
begin
tmp := '0';
for i in d'range loop tmp := tmp xor d(i); end loop;
return(tmp);
end;
-- vector OR
function orv(d : std_logic_vector) return std_ulogic is
variable tmp : std_ulogic;
begin
tmp := '0';
for i in d'range loop tmp := tmp or d(i); end loop;
return(tmp);
end;
-- vector AND
function andv(d : std_logic_vector) return std_ulogic is
variable tmp : std_ulogic;
begin
tmp := '1';
for i in d'range loop tmp := tmp and d(i); end loop;
return(tmp);
end;
-- unsigned multiplication
function "*" (a, b : std_logic_vector) return std_logic_vector is
variable z : std_logic_vector(a'length+b'length-1 downto 0);
begin
-- pragma translate_off
if notx(a&b) then
-- pragma translate_on
return(std_logic_vector(unsigned(a) * unsigned(b)));
-- pragma translate_off
else
z := (others =>'X'); return(z);
end if;
-- pragma translate_on
end;
-- signed multiplication
function signed_mul (a, b : std_logic_vector) return std_logic_vector is
variable z : std_logic_vector(a'length+b'length-1 downto 0);
begin
-- pragma translate_off
if notx(a&b) then
-- pragma translate_on
return(std_logic_vector(signed(a) * signed(b)));
-- pragma translate_off
else
z := (others =>'X'); return(z);
end if;
-- pragma translate_on
end;
-- unsigned multiplication
function unsigned_mul (a, b : std_logic_vector) return std_logic_vector is
variable z : std_logic_vector(a'length+b'length-1 downto 0);
begin
-- pragma translate_off
if notx(a&b) then
-- pragma translate_on
return(std_logic_vector(unsigned(a) * unsigned(b)));
-- pragma translate_off
else
z := (others =>'X'); return(z);
end if;
-- pragma translate_on
end;
-- signed/unsigned multiplication
function mixed_mul (a, b : std_logic_vector; sign : std_logic) return std_logic_vector is
variable z : std_logic_vector(a'length+b'length-1 downto 0);
begin
-- pragma translate_off
if notx(a&b) then
-- pragma translate_on
if sign = '0' then
return(std_logic_vector(unsigned(a) * unsigned(b)));
else
return(std_logic_vector(signed(a) * signed(b)));
end if;
-- pragma translate_off
else
z := (others =>'X'); return(z);
end if;
-- pragma translate_on
end;
-- unsigned addition
function "+" (a, b : std_logic_vector) return std_logic_vector is
variable x : std_logic_vector(a'length-1 downto 0);
variable y : std_logic_vector(b'length-1 downto 0);
begin
-- pragma translate_off
if notx(a&b) then
-- pragma translate_on
return(std_logic_vector(unsigned(a) + unsigned(b)));
-- pragma translate_off
else
x := (others =>'X'); y := (others =>'X');
if (x'length > y'length) then return(x); else return(y); end if;
end if;
-- pragma translate_on
end;
function "+" (i : integer; d : std_logic_vector) return std_logic_vector is
variable x : std_logic_vector(d'length-1 downto 0);
begin
-- pragma translate_off
if notx(d) then
-- pragma translate_on
return(std_logic_vector(unsigned(d) + i));
-- pragma translate_off
else x := (others =>'X'); return(x);
end if;
-- pragma translate_on
end;
function "+" (d : std_logic_vector; i : integer) return std_logic_vector is
variable x : std_logic_vector(d'length-1 downto 0);
begin
-- pragma translate_off
if notx(d) then
-- pragma translate_on
return(std_logic_vector(unsigned(d) + i));
-- pragma translate_off
else x := (others =>'X'); return(x);
end if;
-- pragma translate_on
end;
function "+" (d : std_logic_vector; i : std_ulogic) return std_logic_vector is
variable x : std_logic_vector(d'length-1 downto 0);
variable y : std_logic_vector(0 downto 0);
begin
y(0) := i;
-- pragma translate_off
if notx(d) then
-- pragma translate_on
return(std_logic_vector(unsigned(d) + unsigned(y)));
-- pragma translate_off
else x := (others =>'X'); return(x);
end if;
-- pragma translate_on
end;
-- unsigned subtraction
function "-" (a, b : std_logic_vector) return std_logic_vector is
variable x : std_logic_vector(a'length-1 downto 0);
variable y : std_logic_vector(b'length-1 downto 0);
begin
-- pragma translate_off
if notx(a&b) then
-- pragma translate_on
return(std_logic_vector(unsigned(a) - unsigned(b)));
-- pragma translate_off
else
x := (others =>'X'); y := (others =>'X');
if (x'length > y'length) then return(x); else return(y); end if;
end if;
-- pragma translate_on
end;
function "-" (d : std_logic_vector; i : integer) return std_logic_vector is
variable x : std_logic_vector(d'length-1 downto 0);
begin
-- pragma translate_off
if notx(d) then
-- pragma translate_on
return(std_logic_vector(unsigned(d) - i));
-- pragma translate_off
else x := (others =>'X'); return(x);
end if;
-- pragma translate_on
end;
function "-" (i : integer; d : std_logic_vector) return std_logic_vector is
variable x : std_logic_vector(d'length-1 downto 0);
begin
-- pragma translate_off
if notx(d) then
-- pragma translate_on
return(std_logic_vector(i - unsigned(d)));
-- pragma translate_off
else x := (others =>'X'); return(x);
end if;
-- pragma translate_on
end;
function "-" (d : std_logic_vector; i : std_ulogic) return std_logic_vector is
variable x : std_logic_vector(d'length-1 downto 0);
variable y : std_logic_vector(0 downto 0);
begin
y(0) := i;
-- pragma translate_off
if notx(d) then
-- pragma translate_on
return(std_logic_vector(unsigned(d) - unsigned(y)));
-- pragma translate_off
else x := (others =>'X'); return(x);
end if;
-- pragma translate_on
end;
function ">=" (a, b : std_logic_vector) return boolean is
begin
return(unsigned(a) >= unsigned(b));
end;
function "<" (i : integer; b : std_logic_vector) return boolean is
begin
return( i < to_integer(unsigned(b)));
end;
function ">" (a, b : std_logic_vector) return boolean is
begin
return(unsigned(a) > unsigned(b));
end;
function conv_integer(v : std_logic_vector) return integer is
begin
if notx(v) then return(to_integer(unsigned(v)));
else return(0); end if;
end;
function conv_integer(v : std_logic) return integer is
begin
if notx(v) then
if v = '1' then return(1);
else return(0); end if;
else return(0); end if;
end;
function conv_std_logic_vector(i : integer; w : integer) return std_logic_vector is
variable tmp : std_logic_vector(w-1 downto 0);
begin
tmp := std_logic_vector(to_unsigned(i, w));
return(tmp);
end;
function conv_std_logic_vector_signed(i : integer; w : integer) return std_logic_vector is
variable tmp : std_logic_vector(w-1 downto 0);
begin
tmp := std_logic_vector(to_signed(i, w));
return(tmp);
end;
function conv_std_logic(b : boolean) return std_ulogic is
begin
if b then return('1'); else return('0'); end if;
end;
function log2ext(i: integer) return integer is
-- variable v: std_logic_vector(31 downto 0);
begin
-- workaround for DC bug
-- if i=0 then return 0; end if;
-- v := std_logic_vector(to_unsigned((i-1),v'length));
-- for x in v'high downto v'low loop
-- if v(x)='1' then return x+1; end if;
-- end loop;
-- return 0;
for x in 1 to 32 loop
if (2**x > i) then return (x-1); end if;
end loop;
return 32;
end;
-- pragma translate_off
subtype nibble is std_logic_vector(3 downto 0);
function todec(i:integer) return character is
begin
case i is
when 0 => return('0');
when 1 => return('1');
when 2 => return('2');
when 3 => return('3');
when 4 => return('4');
when 5 => return('5');
when 6 => return('6');
when 7 => return('7');
when 8 => return('8');
when 9 => return('9');
when others => return('0');
end case;
end;
function tohex(n:nibble) return character is
begin
case n is
when "0000" => return('0');
when "0001" => return('1');
when "0010" => return('2');
when "0011" => return('3');
when "0100" => return('4');
when "0101" => return('5');
when "0110" => return('6');
when "0111" => return('7');
when "1000" => return('8');
when "1001" => return('9');
when "1010" => return('a');
when "1011" => return('b');
when "1100" => return('c');
when "1101" => return('d');
when "1110" => return('e');
when "1111" => return('f');
when others => return('X');
end case;
end;
function tost(v:std_logic_vector) return string is
constant vlen : natural := v'length; --'
constant slen : natural := (vlen+3)/4;
variable vv : std_logic_vector(0 to slen*4-1) := (others => '0');
variable s : string(1 to slen);
variable nz : boolean := false;
variable index : integer := -1;
begin
vv(slen*4-vlen to slen*4-1) := v;
for i in 0 to slen-1 loop
if (vv(i*4 to i*4+3) = "0000") and nz and (i /= (slen-1)) then
index := i;
else
nz := false;
s(i+1) := tohex(vv(i*4 to i*4+3));
end if;
end loop;
if ((index +2) = slen) then return(s(slen to slen));
else return(string'("0x") & s(index+2 to slen)); end if; --'
end;
function tost(v:std_logic) return string is
begin
if to_x01(v) = '1' then return("1"); else return("0"); end if;
end;
function tost_any(s: std_ulogic) return string is
begin
case s is
when '1' => return "1";
when '0' => return "0";
when '-' => return "-";
when 'U' => return "U";
when 'X' => return "X";
when 'Z' => return "Z";
when 'H' => return "H";
when 'L' => return "L";
when 'W' => return "W";
end case;
end;
function tost_bits(s: std_logic_vector) return string is
constant len: natural := s'length;
variable str: string(1 to len);
variable i: integer;
begin
i := 1;
for x in s'range loop
str(i to i) := tost_any(s(x));
i := i+1;
end loop;
return str;
end;
function tost(b: boolean) return string is
begin
if b then return "true"; else return "false"; end if;
end tost;
function tost(i : integer) return string is
variable L : line;
variable s, x : string(1 to 128);
variable n, tmp : integer := 0;
begin
tmp := i;
if i < 0 then tmp := -i; end if;
loop
s(128-n) := todec(tmp mod 10);
tmp := tmp / 10;
n := n+1;
if tmp = 0 then exit; end if;
end loop;
x(1 to n) := s(129-n to 128);
if i < 0 then return "-" & x(1 to n); end if;
return(x(1 to n));
end;
function tost(r: real) return string is
variable x: real;
variable i,j: integer;
variable s: string(1 to 30);
variable c: character;
begin
if r = 0.0 then
return "0.0000";
elsif r < 0.0 then
return "-" & tost(-r);
elsif r < 0.001 then
x:=r; i:=0;
while x<1.0 loop x:=x*10.0; i:=i+1; end loop;
return tost(x) & "e-" & tost(i);
elsif r >= 1000000.0 then
x:=10000000.0; i:=6;
while r>=x loop x:=x*10.0; i:=i+1; end loop;
return tost(10.0*r/x) & "e+" & tost(i);
else
i:=0; x:=r+0.00005;
while x >= 10.0 loop x:=x/10.0; i:=i+1; end loop;
j := 1;
while i > -5 loop
if x >= 9.0 then c:='9'; x:=x-9.0;
elsif x >= 8.0 then c:='8'; x:=x-8.0;
elsif x >= 7.0 then c:='7'; x:=x-7.0;
elsif x >= 6.0 then c:='6'; x:=x-6.0;
elsif x >= 5.0 then c:='5'; x:=x-5.0;
elsif x >= 4.0 then c:='4'; x:=x-4.0;
elsif x >= 3.0 then c:='3'; x:=x-3.0;
elsif x >= 2.0 then c:='2'; x:=x-2.0;
elsif x >= 1.0 then c:='1'; x:=x-1.0;
else c:='0';
end if;
s(j) := c;
j:=j+1;
if i=0 then s(j):='.'; j:=j+1; end if;
i:=i-1;
x := x * 10.0;
end loop;
return s(1 to j-1);
end if;
end tost;
procedure print(s : string) is
variable L : line;
begin
L := new string'(s); writeline(output, L);
end;
-- pragma translate_on
end;
|
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
------------------------------------------------------------
-------------------------------------------------------------------------------
-- Filename: axi_dma_mm2s_cntrl_strm.vhd
-- Description: This entity is MM2S control stream logic
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_dma_v7_1;
use axi_dma_v7_1.axi_dma_pkg.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.clog2;
use proc_common_v4_0.proc_common_pkg.max2;
-------------------------------------------------------------------------------
entity axi_dma_mm2s_cntrl_strm is
generic(
C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0;
-- Primary MM2S/S2MM sync/async mode
-- 0 = synchronous mode - all clocks are synchronous
-- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM)
-- run asynchronous to AXI Lite, DMA Control,
-- and SG.
C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1;
-- Depth of DataMover command FIFO
C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : integer range 32 to 32 := 32;
-- Master AXI Control Stream Data Width
C_FAMILY : string := "virtex7"
-- Target FPGA Device Family
);
port (
-- Secondary clock / reset
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
-- Primary clock / reset --
axi_prmry_aclk : in std_logic ; --
p_reset_n : in std_logic ; --
--
-- MM2S Error --
mm2s_stop : in std_logic ; --
--
-- Control Stream FIFO write signals (from axi_dma_mm2s_sg_if) --
cntrlstrm_fifo_wren : in std_logic ; --
cntrlstrm_fifo_din : in std_logic_vector --
(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH downto 0); --
cntrlstrm_fifo_full : out std_logic ; --
--
--
-- Memory Map to Stream Control Stream Interface --
m_axis_mm2s_cntrl_tdata : out std_logic_vector --
(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0); --
m_axis_mm2s_cntrl_tkeep : out std_logic_vector --
((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0);--
m_axis_mm2s_cntrl_tvalid : out std_logic ; --
m_axis_mm2s_cntrl_tready : in std_logic ; --
m_axis_mm2s_cntrl_tlast : out std_logic --
);
end axi_dma_mm2s_cntrl_strm;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_dma_mm2s_cntrl_strm is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- Number of words deep fifo needs to be
-- Only 5 app fields, but set to 8 so depth is a power of 2
constant CNTRL_FIFO_DEPTH : integer := max2(16,8 * C_PRMY_CMDFIFO_DEPTH);
-- Width of fifo rd and wr counts - only used for proper fifo operation
constant CNTRL_FIFO_CNT_WIDTH : integer := clog2(CNTRL_FIFO_DEPTH+1);
constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs
constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- FIFO signals
signal cntrl_fifo_rden : std_logic := '0';
signal cntrl_fifo_empty : std_logic := '0';
signal cntrl_fifo_dout : std_logic_vector
(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH downto 0) := (others => '0');
signal cntrl_fifo_dvalid: std_logic := '0';
signal cntrl_tdata : std_logic_vector
(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0) := (others => '0');
signal cntrl_tkeep : std_logic_vector
((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal cntrl_tvalid : std_logic := '0';
signal cntrl_tready : std_logic := '0';
signal cntrl_tlast : std_logic := '0';
signal sinit : std_logic := '0';
signal m_valid : std_logic := '0';
signal m_ready : std_logic := '0';
signal m_data : std_logic_vector(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0) := (others => '0');
signal m_strb : std_logic_vector((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal m_last : std_logic := '0';
signal skid_rst : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-- All bytes always valid
cntrl_tkeep <= (others => '1');
-- Primary Clock is synchronous to Secondary Clock therfore
-- instantiate a sync fifo.
GEN_SYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
signal mm2s_stop_d1 : std_logic := '0';
signal mm2s_stop_re : std_logic := '0';
signal xfer_in_progress : std_logic := '0';
begin
-- reset on hard reset or mm2s stop
sinit <= not m_axi_sg_aresetn or mm2s_stop;
-- Generate Synchronous FIFO
I_CNTRL_FIFO : entity proc_common_v4_0.sync_fifo_fg
generic map (
C_FAMILY => C_FAMILY ,
C_MEMORY_TYPE => USE_LOGIC_FIFOS,
C_WRITE_DATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH + 1,
C_WRITE_DEPTH => CNTRL_FIFO_DEPTH ,
C_READ_DATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH + 1,
C_READ_DEPTH => CNTRL_FIFO_DEPTH ,
C_PORTS_DIFFER => 0,
C_HAS_DCOUNT => 1, --req for proper fifo operation
C_DCOUNT_WIDTH => CNTRL_FIFO_CNT_WIDTH,
C_HAS_ALMOST_FULL => 0,
C_HAS_RD_ACK => 0,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_ERR => 0,
C_RD_ACK_LOW => 0,
C_RD_ERR_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_ERR_LOW => 0,
C_PRELOAD_REGS => 1,-- 1 = first word fall through
C_PRELOAD_LATENCY => 0 -- 0 = first word fall through
-- C_USE_EMBEDDED_REG => 1 -- 0 ;
)
port map (
Clk => m_axi_sg_aclk ,
Sinit => sinit ,
Din => cntrlstrm_fifo_din ,
Wr_en => cntrlstrm_fifo_wren ,
Rd_en => cntrl_fifo_rden ,
Dout => cntrl_fifo_dout ,
Full => cntrlstrm_fifo_full ,
Empty => cntrl_fifo_empty ,
Almost_full => open ,
Data_count => open ,
Rd_ack => open ,
Rd_err => open ,
Wr_ack => open ,
Wr_err => open
);
-----------------------------------------------------------------------
-- Control Stream OUT Side
-----------------------------------------------------------------------
-- Read if fifo is not empty and target is ready
cntrl_fifo_rden <= not cntrl_fifo_empty
and cntrl_tready;
-- Drive valid if fifo is not empty or in the middle
-- of transfer and stop issued.
cntrl_tvalid <= not cntrl_fifo_empty
or (xfer_in_progress and mm2s_stop_re);
-- Pass data out to control channel with MSB driving tlast
cntrl_tlast <= (cntrl_tvalid and cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH))
or (xfer_in_progress and mm2s_stop_re);
cntrl_tdata <= cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0);
-- Register stop to create re pulse for cleaning shutting down
-- stream out during soft reset.
REG_STOP : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
mm2s_stop_d1 <= '0';
else
mm2s_stop_d1 <= mm2s_stop;
end if;
end if;
end process REG_STOP;
mm2s_stop_re <= mm2s_stop and not mm2s_stop_d1;
-------------------------------------------------------------
-- Flag transfer in progress. If xfer in progress then
-- a fake tlast and tvalid need to be asserted during soft
-- reset else no need of tlast.
-------------------------------------------------------------
TRANSFER_IN_PROGRESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(cntrl_tlast = '1' and cntrl_tvalid = '1' and cntrl_tready = '1')then
xfer_in_progress <= '0';
elsif(xfer_in_progress = '0' and cntrl_tvalid = '1')then
xfer_in_progress <= '1';
end if;
end if;
end process TRANSFER_IN_PROGRESS;
skid_rst <= not m_axi_sg_aresetn;
---------------------------------------------------------------------------
-- Buffer AXI Signals
---------------------------------------------------------------------------
CNTRL_SKID_BUF_I : entity axi_dma_v7_1.axi_dma_skid_buf
generic map(
C_WDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH
)
port map(
-- System Ports
ACLK => m_axi_sg_aclk ,
ARST => skid_rst ,
skid_stop => mm2s_stop_re ,
-- Slave Side (Stream Data Input)
S_VALID => cntrl_tvalid ,
S_READY => cntrl_tready ,
S_Data => cntrl_tdata ,
S_STRB => cntrl_tkeep ,
S_Last => cntrl_tlast ,
-- Master Side (Stream Data Output
M_VALID => m_axis_mm2s_cntrl_tvalid ,
M_READY => m_axis_mm2s_cntrl_tready ,
M_Data => m_axis_mm2s_cntrl_tdata ,
M_STRB => m_axis_mm2s_cntrl_tkeep ,
M_Last => m_axis_mm2s_cntrl_tlast
);
end generate GEN_SYNC_FIFO;
-- Primary Clock is asynchronous to Secondary Clock therfore
-- instantiate an async fifo.
GEN_ASYNC_FIFO : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
signal mm2s_stop_reg : std_logic := '0'; -- CR605883
signal p_mm2s_stop_d1 : std_logic := '0';
signal p_mm2s_stop_d2 : std_logic := '0';
signal p_mm2s_stop_d3 : std_logic := '0';
signal p_mm2s_stop_re : std_logic := '0';
signal xfer_in_progress : std_logic := '0';
begin
-- reset on hard reset, soft reset, or mm2s error
sinit <= not p_reset_n or p_mm2s_stop_d2;
-- Generate Asynchronous FIFO
I_CNTRL_STRM_FIFO : entity axi_dma_v7_1.axi_dma_afifo_autord
generic map(
C_DWIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH + 1 ,
-- Temp work around for issue in async fifo model
-- C_DEPTH => CNTRL_FIFO_DEPTH ,
-- C_CNT_WIDTH => CNTRL_FIFO_CNT_WIDTH ,
C_DEPTH => 31 ,
C_CNT_WIDTH => 5 ,
C_USE_BLKMEM => USE_LOGIC_FIFOS ,
C_FAMILY => C_FAMILY
)
port map(
-- Inputs
AFIFO_Ainit => sinit ,
AFIFO_Wr_clk => m_axi_sg_aclk ,
AFIFO_Wr_en => cntrlstrm_fifo_wren ,
AFIFO_Din => cntrlstrm_fifo_din ,
AFIFO_Rd_clk => axi_prmry_aclk ,
AFIFO_Rd_en => cntrl_fifo_rden ,
AFIFO_Clr_Rd_Data_Valid => '0' ,
-- Outputs
AFIFO_DValid => cntrl_fifo_dvalid ,
AFIFO_Dout => cntrl_fifo_dout ,
AFIFO_Full => cntrlstrm_fifo_full ,
AFIFO_Empty => cntrl_fifo_empty ,
AFIFO_Almost_full => open ,
AFIFO_Almost_empty => open ,
AFIFO_Wr_count => open ,
AFIFO_Rd_count => open ,
AFIFO_Corr_Rd_count => open ,
AFIFO_Corr_Rd_count_minus1 => open ,
AFIFO_Rd_ack => open
);
-----------------------------------------------------------------------
-- Control Stream OUT Side
-----------------------------------------------------------------------
-- Read if fifo is not empty and target is ready
cntrl_fifo_rden <= not cntrl_fifo_empty -- fifo has data
and cntrl_tready; -- target ready
-- Drive valid if fifo is not empty or in the middle
-- of transfer and stop issued.
cntrl_tvalid <= cntrl_fifo_dvalid
or (xfer_in_progress and p_mm2s_stop_re);
-- Pass data out to control channel with MSB driving tlast
cntrl_tlast <= cntrl_tvalid and cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH);
cntrl_tdata <= cntrl_fifo_dout(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0);
-- CR605883
-- Register stop to provide pure FF output for synchronizer
REG_STOP : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
mm2s_stop_reg <= '0';
else
mm2s_stop_reg <= mm2s_stop;
end if;
end if;
end process REG_STOP;
-- Double/triple register mm2s error into primary clock domain
-- Triple register to give two versions with min double reg for use
-- in rising edge detection.
REG_ERR2PRMRY : process(axi_prmry_aclk)
begin
if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
if(p_reset_n = '0')then
p_mm2s_stop_d1 <= '0';
p_mm2s_stop_d2 <= '0';
p_mm2s_stop_d3 <= '0';
else
--p_mm2s_stop_d1 <= mm2s_stop;
p_mm2s_stop_d1 <= mm2s_stop_reg;
p_mm2s_stop_d2 <= p_mm2s_stop_d1;
p_mm2s_stop_d3 <= p_mm2s_stop_d2;
end if;
end if;
end process REG_ERR2PRMRY;
-- Rising edge pulse for use in shutting down stream output
p_mm2s_stop_re <= p_mm2s_stop_d2 and not p_mm2s_stop_d3;
-------------------------------------------------------------
-- Flag transfer in progress. If xfer in progress then
-- a fake tlast needs to be asserted during soft reset.
-- else no need of tlast.
-------------------------------------------------------------
TRANSFER_IN_PROGRESS : process(axi_prmry_aclk)
begin
if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
if(cntrl_tlast = '1' and cntrl_tvalid = '1' and cntrl_tready = '1')then
xfer_in_progress <= '0';
elsif(xfer_in_progress = '0' and cntrl_tvalid = '1')then
xfer_in_progress <= '1';
end if;
end if;
end process TRANSFER_IN_PROGRESS;
skid_rst <= not p_reset_n;
---------------------------------------------------------------------------
-- Buffer AXI Signals
---------------------------------------------------------------------------
CNTRL_SKID_BUF_I : entity axi_dma_v7_1.axi_dma_skid_buf
generic map(
C_WDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH
)
port map(
-- System Ports
ACLK => axi_prmry_aclk ,
ARST => skid_rst ,
skid_stop => p_mm2s_stop_re ,
-- Slave Side (Stream Data Input)
S_VALID => cntrl_tvalid ,
S_READY => cntrl_tready ,
S_Data => cntrl_tdata ,
S_STRB => cntrl_tkeep ,
S_Last => cntrl_tlast ,
-- Master Side (Stream Data Output
M_VALID => m_axis_mm2s_cntrl_tvalid ,
M_READY => m_axis_mm2s_cntrl_tready ,
M_Data => m_axis_mm2s_cntrl_tdata ,
M_STRB => m_axis_mm2s_cntrl_tkeep ,
M_Last => m_axis_mm2s_cntrl_tlast
);
end generate GEN_ASYNC_FIFO;
end implementation;
|
---------------------------------------------------------
---
-- Test Bench for Four Bit Up-Down Counter
-- File name : counter_sig_tb.vhd
---------------------------------------------------------
---
Library IEEE;
use IEEE.std_logic_1164.ALL;
use IEEE.std_logic_signed.ALL;
architecture tb_counter_sig2 of test is
component counter_sig
port( UP, CLK, RESET : in std_logic;
OUT1 : out std_logic;
OUT2 : out std_logic_vector(3 downto 0)
);
end component;
signal UP : std_logic := '1';
signal RESET : std_logic := '0';
signal CLK : std_logic := '0';
signal Out1 : std_logic := '0';
signal Out2 : std_logic_vector(3 downto 0):=(others=>'0');
for U1:counter_sig use entity work.counter_sig(Arch_counter_sig2);
begin
U1: counter_sig port map ( UP, CLK, RESET, OUT1, OUT2);
RESET <= '1' after 125 ns;
CLK <= not(CLK) after 50 ns;
-----------------------------------------------------
tb: process
begin
UP <= transport '0' after 945 ns;
UP <= transport '1' after 1825 ns;
UP <= transport '0' after 2025 ns;
wait;
end process; --tb
-----------------------------------------------------
end; -- tb_counter_sig2
|
architecture RTL of FIFO is
begin
BLOCK_LABEL : block is begin end block block_label;
BLOCK_LABEL : BLOCK IS BEGIN END BLOCK BLOCK_LABEL;
end architecture RTL;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
entity clkgen_c5ekit is
port (
clkin: in std_ulogic;
clkm: out std_ulogic;
ssclk: out std_ulogic;
locked: out std_ulogic
);
end;
architecture rtl of clkgen_c5ekit is
component syspll1 is
port (
refclk : in std_logic := 'X'; -- clk
rst : in std_logic := 'X'; -- reset
outclk_0 : out std_logic; -- clk
locked : out std_logic -- export
);
end component syspll1;
signal cgi: clkgen_in_type;
signal cgo: clkgen_out_type;
signal gnd: std_ulogic;
begin
pll0: syspll1
port map (clkin, '0', clkm, locked);
ssclk <= '0';
end;
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use ieee.std_logic_textio.all;
LIBRARY std;
use STD.textio.all;
use work.randgen_package.all;
ENTITY test_randgen IS
END test_randgen;
ARCHITECTURE behavior OF test_randgen IS
-- Component Declaration for the Unit Under Test (UUT)
component randgen is
Port ( clk : in STD_LOGIC;
reset : in STD_LOGIC;
ready : out STD_LOGIC;
output : out STD_LOGIC);
end component;
--Inputs
signal clk : std_logic := '0';
signal reset : std_logic := '0';
--Outputs
signal output : std_logic;
signal ready : std_logic;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: randgen PORT MAP (
clk => clk,
reset => reset,
ready => ready,
output => output
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for CLK_PERIOD/2;
clk <= '1';
wait for CLK_PERIOD/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
reset <= '1';
wait for 100 ns;
reset <='0';
-- write a single line
wait;
end process;
-- Write bigregister process
write_file: process (clk) is
file my_output : TEXT open WRITE_MODE is "Test.out";
variable my_output_line : LINE;
begin
if rising_edge(clk) then
if ready = '1' and reset = '0' then
write(my_output_line,output);
writeline(my_output, my_output_line);
end if;
end if;
end process write_file;
END;
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use ieee.std_logic_textio.all;
LIBRARY std;
use STD.textio.all;
use work.randgen_package.all;
ENTITY test_randgen IS
END test_randgen;
ARCHITECTURE behavior OF test_randgen IS
-- Component Declaration for the Unit Under Test (UUT)
component randgen is
Port ( clk : in STD_LOGIC;
reset : in STD_LOGIC;
ready : out STD_LOGIC;
output : out STD_LOGIC);
end component;
--Inputs
signal clk : std_logic := '0';
signal reset : std_logic := '0';
--Outputs
signal output : std_logic;
signal ready : std_logic;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: randgen PORT MAP (
clk => clk,
reset => reset,
ready => ready,
output => output
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for CLK_PERIOD/2;
clk <= '1';
wait for CLK_PERIOD/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
reset <= '1';
wait for 100 ns;
reset <='0';
-- write a single line
wait;
end process;
-- Write bigregister process
write_file: process (clk) is
file my_output : TEXT open WRITE_MODE is "Test.out";
variable my_output_line : LINE;
begin
if rising_edge(clk) then
if ready = '1' and reset = '0' then
write(my_output_line,output);
writeline(my_output, my_output_line);
end if;
end if;
end process write_file;
END;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: ahbtbs
-- File: ahbtbs.vhd
-- Author: Nils-Johan Wessman - Gaisler Research
-- Description: AMBA testbench slave
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.misc.all;
use work.ahbtbp.all;
entity ahbtbs is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
tech : integer := DEFMEMTECH;
kbytes : integer := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbtbs is
constant abits : integer := log2(kbytes) + 8;
constant ws : std_logic_vector(7 downto 0) :="00000000";
constant retry : integer := 0;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, 0, 0, abits+2, 0),
4 => ahb_membar(haddr, '1', '1', hmask),
others => zero32);
type reg_type is record
hwrite : std_ulogic;
hready : std_ulogic;
hsel : std_ulogic;
addr : std_logic_vector(abits+1 downto 0);
size : std_logic_vector(1 downto 0);
hresp : std_logic_vector(1 downto 0);
ws : std_logic_vector(7 downto 0);
rty : std_logic_vector(3 downto 0);
retry : std_logic;
end record;
signal r, c : reg_type;
signal ramsel : std_ulogic;
signal write : std_logic_vector(3 downto 0);
signal ramaddr : std_logic_vector(abits-1 downto 0);
signal ramdata : std_logic_vector(31 downto 0);
begin
comb : process (ahbsi, r, rst, ramdata)
variable bs : std_logic_vector(3 downto 0);
variable v : reg_type;
variable haddr : std_logic_vector(abits-1 downto 0);
begin
v := r; v.hready := '1'; bs := (others => '0');
v.hresp := HRESP_OKAY;
if ahbsi.hready = '1' then
v.hsel := ahbsi.hsel(hindex) and ahbsi.htrans(1);
v.hwrite := ahbsi.hwrite and v.hsel;
v.addr := ahbsi.haddr(abits+1 downto 0);
v.size := ahbsi.hsize(1 downto 0);
v.ws := ws;
--v.retry := retry;
if retry = 1 then
if v.hsel = '1' then
v.rty := r.rty - 1;
if r.rty = "0000" then
v.retry := '0';
v.rty := "0010";
else
v.retry := '1';
end if;
end if;
else
v.retry := '0';
end if;
end if;
if r.ws /= "00000000" and r.hsel = '1' then
v.ws := r.ws - 1;
end if;
if v.ws /= "00000000" and v.hsel = '1' then
v.hready := '0';
elsif v.hsel = '1' and v.retry = '1' then
if r.hresp = HRESP_OKAY then
v.hready := '0';
v.hresp := HRESP_RETRY;
else
v.hready := '1';
v.hresp := HRESP_RETRY;
v.retry := '0';
end if;
end if;
if (r.hwrite or not r.hready) = '1' then
haddr := r.addr(abits+1 downto 2);
else
haddr := ahbsi.haddr(abits+1 downto 2); bs := (others => '0');
end if;
if r.hwrite = '1' and r.hready = '1' then
case r.size(1 downto 0) is
when "00" => bs (conv_integer(r.addr(1 downto 0))) := '1';
when "01" => bs := r.addr(1) & r.addr(1) & not (r.addr(1) & r.addr(1));
when others => bs := (others => '1');
end case;
--v.hready := not (v.hsel and not ahbsi.hwrite);
--v.hwrite := v.hwrite and v.hready;
end if;
if rst = '0' then
v.hwrite := '0'; v.hready := '1'; v.ws := ws;
v.rty := "0010";
end if;
write <= bs; ramsel <= v.hsel or r.hwrite; ahbso.hready <= r.hready;
ramaddr <= haddr; c <= v; ahbso.hrdata <= ramdata;
end process;
ahbso.hresp <= r.hresp; --"00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
ra : for i in 0 to 3 generate
aram : syncram generic map (tech, abits, 8) port map (
clk, ramaddr, ahbsi.hwdata(i*8+7 downto i*8),
ramdata(i*8+7 downto i*8), ramsel, write(3-i));
end generate;
reg : process (clk)
begin
if rising_edge(clk ) then r <= c; end if;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbram" & tost(hindex) &
": AHB SRAM Module rev 1, " & tost(kbytes) & " kbytes");
-- pragma translate_on
end;
|
-------------------------------------------------------------------------------
--
-- The reset generation unit.
--
-- $Id: t400_reset.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $
--
-- Copyright (c) 2006 Arnim Laeuger ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t400/
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity t400_reset is
port (
-- System Interface -------------------------------------------------------
ck_i : in std_logic;
icyc_en_i : in boolean;
por_i : in boolean;
-- Reset Interface --------------------------------------------------------
reset_n_i : in std_logic;
res_o : out boolean
);
end t400_reset;
library ieee;
use ieee.numeric_std.all;
architecture rtl of t400_reset is
type res_state_t is (IDLE,
RES1, RES2,
RES_ACTIVE);
signal res_state_q : res_state_t;
signal res_q : boolean;
begin
-----------------------------------------------------------------------------
-- Process res_fsm
--
-- Purpose:
-- Implements the reset timing/controlling FSM.
-- User's Guide chapter 2.3 requires that reset_n_i has to be low for
-- at least 3 instruction cycle times until it initializes the CPU.
--
res_fsm: process (ck_i, por_i)
begin
if por_i then
res_state_q <= IDLE;
res_q <= false;
elsif ck_i'event and ck_i = '1' then
res_q <= false;
if icyc_en_i then
case res_state_q is
when IDLE =>
if reset_n_i = '0' then
res_state_q <= RES1;
end if;
when RES1 =>
if reset_n_i = '0' then
res_state_q <= RES2;
else
res_state_q <= IDLE;
end if;
when RES2 =>
if reset_n_i = '0' then
res_state_q <= RES_ACTIVE;
else
res_state_q <= IDLE;
end if;
when RES_ACTIVE =>
res_q <= true;
if reset_n_i = '1' then
res_state_q <= IDLE;
end if;
when others =>
res_state_q <= IDLE;
end case;
end if;
end if;
end process res_fsm;
--
-----------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- Output mapping
-----------------------------------------------------------------------------
res_o <= res_q;
end rtl;
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-------------------------------------------------------------------------------
|
--
-- Adapted for FPGA Colecovision by A. Laeuger, 26-Feb-2006
--
-- Based on
--
-- A simulation model of Pacman hardware
-- VHDL conversion by MikeJ - October 2002
--
-- FPGA PACMAN video scan doubler
--
-- based on a design by Tatsuyuki Satoh
--
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS CODE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- You are responsible for any legal issues arising from your use of this code.
--
-- The latest version of this file can be found at: www.fpgaarcade.com
--
-- Email [email protected]
--
-- Revision list
--
-- version 002 initial release
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity dblscan is
port (
-- NOTE CLOCKS MUST BE PHASE LOCKED !!
clk_6m_i : in std_logic; -- input pixel clock (6MHz)
clk_en_6m_i : in std_logic;
clk_12m_i : in std_logic; -- output clock (12MHz)
clk_en_12m_i : in std_logic;
col_i : in std_logic_vector(3 downto 0);
col_o : out std_logic_vector(3 downto 0);
oddline_o : out std_logic;
hsync_n_i : in std_logic;
vsync_n_i : in std_logic;
hsync_n_o : out std_logic;
vsync_n_o : out std_logic;
hblank_o : out std_logic
);
end entity;
architecture rtl of dblscan is
--
-- input timing
--
signal hsync_n_t1_s : std_logic;
signal vsync_n_t1_s : std_logic;
signal hpos_s : std_logic_vector(8 downto 0) := (others => '0'); -- input capture postion
signal ibank_s : std_logic;
signal we_a_s : std_logic;
signal we_b_s : std_logic;
--
-- output timing
--
signal hpos_o_s : std_logic_vector(8 downto 0) := (others => '0');
signal ohs_s : std_logic;
signal ohs_t1_s : std_logic;
signal ovs_s : std_logic;
signal ovs_t1_s : std_logic;
signal obank_s : std_logic;
signal oddline_s : std_logic;
--
signal vs_cnt_s : std_logic_vector(2 downto 0);
signal vga_out_a_s : std_logic_vector(3 downto 0);
signal vga_out_b_s : std_logic_vector(3 downto 0);
begin
u_ram_a: entity work.dpram
generic map (
addr_width_g => 9,
data_width_g => 4
)
port map (
clk_a_i => clk_6m_i,
we_i => we_a_s,
addr_a_i => hpos_s,
data_a_i => col_i,
data_a_o => open,
clk_b_i => clk_12m_i,
addr_b_i => hpos_o_s,
data_b_o => vga_out_a_s
);
u_ram_b: entity work.dpram
generic map (
addr_width_g => 9,
data_width_g => 4
)
port map (
clk_a_i => clk_6m_i,
we_i => we_b_s,
addr_a_i => hpos_s,
data_a_i => col_i,
data_a_o => open,
clk_b_i => clk_12m_i,
addr_b_i => hpos_o_s,
data_b_o => vga_out_b_s
);
we_a_s <= ibank_s and clk_en_6m_i;
we_b_s <= not ibank_s and clk_en_6m_i;
p_input_timing : process(clk_6m_i)
variable rising_h_v : boolean;
variable rising_v_v : boolean;
begin
if rising_edge (clk_6m_i) then
if clk_en_6m_i = '1' then
hsync_n_t1_s <= hsync_n_i;
vsync_n_t1_s <= vsync_n_i;
rising_h_v := (hsync_n_i = '0') and (hsync_n_t1_s = '1');
rising_v_v := (vsync_n_i = '0') and (vsync_n_t1_s = '1');
if rising_v_v then
ibank_s <= '0';
elsif rising_h_v then
ibank_s <= not ibank_s;
end if;
if rising_h_v then
hpos_s <= (others => '0');
else
hpos_s <= hpos_s + "1";
end if;
end if;
end if;
end process;
p_output_timing : process (clk_12m_i)
variable rising_h_v : boolean;
begin
if rising_edge (clk_12m_i) then
if clk_en_12m_i = '1' then
rising_h_v := (ohs_s = '0') and (ohs_t1_s = '1');
if rising_h_v or (hpos_o_s = 341) then -- 341
hpos_o_s <= (others => '0');
oddline_s <= not oddline_s;
else
hpos_o_s <= hpos_o_s + "1";
end if;
if (ovs_s = '0') and (ovs_t1_s = '1') then -- rising_v_v
obank_s <= '0';
oddline_s <= '0';
vs_cnt_s <= "000";
elsif rising_h_v then
obank_s <= not obank_s;
if (vs_cnt_s(2) = '0') then
vs_cnt_s <= vs_cnt_s + "1";
end if;
end if;
ohs_s <= hsync_n_i; -- reg on clk_12m_i
ohs_t1_s <= ohs_s;
ovs_s <= vsync_n_i; -- reg on clk_12m_i
ovs_t1_s <= ovs_s;
end if;
end if;
end process;
oddline_o <= oddline_s;
p_op : process (clk_12m_i)
begin
if rising_edge(clk_12m_i) then
if clk_en_12m_i = '1' then
hsync_n_o <= '1';
if (hpos_o_s < 26) then
hsync_n_o <= '0';
end if;
hblank_o <= '0';
if hpos_o_s < 51 or hpos_o_s > 333 then
hblank_o <= '1';
end if;
if (obank_s = '1') then
col_o <= vga_out_b_s;
else
col_o <= vga_out_a_s;
end if;
vsync_n_o <= vs_cnt_s(2);
end if;
end if;
end process;
end architecture;
|
-------------------------------------------------------------------------------
-- Title : Interface for Microchip MCP3008 ADC
-- Project : Loa
-------------------------------------------------------------------------------
-- Description: Interface to Microchip's 8 channel 10-bit ADC (MCP3008).
-- Converversion started by logical 1 on start_p. '1' on done_p
-- signals completetd conversion.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.adc_mcp3008_pkg.all;
-------------------------------------------------------------------------------
entity adc_mcp3008 is
generic (
DELAY : natural := 39 -- waitstates between toggling the
-- SCK line (MCP3008 max: about 1.3
-- MHz)
);
port (
adc_out : out adc_mcp3008_spi_out_type;
adc_in : in adc_mcp3008_spi_in_type;
start_p : in std_logic; -- starts the acquisition cycle
adc_mode_p : in std_logic; -- single-ended or differential mode of ADC
channel_p : in std_logic_vector(2 downto 0); -- select channel of ADC
value_p : out std_logic_vector(9 downto 0); -- last value from ADC
done_p : out std_logic; -- conversion reads
clk : in std_logic
);
end adc_mcp3008;
-------------------------------------------------------------------------------
architecture behavioral of adc_mcp3008 is
-----------------------------------------------------------------------------
-- FSM Type declaration
-----------------------------------------------------------------------------
type adc_mcp3008_state_type is (IDLE, SCK_LOW, SCK_HIGH, HOLD_OFF);
type adc_mcp3008_type is record
state : adc_mcp3008_state_type;
csn : std_logic;
sck : std_logic;
din : std_logic_vector(9 downto 0);
done : std_logic;
countdown_delay : integer range 0 to (DELAY * 16);
countdown_bit : integer range 0 to 16;
dout : std_logic_vector(4 downto 0);
end record;
-----------------------------------------------------------------------------
-- Internal signal declarations
-----------------------------------------------------------------------------
signal r, rin : adc_mcp3008_type := (state => IDLE,
csn => '1',
sck => '0',
dout => "11111",
din => (others => '0'),
done => '0',
countdown_bit => 0,
countdown_delay => DELAY);
begin
-----------------------------------------------------------------------------
-- patch signals to outside of module
-----------------------------------------------------------------------------
-- outputs to adc
adc_out.cs_n <= r.csn;
adc_out.sck <= r.sck;
adc_out.mosi <= r.dout(4);
-- outputs
done_p <= r.done; -- signals valid data on value_p
value_p <= r.din; -- value of the last conversion fetched
-- from the ADC
-----------------------------------------------------------------------------
-- Sequential proc of FSM
-----------------------------------------------------------------------------
seq_proc : process(clk)
begin
if rising_edge(clk) then
r <= rin;
end if;
end process seq_proc;
-----------------------------------------------------------------------------
-- Transitons and actions of FSM
-----------------------------------------------------------------------------
comb_proc : process(adc_in.miso, adc_mode_p, channel_p, r, r.countdown_bit,
r.countdown_delay, r.din(8 downto 0),
r.dout(3 downto 0), r.state, start_p)
variable v : adc_mcp3008_type;
begin
v := r;
case r.state is
-------------------------------------------------------------------------
-- Idle State
-------------------------------------------------------------------------
when IDLE =>
v.csn := '1';
v.done := '0';
if start_p = '1' then
v.state := SCK_LOW;
v.sck := '0';
v.countdown_delay := DELAY;
v.countdown_bit := 16;
v.dout := '1' & adc_mode_p & channel_p;
end if;
-------------------------------------------------------------------------
-- Low period of SCK cycle
-------------------------------------------------------------------------
when SCK_LOW =>
v.csn := '0';
if r.countdown_delay = 0 then
v.state := SCK_HIGH;
v.sck := '1';
v.countdown_delay := DELAY;
-- shift in data from ADC
-- miso is an external signal but is assumed to be in sync with SCK
-- so no synchronization needed here.
v.din := r.din(8 downto 0) & adc_in.miso;
else
v.countdown_delay := v.countdown_delay -1;
end if;
-------------------------------------------------------------------------
-- High period of SCK cycle
-------------------------------------------------------------------------
when SCK_HIGH =>
if r.countdown_delay = 0 then
v.state := SCK_LOW;
v.sck := '0';
v.countdown_delay := DELAY;
v.dout := r.dout(3 downto 0) & '0';
if r.countdown_bit = 0 then
v.state := HOLD_OFF;
v.sck := '0';
v.countdown_delay := DELAY * 4;
else
v.countdown_bit := v.countdown_bit - 1;
end if;
else
v.countdown_delay := v.countdown_delay -1;
end if;
-----------------------------------------------------------------------
-- Hold Off State
-----------------------------------------------------------------------
when HOLD_OFF =>
-- this state is required as the ADC can't handle a 20ns pulse on chipselect
v.csn := '1';
if r.countdown_delay = 0 then
v.state := IDLE;
v.done := '1';
else
v.countdown_delay := v.countdown_delay -1;
end if;
end case;
rin <= v;
end process comb_proc;
end behavioral;
|
-------------------------------------------------------------------------------
-- Title : Interface for Microchip MCP3008 ADC
-- Project : Loa
-------------------------------------------------------------------------------
-- Description: Interface to Microchip's 8 channel 10-bit ADC (MCP3008).
-- Converversion started by logical 1 on start_p. '1' on done_p
-- signals completetd conversion.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.adc_mcp3008_pkg.all;
-------------------------------------------------------------------------------
entity adc_mcp3008 is
generic (
DELAY : natural := 39 -- waitstates between toggling the
-- SCK line (MCP3008 max: about 1.3
-- MHz)
);
port (
adc_out : out adc_mcp3008_spi_out_type;
adc_in : in adc_mcp3008_spi_in_type;
start_p : in std_logic; -- starts the acquisition cycle
adc_mode_p : in std_logic; -- single-ended or differential mode of ADC
channel_p : in std_logic_vector(2 downto 0); -- select channel of ADC
value_p : out std_logic_vector(9 downto 0); -- last value from ADC
done_p : out std_logic; -- conversion reads
clk : in std_logic
);
end adc_mcp3008;
-------------------------------------------------------------------------------
architecture behavioral of adc_mcp3008 is
-----------------------------------------------------------------------------
-- FSM Type declaration
-----------------------------------------------------------------------------
type adc_mcp3008_state_type is (IDLE, SCK_LOW, SCK_HIGH, HOLD_OFF);
type adc_mcp3008_type is record
state : adc_mcp3008_state_type;
csn : std_logic;
sck : std_logic;
din : std_logic_vector(9 downto 0);
done : std_logic;
countdown_delay : integer range 0 to (DELAY * 16);
countdown_bit : integer range 0 to 16;
dout : std_logic_vector(4 downto 0);
end record;
-----------------------------------------------------------------------------
-- Internal signal declarations
-----------------------------------------------------------------------------
signal r, rin : adc_mcp3008_type := (state => IDLE,
csn => '1',
sck => '0',
dout => "11111",
din => (others => '0'),
done => '0',
countdown_bit => 0,
countdown_delay => DELAY);
begin
-----------------------------------------------------------------------------
-- patch signals to outside of module
-----------------------------------------------------------------------------
-- outputs to adc
adc_out.cs_n <= r.csn;
adc_out.sck <= r.sck;
adc_out.mosi <= r.dout(4);
-- outputs
done_p <= r.done; -- signals valid data on value_p
value_p <= r.din; -- value of the last conversion fetched
-- from the ADC
-----------------------------------------------------------------------------
-- Sequential proc of FSM
-----------------------------------------------------------------------------
seq_proc : process(clk)
begin
if rising_edge(clk) then
r <= rin;
end if;
end process seq_proc;
-----------------------------------------------------------------------------
-- Transitons and actions of FSM
-----------------------------------------------------------------------------
comb_proc : process(adc_in.miso, adc_mode_p, channel_p, r, r.countdown_bit,
r.countdown_delay, r.din(8 downto 0),
r.dout(3 downto 0), r.state, start_p)
variable v : adc_mcp3008_type;
begin
v := r;
case r.state is
-------------------------------------------------------------------------
-- Idle State
-------------------------------------------------------------------------
when IDLE =>
v.csn := '1';
v.done := '0';
if start_p = '1' then
v.state := SCK_LOW;
v.sck := '0';
v.countdown_delay := DELAY;
v.countdown_bit := 16;
v.dout := '1' & adc_mode_p & channel_p;
end if;
-------------------------------------------------------------------------
-- Low period of SCK cycle
-------------------------------------------------------------------------
when SCK_LOW =>
v.csn := '0';
if r.countdown_delay = 0 then
v.state := SCK_HIGH;
v.sck := '1';
v.countdown_delay := DELAY;
-- shift in data from ADC
-- miso is an external signal but is assumed to be in sync with SCK
-- so no synchronization needed here.
v.din := r.din(8 downto 0) & adc_in.miso;
else
v.countdown_delay := v.countdown_delay -1;
end if;
-------------------------------------------------------------------------
-- High period of SCK cycle
-------------------------------------------------------------------------
when SCK_HIGH =>
if r.countdown_delay = 0 then
v.state := SCK_LOW;
v.sck := '0';
v.countdown_delay := DELAY;
v.dout := r.dout(3 downto 0) & '0';
if r.countdown_bit = 0 then
v.state := HOLD_OFF;
v.sck := '0';
v.countdown_delay := DELAY * 4;
else
v.countdown_bit := v.countdown_bit - 1;
end if;
else
v.countdown_delay := v.countdown_delay -1;
end if;
-----------------------------------------------------------------------
-- Hold Off State
-----------------------------------------------------------------------
when HOLD_OFF =>
-- this state is required as the ADC can't handle a 20ns pulse on chipselect
v.csn := '1';
if r.countdown_delay = 0 then
v.state := IDLE;
v.done := '1';
else
v.countdown_delay := v.countdown_delay -1;
end if;
end case;
rin <= v;
end process comb_proc;
end behavioral;
|
entity repro4 is
end;
architecture behav of repro4 is
type bv_array is array (natural range <>) of bit_vector;
subtype byte_array is bv_array(open)(7 downto 0);
type mrec is record
b : boolean;
data : byte_array;
end record;
signal s : mrec (data(0 to 3));
function get_val return mrec is
variable a : mrec (data(1 to 4));
begin
return a;
end get_val;
begin
process
begin
s <= get_val;
wait;
end process;
end behav;
|
-- PFD module for Betty SDR
-- implements a polar frequency discriminator
-- file: pfd.vhd
-- author: Sebastian Weiss DL3YC <[email protected]>
-- version: 1.0
--
-- change log:
-- - release implementation 1.0
-- - tested with matlab against reference implementation
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity pfd is
port (
clk : in std_logic;
stb : in std_logic;
i_in : in signed(26 downto 0);
q_in : in signed(26 downto 0);
i_out : out signed(26 downto 0);
q_out : out signed(26 downto 0);
rdy : out std_logic
);
end entity pfd;
architecture rtl of pfd is
signal i_delay : signed(26 downto 0);
signal q_delay : signed(26 downto 0);
signal i_prod0 : signed(26 downto 0);
signal i_prod1 : signed(26 downto 0);
signal q_prod0 : signed(26 downto 0);
signal q_prod1 : signed(26 downto 0);
signal stb_d : std_logic;
begin
process
begin
wait until rising_edge(clk);
if stb = '1' then
i_delay <= i_in;
q_delay <= q_in;
i_prod0 <= resize(shift_right(i_in * i_delay,26),27);
i_prod1 <= resize(shift_right(q_in * q_delay,26),27);
q_prod0 <= resize(shift_right(-i_in * q_delay,26),27);
q_prod1 <= resize(shift_right(q_in * i_delay,26),27);
end if;
i_out <= i_prod0 + i_prod1;
q_out <= q_prod0 + q_prod1;
stb_d <= stb;
rdy <= stb_d;
end process;
end architecture rtl;
|
--------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_pkg.vhd
--
-- Description:
-- This is the demo testbench package file for FIFO Generator core.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_arith.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
PACKAGE system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_pkg IS
FUNCTION divroundup (
data_value : INTEGER;
divisor : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : INTEGER;
false_case : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : STD_LOGIC;
false_case : STD_LOGIC)
RETURN STD_LOGIC;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : TIME;
false_case : TIME)
RETURN TIME;
------------------------
FUNCTION log2roundup (
data_value : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION hexstr_to_std_logic_vec(
arg1 : string;
size : integer )
RETURN std_logic_vector;
------------------------
COMPONENT system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_rng IS
GENERIC (WIDTH : integer := 8;
SEED : integer := 3);
PORT (
CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
ENABLE : IN STD_LOGIC;
RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_dgen IS
GENERIC (
C_DIN_WIDTH : INTEGER := 32;
C_DOUT_WIDTH : INTEGER := 32;
C_CH_TYPE : INTEGER := 0;
TB_SEED : INTEGER := 2
);
PORT (
RESET : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
PRC_WR_EN : IN STD_LOGIC;
FULL : IN STD_LOGIC;
WR_EN : OUT STD_LOGIC;
WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_dverif IS
GENERIC(
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_USE_EMBEDDED_REG : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
TB_SEED : INTEGER := 2
);
PORT(
RESET : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
PRC_RD_EN : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
RD_EN : OUT STD_LOGIC;
DOUT_CHK : OUT STD_LOGIC
);
END COMPONENT;
------------------------
COMPONENT system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_pctrl IS
GENERIC(
AXI_CHANNEL : STRING := "NONE";
C_APPLICATION_TYPE : INTEGER := 0;
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_WR_PNTR_WIDTH : INTEGER := 0;
C_RD_PNTR_WIDTH : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 2;
TB_SEED : INTEGER := 2
);
PORT(
RESET_WR : IN STD_LOGIC;
RESET_RD : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
FULL : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
ALMOST_FULL : IN STD_LOGIC;
ALMOST_EMPTY : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
DOUT_CHK : IN STD_LOGIC;
PRC_WR_EN : OUT STD_LOGIC;
PRC_RD_EN : OUT STD_LOGIC;
RESET_EN : OUT STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_synth IS
GENERIC(
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 0;
TB_SEED : INTEGER := 1
);
PORT(
CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_exdes IS
PORT (
CLK : IN std_logic;
DATA_COUNT : OUT std_logic_vector(3-1 DOWNTO 0);
WR_ACK : OUT std_logic;
VALID : OUT std_logic;
ALMOST_EMPTY : OUT std_logic;
SRST : IN std_logic;
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DIN : IN std_logic_vector(10-1 DOWNTO 0);
DOUT : OUT std_logic_vector(10-1 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic);
END COMPONENT;
------------------------
END system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_pkg;
PACKAGE BODY system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_pkg IS
FUNCTION divroundup (
data_value : INTEGER;
divisor : INTEGER)
RETURN INTEGER IS
VARIABLE div : INTEGER;
BEGIN
div := data_value/divisor;
IF ( (data_value MOD divisor) /= 0) THEN
div := div+1;
END IF;
RETURN div;
END divroundup;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : INTEGER;
false_case : INTEGER)
RETURN INTEGER IS
VARIABLE retval : INTEGER := 0;
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : STD_LOGIC;
false_case : STD_LOGIC)
RETURN STD_LOGIC IS
VARIABLE retval : STD_LOGIC := '0';
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : TIME;
false_case : TIME)
RETURN TIME IS
VARIABLE retval : TIME := 0 ps;
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
-------------------------------
FUNCTION log2roundup (
data_value : INTEGER)
RETURN INTEGER IS
VARIABLE width : INTEGER := 0;
VARIABLE cnt : INTEGER := 1;
BEGIN
IF (data_value <= 1) THEN
width := 1;
ELSE
WHILE (cnt < data_value) LOOP
width := width + 1;
cnt := cnt *2;
END LOOP;
END IF;
RETURN width;
END log2roundup;
------------------------------------------------------------------------------
-- hexstr_to_std_logic_vec
-- This function converts a hex string to a std_logic_vector
------------------------------------------------------------------------------
FUNCTION hexstr_to_std_logic_vec(
arg1 : string;
size : integer )
RETURN std_logic_vector IS
VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0');
VARIABLE bin : std_logic_vector(3 DOWNTO 0);
VARIABLE index : integer := 0;
BEGIN
FOR i IN arg1'reverse_range LOOP
CASE arg1(i) IS
WHEN '0' => bin := (OTHERS => '0');
WHEN '1' => bin := (0 => '1', OTHERS => '0');
WHEN '2' => bin := (1 => '1', OTHERS => '0');
WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0');
WHEN '4' => bin := (2 => '1', OTHERS => '0');
WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0');
WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0');
WHEN '7' => bin := (3 => '0', OTHERS => '1');
WHEN '8' => bin := (3 => '1', OTHERS => '0');
WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0');
WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1');
WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1');
WHEN 'B' => bin := (2 => '0', OTHERS => '1');
WHEN 'b' => bin := (2 => '0', OTHERS => '1');
WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1');
WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1');
WHEN 'D' => bin := (1 => '0', OTHERS => '1');
WHEN 'd' => bin := (1 => '0', OTHERS => '1');
WHEN 'E' => bin := (0 => '0', OTHERS => '1');
WHEN 'e' => bin := (0 => '0', OTHERS => '1');
WHEN 'F' => bin := (OTHERS => '1');
WHEN 'f' => bin := (OTHERS => '1');
WHEN OTHERS =>
FOR j IN 0 TO 3 LOOP
bin(j) := 'X';
END LOOP;
END CASE;
FOR j IN 0 TO 3 LOOP
IF (index*4)+j < size THEN
result((index*4)+j) := bin(j);
END IF;
END LOOP;
index := index + 1;
END LOOP;
RETURN result;
END hexstr_to_std_logic_vec;
END system_axi_vdma_0_wrapper_fifo_generator_v9_3_2_pkg;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1174.vhd,v 1.2 2001-10-26 16:29:39 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s06b00x00p06n01i01174ent IS
END c06s06b00x00p06n01i01174ent;
ARCHITECTURE c06s06b00x00p06n01i01174arch OF c06s06b00x00p06n01i01174ent IS
signal POS : Integer;
attribute PIO : positive;
attribute PIO of POS : signal is 10; -- No_failure_here
BEGIN
TESTING: PROCESS
BEGIN
wait for 1 ns;
assert NOT(POS'PIO = 10)
report "***PASSED TEST: c06s06b00x00p06n01i01174"
severity NOTE;
assert (POS'PIO = 10)
report "***FAILED TEST: c06s06b00x00p06n01i01174 - If the attribute designator does not denote a predefined attribute, the static expression in the attribute name must not be present."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s06b00x00p06n01i01174arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1174.vhd,v 1.2 2001-10-26 16:29:39 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s06b00x00p06n01i01174ent IS
END c06s06b00x00p06n01i01174ent;
ARCHITECTURE c06s06b00x00p06n01i01174arch OF c06s06b00x00p06n01i01174ent IS
signal POS : Integer;
attribute PIO : positive;
attribute PIO of POS : signal is 10; -- No_failure_here
BEGIN
TESTING: PROCESS
BEGIN
wait for 1 ns;
assert NOT(POS'PIO = 10)
report "***PASSED TEST: c06s06b00x00p06n01i01174"
severity NOTE;
assert (POS'PIO = 10)
report "***FAILED TEST: c06s06b00x00p06n01i01174 - If the attribute designator does not denote a predefined attribute, the static expression in the attribute name must not be present."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s06b00x00p06n01i01174arch;
|
Subsets and Splits
No saved queries yet
Save your SQL queries to embed, download, and access them later. Queries will appear here once saved.