content
stringlengths
1
1.04M
--test bench written by Alban Bourge @ TIMA library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.pkg_tb.all; entity prog is port( clock : in std_logic; reset : in std_logic; step : in std_logic; instr_next : out instruction ); end prog; architecture rtl of prog is signal instr_n : instruction := instr_rst; --Table describing fsm behavior constant fsm_behavior : table_behavior := ( --##PROGRAM_GOES_DOWN_HERE##-- 0 => (state => Rst, context_uut => "00", arg => to_unsigned(0,ARG_WIDTH)), 1 => (state => Rst, context_uut => "00", arg => to_unsigned(0,ARG_WIDTH)), 2 => (state => Sig_start, context_uut => "01", arg => to_unsigned(0,ARG_WIDTH)), 3 => (state => Ack_data, context_uut => "01", arg => to_unsigned(64,ARG_WIDTH)), 4 => (state => Cp_search, context_uut => "01", arg => to_unsigned(0,ARG_WIDTH)), 5 => (state => Idle, context_uut => "00", arg => to_unsigned(5,ARG_WIDTH)), 6 => (state => Rst_uut, context_uut => "00", arg => to_unsigned(0,ARG_WIDTH)), 7 => (state => Idle, context_uut => "00", arg => to_unsigned(5,ARG_WIDTH)), 8 => (state => Sig_start, context_uut => "10", arg => to_unsigned(0,ARG_WIDTH)), 9 => (state => Ack_data, context_uut => "10", arg => to_unsigned(64,ARG_WIDTH)), 10 => (state => Running, context_uut => "10", arg => to_unsigned(20,ARG_WIDTH)), 11 => (state => Cp_search, context_uut => "10", arg => to_unsigned(0,ARG_WIDTH)), 12 => (state => Idle, context_uut => "00", arg => to_unsigned(5,ARG_WIDTH)), 13 => (state => Rst_uut, context_uut => "00", arg => to_unsigned(0,ARG_WIDTH)), 14 => (state => Idle, context_uut => "00", arg => to_unsigned(5,ARG_WIDTH)), 15 => (state => Rest_ini0, context_uut => "01", arg => to_unsigned(0,ARG_WIDTH)), 16 => (state => Waitfor, context_uut => "01", arg => to_unsigned(64,ARG_WIDTH)), 17 => (state => Idle, context_uut => "00", arg => to_unsigned(5,ARG_WIDTH)), 18 => (state => Rst_uut, context_uut => "00", arg => to_unsigned(0,ARG_WIDTH)), 19 => (state => Idle, context_uut => "00", arg => to_unsigned(5,ARG_WIDTH)), 20 => (state => Rest_ini0, context_uut => "10", arg => to_unsigned(0,ARG_WIDTH)), 21 => (state => Waitfor, context_uut => "10", arg => to_unsigned(64,ARG_WIDTH)), 22 => (state => Stop, context_uut => "00", arg => to_unsigned(0,ARG_WIDTH)), --##PROGRAM_GOES_OVER_HERE##-- others => instr_rst); signal pc : unsigned(PC_SIZE - 1 downto 0) := (others => '0'); begin drive_state : process (reset,clock) is begin if reset = '1' then instr_n <= instr_rst; pc <= (others => '0'); elsif rising_edge(clock) then if (step = '1') then pc <= pc + 1; end if; instr_n <= fsm_behavior(to_integer(pc)); end if; end process drive_state; --instr_next <= instr_n; instr_next <= fsm_behavior(to_integer(pc)); end rtl;
-- ********************************************************************************** -- Project : MiniBlaze -- Author : Benjamin Lemoine -- Module : top_test_uart -- Date : 07/25/2016 -- -- Description : -- -- -------------------------------------------------------------------------------- -- Modifications -- -------------------------------------------------------------------------------- -- Date : Ver. : Author : Modification comments -- -------------------------------------------------------------------------------- -- : : : -- 07/25/2016 : 1.0 : B.Lemoine : First draft -- : : : -- ********************************************************************************** -- MIT License -- -- Copyright (c) 07/25/2016, Benjamin Lemoine -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in all -- copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE -- SOFTWARE. -- ********************************************************************************** library ieee; use ieee.std_logic_1164.all; package pkg_utils is function AND_VECT(vect : std_logic_vector) return std_logic; end pkg_utils; package body pkg_utils is function AND_VECT(vect : std_logic_vector) return std_logic is constant C_SIZE : integer := vect'length; variable res : std_logic := '1'; begin for i in 0 to C_SIZE-1 loop if vect(i) = '0' then res := '0'; end if; end loop; return res; end function; end;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity sub_207 is port ( result : out std_logic_vector(31 downto 0); in_a : in std_logic_vector(31 downto 0); in_b : in std_logic_vector(31 downto 0) ); end sub_207; architecture augh of sub_207 is signal carry_inA : std_logic_vector(33 downto 0); signal carry_inB : std_logic_vector(33 downto 0); signal carry_res : std_logic_vector(33 downto 0); begin -- To handle the CI input, the operation is '0' - CI -- If CI is not present, the operation is '0' - '0' carry_inA <= '0' & in_a & '0'; carry_inB <= '0' & in_b & '0'; -- Compute the result carry_res <= std_logic_vector(unsigned(carry_inA) - unsigned(carry_inB)); -- Set the outputs result <= carry_res(32 downto 1); end architecture;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity sub_207 is port ( result : out std_logic_vector(31 downto 0); in_a : in std_logic_vector(31 downto 0); in_b : in std_logic_vector(31 downto 0) ); end sub_207; architecture augh of sub_207 is signal carry_inA : std_logic_vector(33 downto 0); signal carry_inB : std_logic_vector(33 downto 0); signal carry_res : std_logic_vector(33 downto 0); begin -- To handle the CI input, the operation is '0' - CI -- If CI is not present, the operation is '0' - '0' carry_inA <= '0' & in_a & '0'; carry_inB <= '0' & in_b & '0'; -- Compute the result carry_res <= std_logic_vector(unsigned(carry_inA) - unsigned(carry_inB)); -- Set the outputs result <= carry_res(32 downto 1); end architecture;
------------------------------------------------------------------------------- -- lmb_v10.vhd - Entity and architecture ------------------------------------------------------------------------------- -- -- (c) Copyright [2003] - [2011] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES -- ------------------------------------------------------------------------------- -- Filename: lmb_v10.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- lmb_v10.vhd -- ------------------------------------------------------------------------------- -- Author: rolandp -- -- History: -- goran 2002-01-30 First Version -- paulo 2002-04-10 Renamed C_NUM_SLAVES to C_LMB_NUM_SLAVES -- roland 2010-02-13 UE, CE and Wait signals added -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity lmb_v10 is generic ( C_LMB_NUM_SLAVES : integer := 4; C_LMB_DWIDTH : integer := 32; C_LMB_AWIDTH : integer := 32; C_EXT_RESET_HIGH : integer := 1 ); port ( -- Global Ports LMB_Clk : in std_logic; SYS_Rst : in std_logic; LMB_Rst : out std_logic; -- LMB master signals M_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); M_ReadStrobe : in std_logic; M_WriteStrobe : in std_logic; M_AddrStrobe : in std_logic; M_DBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); M_BE : in std_logic_vector(0 to (C_LMB_DWIDTH+7)/8-1); -- LMB slave signals Sl_DBus : in std_logic_vector(0 to (C_LMB_DWIDTH*C_LMB_NUM_SLAVES)-1); Sl_Ready : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); Sl_Wait : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); Sl_UE : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); Sl_CE : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); -- LMB output signals LMB_ABus : out std_logic_vector(0 to C_LMB_AWIDTH-1); LMB_ReadStrobe : out std_logic; LMB_WriteStrobe : out std_logic; LMB_AddrStrobe : out std_logic; LMB_ReadDBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); LMB_WriteDBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); LMB_Ready : out std_logic; LMB_Wait : out std_logic; LMB_UE : out std_logic; LMB_CE : out std_logic; LMB_BE : out std_logic_vector(0 to (C_LMB_DWIDTH+7)/8-1) ); end entity lmb_v10; library unisim; use unisim.all; architecture IMP of lmb_v10 is component FDS is port( Q : out std_logic; D : in std_logic; C : in std_logic; S : in std_logic); end component FDS; signal sys_rst_i : std_logic; begin -- architecture IMP ----------------------------------------------------------------------------- -- Driving the reset signal ----------------------------------------------------------------------------- SYS_RST_PROC : process (SYS_Rst) is variable sys_rst_input : std_logic; begin if C_EXT_RESET_HIGH = 0 then sys_rst_input := not SYS_Rst; else sys_rst_input := SYS_Rst; end if; sys_rst_i <= sys_rst_input; end process SYS_RST_PROC; POR_FF_I : FDS port map ( Q => LMB_Rst, D => '0', C => LMB_Clk, S => sys_rst_i); ----------------------------------------------------------------------------- -- Drive all Master to Slave signals ----------------------------------------------------------------------------- LMB_ABus <= M_ABus; LMB_ReadStrobe <= M_ReadStrobe; LMB_WriteStrobe <= M_WriteStrobe; LMB_AddrStrobe <= M_AddrStrobe; LMB_BE <= M_BE; LMB_WriteDBus <= M_DBus; ----------------------------------------------------------------------------- -- Drive all the Slave to Master signals ----------------------------------------------------------------------------- Ready_ORing : process (Sl_Ready) is variable i : std_logic; begin -- process Ready_ORing i := '0'; for S in Sl_Ready'range loop i := i or Sl_Ready(S); end loop; -- S LMB_Ready <= i; end process Ready_ORing; Wait_ORing : process (Sl_Wait) is variable i : std_logic; begin -- process Wait_ORing i := '0'; for S in Sl_Wait'range loop i := i or Sl_Wait(S); end loop; -- S LMB_Wait <= i; end process Wait_ORing; SI_UE_ORing : process (Sl_UE) is variable i : std_logic; begin -- process UE_ORing i := '0'; for S in Sl_UE'range loop i := i or Sl_UE(S); end loop; -- S LMB_UE <= i; end process SI_UE_ORing; SI_CE_ORing : process (Sl_CE) is variable i : std_logic; begin -- process CE_ORing i := '0'; for S in Sl_CE'range loop i := i or Sl_CE(S); end loop; -- S LMB_CE <= i; end process SI_CE_ORing; DBus_Oring : process (Sl_Ready, Sl_DBus) is variable Res : std_logic_vector(0 to C_LMB_DWIDTH-1); variable Tmp : std_logic_vector(Sl_DBus'range); variable tmp_or : std_logic; begin -- process DBus_Oring if (C_LMB_NUM_SLAVES = 1) then LMB_ReadDBus <= Sl_DBus; else -- First gating all data signals with their resp. ready signal for I in 0 to C_LMB_NUM_SLAVES-1 loop for J in 0 to C_LMB_DWIDTH-1 loop tmp(I*C_LMB_DWIDTH + J) := Sl_Ready(I) and Sl_DBus(I*C_LMB_DWIDTH + J); end loop; -- J end loop; -- I -- then oring the tmp signals together for J in 0 to C_LMB_DWIDTH-1 loop tmp_or := '0'; for I in 0 to C_LMB_NUM_SLAVES-1 loop tmp_or := tmp_or or tmp(I*C_LMB_DWIDTH + j); end loop; -- J res(J) := tmp_or; end loop; -- I LMB_ReadDBus <= Res; end if; end process DBus_Oring; end architecture IMP;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: rd_fifo_256to64_tb.vhd -- -- Description: -- This is the demo testbench top file for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; LIBRARY std; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.std_logic_misc.ALL; USE ieee.numeric_std.ALL; USE ieee.std_logic_textio.ALL; USE std.textio.ALL; LIBRARY work; USE work.rd_fifo_256to64_pkg.ALL; ENTITY rd_fifo_256to64_tb IS END ENTITY; ARCHITECTURE rd_fifo_256to64_arch OF rd_fifo_256to64_tb IS SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; SIGNAL wr_clk : STD_LOGIC; SIGNAL rd_clk : STD_LOGIC; SIGNAL reset : STD_LOGIC; SIGNAL sim_done : STD_LOGIC := '0'; SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); -- Write and Read clock periods CONSTANT wr_clk_period_by_2 : TIME := 100 ns; CONSTANT rd_clk_period_by_2 : TIME := 200 ns; -- Procedures to display strings PROCEDURE disp_str(CONSTANT str:IN STRING) IS variable dp_l : line := null; BEGIN write(dp_l,str); writeline(output,dp_l); END PROCEDURE; PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS variable dp_lx : line := null; BEGIN hwrite(dp_lx,hex); writeline(output,dp_lx); END PROCEDURE; BEGIN -- Generation of clock PROCESS BEGIN WAIT FOR 200 ns; -- Wait for global reset WHILE 1 = 1 LOOP wr_clk <= '0'; WAIT FOR wr_clk_period_by_2; wr_clk <= '1'; WAIT FOR wr_clk_period_by_2; END LOOP; END PROCESS; PROCESS BEGIN WAIT FOR 400 ns;-- Wait for global reset WHILE 1 = 1 LOOP rd_clk <= '0'; WAIT FOR rd_clk_period_by_2; rd_clk <= '1'; WAIT FOR rd_clk_period_by_2; END LOOP; END PROCESS; -- Generation of Reset PROCESS BEGIN reset <= '1'; WAIT FOR 4200 ns; reset <= '0'; WAIT; END PROCESS; -- Error message printing based on STATUS signal from rd_fifo_256to64_synth PROCESS(status) BEGIN IF(status /= "0" AND status /= "1") THEN disp_str("STATUS:"); disp_hex(status); END IF; IF(status(7) = '1') THEN assert false report "Data mismatch found" severity error; END IF; IF(status(1) = '1') THEN END IF; IF(status(5) = '1') THEN assert false report "Empty flag Mismatch/timeout" severity error; END IF; IF(status(6) = '1') THEN assert false report "Full Flag Mismatch/timeout" severity error; END IF; END PROCESS; PROCESS BEGIN wait until sim_done = '1'; IF(status /= "0" AND status /= "1") THEN assert false report "Simulation failed" severity failure; ELSE assert false report "Test Completed Successfully" severity failure; END IF; END PROCESS; PROCESS BEGIN wait for 400 ms; assert false report "Test bench timed out" severity failure; END PROCESS; -- Instance of rd_fifo_256to64_synth rd_fifo_256to64_synth_inst:rd_fifo_256to64_synth GENERIC MAP( FREEZEON_ERROR => 0, TB_STOP_CNT => 2, TB_SEED => 68 ) PORT MAP( WR_CLK => wr_clk, RD_CLK => rd_clk, RESET => reset, SIM_DONE => sim_done, STATUS => status ); END ARCHITECTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.UMDRISC_pkg.ALL; entity MUX_2to1 is Port ( SEL : in STD_LOGIC; -- 2 bits IN_1 : in STD_LOGIC_VECTOR (DATA_WIDTH-1 downto 0); IN_2 : in STD_LOGIC_VECTOR (DATA_WIDTH-1 downto 0); OUTPUT : out STD_LOGIC_VECTOR (DATA_WIDTH-1 downto 0) ); end MUX_2to1; architecture Behavioral of MUX_2to1 is begin OUTPUT<=IN_1 when SEL='0' ELSE IN_2; end Behavioral;
-- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018 -- Date : Sun Sep 22 02:34:39 2019 -- Host : varun-laptop running 64-bit Service Pack 1 (build 7601) -- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ zybo_zynq_design_hls_macc_0_0_stub.vhdl -- Design : zybo_zynq_design_hls_macc_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z010clg400-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is Port ( s_axi_HLS_MACC_PERIPH_BUS_AWADDR : in STD_LOGIC_VECTOR ( 5 downto 0 ); s_axi_HLS_MACC_PERIPH_BUS_AWVALID : in STD_LOGIC; s_axi_HLS_MACC_PERIPH_BUS_AWREADY : out STD_LOGIC; s_axi_HLS_MACC_PERIPH_BUS_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_HLS_MACC_PERIPH_BUS_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_HLS_MACC_PERIPH_BUS_WVALID : in STD_LOGIC; s_axi_HLS_MACC_PERIPH_BUS_WREADY : out STD_LOGIC; s_axi_HLS_MACC_PERIPH_BUS_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_HLS_MACC_PERIPH_BUS_BVALID : out STD_LOGIC; s_axi_HLS_MACC_PERIPH_BUS_BREADY : in STD_LOGIC; s_axi_HLS_MACC_PERIPH_BUS_ARADDR : in STD_LOGIC_VECTOR ( 5 downto 0 ); s_axi_HLS_MACC_PERIPH_BUS_ARVALID : in STD_LOGIC; s_axi_HLS_MACC_PERIPH_BUS_ARREADY : out STD_LOGIC; s_axi_HLS_MACC_PERIPH_BUS_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_HLS_MACC_PERIPH_BUS_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_HLS_MACC_PERIPH_BUS_RVALID : out STD_LOGIC; s_axi_HLS_MACC_PERIPH_BUS_RREADY : in STD_LOGIC; ap_clk : in STD_LOGIC; ap_rst_n : in STD_LOGIC; interrupt : out STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "s_axi_HLS_MACC_PERIPH_BUS_AWADDR[5:0],s_axi_HLS_MACC_PERIPH_BUS_AWVALID,s_axi_HLS_MACC_PERIPH_BUS_AWREADY,s_axi_HLS_MACC_PERIPH_BUS_WDATA[31:0],s_axi_HLS_MACC_PERIPH_BUS_WSTRB[3:0],s_axi_HLS_MACC_PERIPH_BUS_WVALID,s_axi_HLS_MACC_PERIPH_BUS_WREADY,s_axi_HLS_MACC_PERIPH_BUS_BRESP[1:0],s_axi_HLS_MACC_PERIPH_BUS_BVALID,s_axi_HLS_MACC_PERIPH_BUS_BREADY,s_axi_HLS_MACC_PERIPH_BUS_ARADDR[5:0],s_axi_HLS_MACC_PERIPH_BUS_ARVALID,s_axi_HLS_MACC_PERIPH_BUS_ARREADY,s_axi_HLS_MACC_PERIPH_BUS_RDATA[31:0],s_axi_HLS_MACC_PERIPH_BUS_RRESP[1:0],s_axi_HLS_MACC_PERIPH_BUS_RVALID,s_axi_HLS_MACC_PERIPH_BUS_RREADY,ap_clk,ap_rst_n,interrupt"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "hls_macc,Vivado 2018.2"; begin end;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 13:30:30 07/20/2015 -- Design Name: -- Module Name: FPGA0 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity FPGA0 is Port ( k0 : in STD_LOGIC_VECTOR (7 downto 0); k1 : in STD_LOGIC_VECTOR (7 downto 0); k2 : in STD_LOGIC_VECTOR (7 downto 0); k3 : in STD_LOGIC_VECTOR (7 downto 0); k4 : in STD_LOGIC_VECTOR (7 downto 0); s0 : out STD_LOGIC_VECTOR (7 downto 0); s1 : out STD_LOGIC_VECTOR (7 downto 0); s2 : out STD_LOGIC_VECTOR (7 downto 0); s3 : out STD_LOGIC_VECTOR (7 downto 0); s4 : out STD_LOGIC_VECTOR (7 downto 0); s5 : out STD_LOGIC_VECTOR (7 downto 0); A : out STD_LOGIC_VECTOR (7 downto 0); B : out STD_LOGIC_VECTOR (7 downto 0)); end FPGA0; architecture Behavioral of FPGA0 is begin process(k0, k1, k2, k3, k4) begin s0 <= k0; s3 <= k0; s1 <= k1; s4 <= k1; s2 <= k2; s5 <= k2; B <= k3; A <= k4; end process; end Behavioral;
----------------------------------------------------------------------------- --! @file --! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov - [email protected] --! @brief System Top level modules and interconnect declarations. ----------------------------------------------------------------------------- --! Standard library. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library commonlib; use commonlib.types_common.all; --! Technology definition library. library techmap; use techmap.gencomp.all; --! CPU, System Bus and common peripheries library. library ambalib; use ambalib.types_amba4.all; --! @brief Declaration of components visible on SoC top level. package types_rocket is --! @name Scala inherited constants. --! @brief The following constants were define in Rocket-chip generator. --! @{ --! @brief Bits allocated for the memory tag value. --! @details This value is defined \i Config.scala and depends of others --! configuration paramters, like number of master, clients, channels --! and so on. It is not used in VHDL implemenation. constant MEM_TAG_BITS : integer := 6; --! @brief SCALA generated value. Not used in VHDL. constant MEM_ADDR_BITS : integer := 26; --! @brief Multiplexing HTIF bus data width. --! @details Not used in a case of disabled L2 cache. --! If L2 cached is enabled this value defines bitwise of the bus --! between \i Uncore module and external transievers. --! Standard message size for the HTID request is 128 bits, so this --! value defines number of beats required to transmit/recieve such --! message. constant HTIF_WIDTH : integer := 16; --! @} --! @name HostIO modules unique IDs. --! @{ --! Interrupt controller constant CFG_HTIF_SRC_IRQCTRL : integer := 0; --! Debug Support Unit (DSU) constant CFG_HTIF_SRC_DSU : integer := CFG_HTIF_SRC_IRQCTRL + 1; --! Total number of HostIO initiators. constant CFG_HTIF_SRC_TOTAL : integer := CFG_HTIF_SRC_DSU + 1; --! @} --! @name Memory Transaction types. --! @details TileLinkIO interface uses these constant to identify the payload --! size of the transaction. --! @{ constant MT_B : integer := 0; --! int8_t Memory Transaction. constant MT_H : integer := 1; --! int16_t Memory Transaction. constant MT_W : integer := 2; --! int32_t Memory Transaction. constant MT_D : integer := 3; --! int64_t Memory Transaction. constant MT_BU : integer := 4; --! uint8_t Memory Transaction. constant MT_HU : integer := 5; --! uint16_t Memory Transaction. constant MT_WU : integer := 6; --! uint32_t Memory Transaction. constant MT_Q : integer := 7; --! AXI data-width Memory Transaction (default 128-bits). --! @} --! @brief Memory operation types --! @details The union bits [5:1] contains information about current transaction constant M_XRD : std_logic_vector(4 downto 0) := "00000"; --! int load constant M_XWR : std_logic_vector(4 downto 0) := "00001"; --! int store constant M_PFR : std_logic_vector(4 downto 0) := "00010"; --! prefetch with intent to read constant M_PFW : std_logic_vector(4 downto 0) := "00011"; --! prefetch with intent to write constant M_XA_SWAP : std_logic_vector(4 downto 0) := "00100"; constant M_NOP : std_logic_vector(4 downto 0) := "00101"; constant M_XLR : std_logic_vector(4 downto 0) := "00110"; constant M_XSC : std_logic_vector(4 downto 0) := "00111"; constant M_XA_ADD : std_logic_vector(4 downto 0) := "01000"; constant M_XA_XOR : std_logic_vector(4 downto 0) := "01001"; constant M_XA_OR : std_logic_vector(4 downto 0) := "01010"; constant M_XA_AND : std_logic_vector(4 downto 0) := "01011"; constant M_XA_MIN : std_logic_vector(4 downto 0) := "01100"; constant M_XA_MAX : std_logic_vector(4 downto 0) := "01101"; constant M_XA_MINU : std_logic_vector(4 downto 0) := "01110"; constant M_XA_MAXU : std_logic_vector(4 downto 0) := "01111"; constant M_FLUSH : std_logic_vector(4 downto 0) := "10000"; --! write back dirty data and cede R/W permissions constant M_PRODUCE : std_logic_vector(4 downto 0) := "10001"; --! write back dirty data and cede W permissions constant M_CLEAN : std_logic_vector(4 downto 0) := "10011"; --! write back dirty data and retain R/W permissions function isAMO(cmd : std_logic_vector(4 downto 0)) return std_logic; --def isPrefetch(cmd: UInt) = cmd === M_PFR || cmd === M_PFW --def isRead(cmd: UInt) = cmd === M_XRD || cmd === M_XLR || cmd === M_XSC || isAMO(cmd) function isWrite(cmd : std_logic_vector(4 downto 0)) return std_logic; --def isWriteIntent(cmd: UInt) = isWrite(cmd) || cmd === M_PFW || cmd === M_XLR --! <tilelink.scala> Object Acquire {} constant ACQUIRE_GET_SINGLE_DATA_BEAT : std_logic_vector(2 downto 0) := "000"; constant ACQUIRE_GET_BLOCK_DATA : std_logic_vector(2 downto 0) := "001"; -- constant ACQUIRE_PUT_SINGLE_DATA_BEAT : std_logic_vector(2 downto 0) := "010"; -- Single beat data. constant ACQUIRE_PUT_BLOCK_DATA : std_logic_vector(2 downto 0) := "011"; -- For acMultibeat data. constant ACQUIRE_PUT_ATOMIC_DATA : std_logic_vector(2 downto 0) := "100"; -- Single beat data. 64 bits width constant ACQUIRE_PREFETCH_BLOCK : std_logic_vector(2 downto 0) := "101"; --! <tilelink.scala> Object Grant {} constant GRANT_ACK_RELEASE : std_logic_vector(3 downto 0) := "0000"; -- For acking Releases constant GRANT_ACK_PREFETCH : std_logic_vector(3 downto 0) := "0001"; -- For acking any kind of Prefetch constant GRANT_ACK_NON_PREFETCH_PUT : std_logic_vector(3 downto 0) := "0011"; -- For acking any kind of non-prfetch Put constant GRANT_SINGLE_BEAT_GET : std_logic_vector(3 downto 0) := "0100"; -- Supplying a single beat of Get constant GRANT_BLOCK_GET : std_logic_vector(3 downto 0) := "0101"; -- Supplying all beats of a GetBlock --! MESI coherence constant CACHED_ACQUIRE_SHARED : std_logic_vector(2 downto 0) := "000"; -- get constant CACHED_ACQUIRE_EXCLUSIVE : std_logic_vector(2 downto 0) := "001"; -- put constant CACHED_GRANT_SHARED : std_logic_vector(3 downto 0) := "0000"; constant CACHED_GRANT_EXCLUSIVE : std_logic_vector(3 downto 0) := "0001"; constant CACHED_GRANT_EXCLUSIVE_ACK : std_logic_vector(3 downto 0) := "0010"; --! @brief Memory Operation size decoder --! @details TileLink bus has encoded Memory Operation size --! in the union[8:6] bits of the acquire request. constant MEMOP_XSIZE_TOTAL : integer := 8; type memop_xsize_type is array (0 to MEMOP_XSIZE_TOTAL-1) of std_logic_vector(2 downto 0); constant opSizeToXSize : memop_xsize_type := ( MT_B => "000", MT_BU => "000", MT_H => "001", MT_HU => "001", MT_W => "010", MT_WU => "010", --! unimplemented in scala MT_D => "011", MT_Q => conv_std_logic_vector(log2(CFG_NASTI_DATA_BYTES),3) ); type tile_cached_in_type is record acquire_ready : std_logic; grant_valid : std_logic; grant_bits_addr_beat : std_logic_vector(1 downto 0); --! client's transaction id grant_bits_client_xact_id : std_logic_vector(1 downto 0); grant_bits_manager_xact_id : std_logic_vector(3 downto 0); grant_bits_is_builtin_type : std_logic; grant_bits_g_type : std_logic_vector(3 downto 0); grant_bits_data : std_logic_vector(127 downto 0); probe_valid : std_logic; probe_bits_addr_block : std_logic_vector(25 downto 0); probe_bits_p_type : std_logic_vector(1 downto 0); release_ready : std_logic; end record; type tile_cached_out_type is record acquire_valid : std_logic; acquire_bits_addr_block : std_logic_vector(25 downto 0); acquire_bits_client_xact_id : std_logic_vector(1 downto 0); acquire_bits_addr_beat : std_logic_vector(1 downto 0); acquire_bits_is_builtin_type : std_logic; acquire_bits_a_type : std_logic_vector(2 downto 0); acquire_bits_union : std_logic_vector(16 downto 0); acquire_bits_data : std_logic_vector(127 downto 0); grant_ready : std_logic; probe_ready : std_logic; release_valid : std_logic; release_bits_addr_beat : std_logic_vector(1 downto 0); release_bits_addr_block : std_logic_vector(25 downto 0); release_bits_client_xact_id : std_logic_vector(1 downto 0); release_bits_r_type : std_logic_vector(2 downto 0); release_bits_voluntary : std_logic; release_bits_data : std_logic_vector(127 downto 0); end record; --! HostIO tile input signals type host_in_type is record grant : std_logic_vector(CFG_HTIF_SRC_TOTAL-1 downto 0); csr_req_ready : std_logic; csr_resp_valid : std_logic; csr_resp_bits : std_logic_vector(63 downto 0); debug_stats_csr : std_logic; end record; --! HostIO tile output signals type host_out_type is record reset : std_logic; id : std_logic; csr_req_valid : std_logic; csr_req_bits_rw : std_logic; csr_req_bits_addr : std_logic_vector(11 downto 0); csr_req_bits_data : std_logic_vector(63 downto 0); csr_resp_ready : std_logic; end record; --! Full stack of HostIO output signals from all devices. type host_out_vector is array (0 to CFG_HTIF_SRC_TOTAL-1) of host_out_type; --! @brief Empty output signals of HostIO interface. --! @details If device was included in the owners of the HostIO interface and --! was disabled by configuration parameter (for example) then its --! outputs must be assigned to this empty signals otherwise --! RTL simulation will fail with undefined states of the processor. constant host_out_none : host_out_type := ( '0', '0', '0', '0', (others => '0'), (others => '0'), '0'); --! @brief Decode Acquire request from the Cached/Uncached TileLink --! @param[in] a_type Request type depends of the built_in flag --! @param[in] built_in This flag defines cached or uncached request. For --! the uncached this value is set to 1. --! @param[in] u Union bits. This value is decoding depending of --! types operation (rd/wr) and cached/uncached. procedure procedureDecodeTileAcquire ( a_type : in std_logic_vector(2 downto 0); built_in : in std_logic; u : in std_logic_vector(16 downto 0); write : out std_logic; wmask : out std_logic_vector(CFG_NASTI_DATA_BYTES-1 downto 0); axi_sz : out std_logic_vector(2 downto 0); byte_addr : out std_logic_vector(3 downto 0); beat_cnt : out integer ); --! @brief HostIO (HTIF) controller declaration. --! @details This device provides multiplexing of the Host messages --! from several sources (interrupt controller, ethernet MAC, --! Debug Support Unit and others) on HostIO bus that is --! specific for Rocket-chip implementation of RISC-V. --! @todo Make htifii as a vector to support multi-cores --! configuration. component htifctrl is port ( clk : in std_logic; nrst : in std_logic; srcsi : in host_out_vector; srcso : out host_out_type; htifii : in host_in_type; htifio : out host_in_type ); end component; --! @brief HTIF serializer input. --! @details In a case of using L2-cache, 'Uncore' module implements --! additional layer of the transformation of 128-bits HTIF --! messages into chunks of HTIF_WIDTH. So we have to --! implement the same serdes on upper level. type htif_serdes_in_type is record --! Chunk was accepted by Uncore subsytem. ready : std_logic; --! Current chunk output is valid valid : std_logic; --! Chunk bits itself. bits : std_logic_vector(HTIF_WIDTH-1 downto 0); end record; --! @brief HTIF serializer output. type htif_serdes_out_type is record valid : std_logic; bits : std_logic_vector(HTIF_WIDTH-1 downto 0); ready : std_logic; end record; --! @brief RocketTile component declaration. --! @details This module implements Risc-V Core with L1-cache, --! branch predictor and other stuffs of the RocketTile. --! @param[in] xindex1 Cached Tile AXI master index --! @param[in] xindex2 Uncached Tile AXI master index --! @param[in] rst Reset signal with active HIGH level. --! @param[in] soft_rst Software Reset via DSU --! @param[in] clk_sys System clock (BUS/CPU clock). --! @param[in] slvo Bus-to-Slave device signals. --! @param[in] msti Bus-to-Master device signals. --! @param[out] msto1 CachedTile-to-Bus request signals. --! @param[out] msto2 UncachedTile-to-Bus request signals. --! @param[in] htifoi Requests from the HostIO-connected devices. --! @param[out] htifio Response to HostIO-connected devices. component rocket_l1only is generic ( xindex1 : integer := 0; xindex2 : integer := 1 ); port ( rst : in std_logic; soft_rst : in std_logic; clk_sys : in std_logic; slvo : in nasti_slave_in_type; msti : in nasti_master_in_type; msto1 : out nasti_master_out_type; mstcfg1 : out nasti_master_config_type; msto2 : out nasti_master_out_type; mstcfg2 : out nasti_master_config_type; htifoi : in host_out_type; htifio : out host_in_type ); end component; --! @brief RocketTile + Uncore component declaration. --! @details This module implements Risc-V Core with L1-cache, --! branch predictor and other stuffs of the RocketTile. --! @param[in] xindex1 Cached Tile AXI master index --! @param[in] xindex2 Uncached Tile AXI master index --! @param[in] rst Reset signal with active HIGH level. --! @param[in] soft_rst Software Reset via DSU --! @param[in] clk_sys System clock (BUS/CPU clock). --! @param[in] slvo Bus-to-Slave device signals. --! @param[in] msti Bus-to-Master device signals. --! @param[out] msto1 CachedTile-to-Bus request signals. --! @param[out] msto2 UncachedTile-to-Bus request signals. --! @param[in] htifoi Requests from the HostIO-connected devices. --! @param[out] htifio Response to HostIO-connected devices. component rocket_l2cache is generic ( xindex1 : integer := 0; xindex2 : integer := 1 ); port ( rst : in std_logic; soft_rst : in std_logic; clk_sys : in std_logic; slvo : in nasti_slave_in_type; msti : in nasti_master_in_type; msto1 : out nasti_master_out_type; mstcfg1 : out nasti_master_config_type; msto2 : out nasti_master_out_type; mstcfg2 : out nasti_master_config_type; htifoi : in host_out_type; htifio : out host_in_type ); end component; --! @brief SOC global reset former. --! @details This module produces output reset signal in a case if --! button 'Reset' was pushed or PLL isn't a 'lock' state. --! param[in] inSysReset Button generated signal --! param[in] inSysClk Clock from the PLL. Bus clock. --! param[in] inPllLock PLL status. --! param[out] outReset Output reset signal with active 'High' (1 = reset). component reset_global port ( inSysReset : in std_ulogic; inSysClk : in std_ulogic; inPllLock : in std_ulogic; outReset : out std_ulogic ); end component; --! Boot ROM with AXI4 interface declaration. component nasti_bootrom is generic ( memtech : integer := inferred; xindex : integer := 0; xaddr : integer := 0; xmask : integer := 16#fffff#; sim_hexfile : string ); port ( clk : in std_logic; nrst : in std_logic; cfg : out nasti_slave_config_type; i : in nasti_slave_in_type; o : out nasti_slave_out_type ); end component; --! AXI4 ROM with the default FW version declaration. component nasti_romimage is generic ( memtech : integer := inferred; xindex : integer := 0; xaddr : integer := 0; xmask : integer := 16#fffff#; sim_hexfile : string ); port ( clk : in std_logic; nrst : in std_logic; cfg : out nasti_slave_config_type; i : in nasti_slave_in_type; o : out nasti_slave_out_type ); end component; --! Internal RAM with AXI4 interface declaration. component nasti_sram is generic ( memtech : integer := inferred; xindex : integer := 0; xaddr : integer := 0; xmask : integer := 16#fffff#; abits : integer := 17; init_file : string := "" -- only for 'inferred' ); port ( clk : in std_logic; nrst : in std_logic; cfg : out nasti_slave_config_type; i : in nasti_slave_in_type; o : out nasti_slave_out_type ); end component; --! @brief NASTI (AXI4) GPIO controller component nasti_gpio is generic ( xindex : integer := 0; xaddr : integer := 0; xmask : integer := 16#fffff# ); port ( clk : in std_logic; nrst : in std_logic; cfg : out nasti_slave_config_type; i : in nasti_slave_in_type; o : out nasti_slave_out_type; i_glip : in std_logic_vector(31 downto 0); o_glip : out std_logic_vector(31 downto 0) ); end component; type uart_in_type is record rd : std_ulogic; cts : std_ulogic; end record; type uart_out_type is record td : std_ulogic; rts : std_ulogic; end record; --! UART with the AXI4 interface declaration. component nasti_uart is generic ( xindex : integer := 0; xaddr : integer := 0; xmask : integer := 16#fffff#; fifosz : integer := 16 ); port ( clk : in std_logic; nrst : in std_logic; cfg : out nasti_slave_config_type; i_uart : in uart_in_type; o_uart : out uart_out_type; i_axi : in nasti_slave_in_type; o_axi : out nasti_slave_out_type; o_irq : out std_logic); end component; --! @brief Interrupt controller with the AXI4 interface declaration. --! @details To rise interrupt on certain CPU HostIO interface is used. component nasti_irqctrl is generic ( xindex : integer := 0; xaddr : integer := 0; xmask : integer := 16#fffff#; htif_index : integer := 0 ); port ( clk : in std_logic; nrst : in std_logic; i_irqs : in std_logic_vector(CFG_IRQ_TOTAL-1 downto 0); o_cfg : out nasti_slave_config_type; i_axi : in nasti_slave_in_type; o_axi : out nasti_slave_out_type; i_host : in host_in_type; o_host : out host_out_type ); end component; --! @brief Declaration of the Debug Support Unit with the AXI interface. --! @details This module provides access to processors CSRs via HostIO bus. component nasti_dsu is generic ( xindex : integer := 0; xaddr : integer := 0; xmask : integer := 16#fffff#; htif_index : integer := 0 ); port ( clk : in std_logic; nrst : in std_logic; o_cfg : out nasti_slave_config_type; i_axi : in nasti_slave_in_type; o_axi : out nasti_slave_out_type; i_host : in host_in_type; o_host : out host_out_type; o_soft_reset : out std_logic ); end component; --! @brief General Purpose Timers with the AXI interface. --! @details This module provides high precision counter and --! generic number of GP timers. component nasti_gptimers is generic ( xindex : integer := 0; xaddr : integer := 0; xmask : integer := 16#fffff#; tmr_total : integer := 2 ); port ( clk : in std_logic; nrst : in std_logic; cfg : out nasti_slave_config_type; i_axi : in nasti_slave_in_type; o_axi : out nasti_slave_out_type; o_irq : out std_logic ); end component; --! @brief Plug-n-Play support module with AXI4 interface declaration. --! @details Each device in a system hase to implements sideband signal --! structure 'nasti_slave_config_type' that allows FW to --! detect Hardware configuration in a run-time. --! @todo Implements PnP signals for all Masters devices. component nasti_pnp is generic ( xindex : integer := 0; xaddr : integer := 0; xmask : integer := 16#fffff#; tech : integer := 0 ); port ( sys_clk : in std_logic; adc_clk : in std_logic; nrst : in std_logic; mstcfg : in nasti_master_cfg_vector; slvcfg : in nasti_slave_cfg_vector; cfg : out nasti_slave_config_type; i : in nasti_slave_in_type; o : out nasti_slave_out_type ); end component; end; -- package declaration --! ----------------- package body types_rocket is function isAMO(cmd : std_logic_vector(4 downto 0)) return std_logic is variable t1 : std_logic; begin t1 := '0'; if cmd = M_XA_SWAP then t1 := '1'; end if; return (cmd(3) or t1); end; function isWrite(cmd : std_logic_vector(4 downto 0)) return std_logic is variable ret : std_logic; begin ret := isAMO(cmd); if cmd = M_XWR then ret := '1'; end if; if cmd = M_XSC then ret := '1'; end if; return (ret); end; --! @brief Decode Acquire request from the Cached/Uncached TileLink --! @param[in] a_type Request type depends of the built_in flag --! @param[in] built_in This flag defines cached or uncached request. For --! the uncached this value is set to 1. --! @param[in] u Union bits. This value is decoding depending of --! types operation (rd/wr) and cached/uncached. procedure procedureDecodeTileAcquire( a_type : in std_logic_vector(2 downto 0); built_in : in std_logic; u : in std_logic_vector(16 downto 0); write : out std_logic; wmask : out std_logic_vector(CFG_NASTI_DATA_BYTES-1 downto 0); axi_sz : out std_logic_vector(2 downto 0); byte_addr : out std_logic_vector(3 downto 0); beat_cnt : out integer ) is begin if built_in = '1' then -- Cached request case a_type is when ACQUIRE_GET_SINGLE_DATA_BEAT => write := '0'; wmask := (others => '0'); byte_addr := u(12 downto 9);--tst.block.byte_addr; axi_sz := opSizeToXSize(conv_integer(u(8 downto 6))); beat_cnt := 0; when ACQUIRE_PREFETCH_BLOCK | ACQUIRE_GET_BLOCK_DATA => -- cache line size / data bits width write := '0'; wmask := (others => '0'); byte_addr := (others => '0'); axi_sz := conv_std_logic_vector(CFG_NASTI_ADDR_OFFSET,3); beat_cnt := 3;--tlDataBeats-1; when ACQUIRE_PUT_SINGLE_DATA_BEAT => -- Single beat data. write := '1'; wmask := u(CFG_NASTI_DATA_BYTES downto 1); byte_addr := (others => '0'); axi_sz := conv_std_logic_vector(CFG_NASTI_ADDR_OFFSET,3); beat_cnt := 0; when ACQUIRE_PUT_BLOCK_DATA => -- Multibeat data. write := '1'; wmask := (others => '1'); byte_addr := (others => '0'); axi_sz := conv_std_logic_vector(CFG_NASTI_ADDR_OFFSET,3); beat_cnt := 3;--tlDataBeats-1; when ACQUIRE_PUT_ATOMIC_DATA => -- Single beat data. 64 bits width write := '1'; if CFG_NASTI_DATA_BITS = 128 then if u(12) = '0' then wmask(7 downto 0) := (others => '1'); wmask(15 downto 8) := (others => '0'); else wmask(7 downto 0) := (others => '0'); wmask(15 downto 8) := (others => '1'); end if; else wmask := (others => '1'); end if; byte_addr := (others => '0'); axi_sz := opSizeToXSize(conv_integer(u(8 downto 6))); beat_cnt := 0; when others => write := '0'; wmask := (others => '0'); byte_addr := (others => '0'); axi_sz := (others => '0'); beat_cnt := 0; end case; else --! built_in = '0' -- Cached request case a_type is when CACHED_ACQUIRE_SHARED => write := '0'; wmask := (others => '0'); byte_addr := u(12 downto 9);--tst.block.byte_addr; axi_sz := opSizeToXSize(conv_integer(u(8 downto 6))); beat_cnt := 0; when CACHED_ACQUIRE_EXCLUSIVE => -- Single beat data. write := '1'; wmask := u(CFG_NASTI_DATA_BYTES downto 1); byte_addr := (others => '0'); axi_sz := conv_std_logic_vector(CFG_NASTI_ADDR_OFFSET,3); beat_cnt := 0; when others => write := '0'; wmask := (others => '0'); byte_addr := (others => '0'); axi_sz := (others => '0'); beat_cnt := 0; end case; end if; end procedure; end; -- package body
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XyENI6N1WDT3n7+/aqH3vl3nh9uETG894NG9wgWoXfUqeTz3vPfjWxm+enqE5ZqO36i+HD20G/z2 P4Z48K13jg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Tt/L2l4YcxwCRC+1n/HaeaDAgqg7Nk9X+XcCqnBX5WXbYFd7g2tD21azngE0RxGM2gSDyGJ/Fe3U ZfELP2XhU7gZ9DBK6WvUqWi1vU4YOSKBh5EBuean80UjDnFaY1tIlYtuMcIqVVX+ERTxde6B1+Aj cyFEdhDsPqtksROLjaM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block S9mFMgh42gAT6CAm+XnW30LAjxZNGsfnVIAuaxlm3drL0Rq3u5vNR1IR0mij+MJFHhwLpnbF8EIK HooLNBcg713WKtzu8cgmGI0O4PebJx7jM8QUcfqPoibzRYhUYJ+G7Yta5dj1C65tmA03jX3eKSn2 cJVRMGKoSOb2Yfikz2XfoqfwujoEtB1Pdg6tvdcii6xQpNtvHOaHF56Pk/TOrzq2HFmo95H+B+Pu dEs4rsPZ9dSGfM3xrS21Ju5kefI+9dIaJ0egcksYnQhYJunk821TAZiA3QLJtZsCeSmE56ZvB5fH 2zR95uFiDnxGc8MdD7YQZuIfgNMNV7Cgw4dmhA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kc3Dm0Jcuv721jyVwIgJU9WWRzMfi8OedMJZSogWe0HHi881xg2oJbqD2TAnTaLNOHGuV2l22IRc PXpCetxJkF+VzFLkvbu5dN5k/10WBEnLdcg3NDkStYwwXopJSQFiIPNaiHJfye5snLJJDcViyDZZ tshL7CEwNYE3u3YXMNs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nUMZddx/mfZJSbFLacFOXHAF7tGQhDh2J+dQrnw0qDBYBvAvLvYzyWtvQJHion8d19RzD9Gc68UV /M+F0Y/T9IcF1C+bwQW2UlCJfO6CpI3+DUiSD7O0MQlkxm4srecWhgsmjdHLrktLJvkguOD4+hc7 LSEIl0wlVWefLg5ywylk7eZLnx6KdeH65KuCfuG/YT1IEzfobTVkWGPRWFsgRRpfi2MfO+tWG6X2 TI0XNL6DqP6vd2mld3iutqzcb8PGCti9+LtYLb9z5aHPFYT6A4Beqlqw5Xoj5WelRc5ptVjAizW0 4hMyJs64L9Es2aFbFOGJnTOY/zgApQ5mZz++aQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5200) `protect data_block jHFuiFw3LpQFQ/dzAkCy534O5CN5MGkWaRjYTAoWT41J7B/4uqLrFBgnn1bzTl/VWsSd/WAUrdXc oauHCrlfJ3fz5qzAD9CkC8Piam1Ym4x4NM7uUDOtW8CB5RpkC/g/6NTgwnCBka9iLcBJEOKmNSF7 QM3vSKVH6Yxcjv50sigFDQ72eYNXEAmh5sX8wpJpPO8qH8Da3M/UusTKxuBtQu1xsIDFeXzAdqba oZkaZFXnRp4S1unn2T99GW1161E0FrRy7LWxr+Dw/y75lzD9E84yuOjjGabh/4rvRVFjnU6ADSHE pcXLjOI184saZ/w1STJSpFMkDU4nhs4V+53uu6UD0Jl7qsTOYbIcuFFqRDjdNLLLY12YfMZxpvky 5cEnpjSMCeBf0ncRdOQdjNNNPR32+zT30oLZiOmkgy0rOdSQ4TbJSDTeMP1kFZ0CbjEWKgE+A1Yj ajoOxwCewb9pJsEkqDYKDbI25eZ+5/7DuHk+TcU7Q0J1T4Fgl5POmcq6s79bn2dj3h7dXyFRXczf i4fomyVzsaItJjBQ/YG7Ta+kExNypwrBptdVhhltzLSTq7qSSGLdok8PFseDwirS/t0RvC2suG5t lzeZpKg0B7BWE7Sj8us4Rsq/rSO8gQeKs/+IGLL1NEYqOAYSfxPn69S4/GGj6dsaAWsY0ykS64j8 /dr0+UqrZezQDMISEHUhUFb3XQcMbYqHKsOL4dWkkQKD/ltzQQPMfBLyroc/yLilzbBA4hMGi8pm l36XjyftT6y0nRA4txgI5k0qUVo6f1d51eIvkG3KBKz8rNpIvxBKCeAJStlSnFvkwNsHiRv747Po tRlnZyAdR9C/NH6QK/oFWVvO8T7AuBVvNOqloDbMh896tbDxx4u7sHWiLdDOf2GOzqYJroHnm1ns 8jEEqUVMDsh8ZSSoYGe6s9x/yiRNxAdsS/7MAgqJAJT2uAvsHx6YxV5ipOId+1qBNivj9/4t/Exv XX34h8od6R5pXfugUJd9CueLrRpi5DnNA6x8yoxPhZPHF9RdI+wGtxdAeLFCxkkSBC06l96+0UfF nMwLF/M7oZYcWje/SrkK9J63ZnCnZoAmFytFTCRtXtk9d5fzR8litEtzmfTlcjXHf4JLrDQpAPGi wwj6XcytyGfaxbIF01Hyi3m1/PWkoT76lBzO/iWYzoJsLvXaXz3Vik5swrtXVSh+w4CShvMAKNMU EZ0Ak58qAT5X7Wv7OKoyyODtceI3tmKdtJYp6aeLucxzjKHfQGMnYGFstjWDZwp9lN9Z9nwnC2kl SRz+GITosyRYnW1A39T/gcjblqHQwOHvZdbIRO7uuQOzQK1Doqe4h26v06JEdlx67SFWVEKqFQqF nGbHl1hpfY3Qhq786GEnhNz54Mf9rhmQKvwfSz6vAcoiyyLwNIzm0TEwQsksB+QM+8D2GcEnu7a6 jZejhDxXac/rZcD2L5f/X8qIDDZ6M4T/l/9Ap/7vKAYJgno6Xce7iSY6xiiZbhlJ8GLETRVW77NF zLCU4sJ2KrCyj2CjnZcxPR2G8tFpaGURrI7UpZpJxMtb93WBmRpQNO2N2TiEb/Bw5N9J79VK9vJD 2ymFBO9lQanizk+gfgNXX19Y2qTe7lc/ynVILLKxFLGPWaWxZ3hPZVXtNMRsjjBsFPu74+xIN+MO t+FlWVKhHT2e4gVVbvMz09/XojLd6z5WnrZ7kvL0Urq7sbJtLky29lYBlAs19exK+oblIIZmcBnE eDzfQmY4WW8kpSpiQOo0yf35fedpKmH/AAS2lgXkzOjnOxoqe6WYS6k7UrQAAzUWNFGFk2oLrIHJ 1fD8IEBkh+h1oGpAMrchQjGziFp2cdvDLkEx5v1qCj8yIbQ6RAJSCxljbZE/18//U5g4y9wWAnSY Ox6rz1mos41FTqbPIozUArc7BsjOoGOEQ8UX9UkoeTM5NPWhBotV78EwNp1hK+/qpvYJO6TqpmDv gmQjk1T8i1SSorPEwHARWK9zW/RBR3Q8S8mbJKXd3HVvYogsOut4iRCITp0DdStBDwOfMY49PcEi RDqnXLFsFmAgBKijdkRyyWxmb3CwuEPyv6e9o9aRRPvryuiH4bx2yBVQ4Z2H+XBKpJC2Itn1lruF Y5iJONe3slQkxkP+xbUQHcYMS0yKpoRDC6bQ6MsseJw9HH9zlWWNecuwG/vePk4mPfdaLaFOytcJ mkFL55aFP1JnUwbd2ygKrdQm2E9I8z3bVVdQkpg+CaP+3KR+H/7ve20aPr6OWtp3M4Hkb0by0x+5 BIGBIGzbDphjHHGQ2uw4uOCGlT0n+v40aBHr8fhtG6/DCe89L4pGMF2MXLP+ixxqT6xHzoGXDbsK 6sFWnTz7QCTyDMiwisgsj95F0TxYbCUPYEHmIDlROCoMgc88gKxrSO1msvkg/f/C0tufhDVSLbuB 8oYo8JxAToNwafWINhmMAIJtf4AhbWjpO8lYPfHF5N/N5DahG5YL9cKudDH8OBPXYX5KS4nZw3Qn 0K6bwGxVeM3UJVH66vUVjpfxCac976aSPd9gaBm/98W8XJSt+tB7Ys/mFxYPGf/DWHA7Lt2bESjO n7JCMYDV1LF6aCasJU1er9VnEvT3Bp6MYagY0sqf7cKlkuJiiuN1zEMrITpe6unFKzczM7IFiuBw 9PwvfFH4UY1og8i6kxEZUh2xum3UMfOpnpWOtklr3AItKMYB7QAjoQ2dHSS58tRaaBbtrfO2G4ZI cCMmyIep8oEM+a5CcItrgiYIRhKqIhm1L0ihys37PfJ/1CNKSmwKScSVlCHb5qtH1mxIOruh25zK JUPlEj+tkxo0alpB2LNU4uaX0sfUmFbT/0+8z4k7fKWKcOKwyrfP3DNo2G7DKy3cOq5cuU+6c0uY JJsCdpDoN1E/2PJO7qMCUQDni9YsnUDs2WWHKFsMmbCwOIwIysU74artFyhLvdIL2SQl7iwRQPUD uTFxvC3nSVQ/LA97U0zv1cBIadyia2fmc01afcFSwIGAIYntEHglRMLdtYWhvruDHddiZ9D9N/z5 pjBqVTmSF3ehthEcGIcBkIbuAYbZ8wdROrwySrKGo5xGVhs9cf3NsC9N50SkYhXs2zvhKhTJ5Cm/ r7kdZHUX9OVrLBf+Ol52IIOsD59Zeonj5KYTfLuscZW5MkSB0L+NCt/sPIo24QhkJol3RsdytoBu 1gHzp5RrSc1yX5h1HtpSnyrDNan/w7CfpepqSmI5GrD9pxCSeg0hAEV5sr+3c0r7XkbuwSn2pc+L au0KrYC/NzNS6mSmFsqn3qxI4AL0K2Nrl0wEvuAjxmSOCyvUMq325IqWRT9Sq6bXv3nA1vP9z7ti 4XnTzt7XDrHEmwE8UrNuU4Q5d1WsCWA6GShcr2MUXF7875soucdnBo+iBLoaPR8q6pvm1F0vW3A8 0BwHwA4VSKupAqLJx+nthy/pA1rUWmDYu67G/wbKyjJiZBwbnZcjJWRMEIj0aDh4hXPxSnbRaWGn F9xmZcjjnkBVAPo2i6/A1HaXcTbfBQfiYb9caxoPaoGYZZeb7Hks+NdvZARkJCGEFKhxS9IsfNHY RszWu7vPSS+Dc1jcaX9rsIDM7UfWTIiukCJ4r9pUU+8tOuhIhIUf08iz0CanHVVXPiDJtTXiKyfB 15zFK8KoWSi8Vio7qrUYl2jGmg3WRpUj4qA8k/TBj4Yt57zDKey0XyP/C4xV1qQ5Kry7hVegK+S/ WaDNosz/s0RH0s9ZQ3Ee6q/81uZKY/lFQuPFOE6HuR1Ey5UysfcD/wsD1z9Dcon2886rRc0y62rl 4BI9bIOCgagsxJ/rHv65uLeraOYug+aT6FZbFIvHjkj8eqDyx4NVZIGWDOn/q/N2JI3a58u7t2dp tB/Pqa1JL8EMuRG5HdHA9sxnRStj/4WjQInyCL0dA9katv15y1R9gKzuabUPzlUxVuN0J3yuY5OB 4s4ZOigwb42/FxUrNfQpNnNDfun3YcJ9lGoZLcblsBMWsaCgCCWLd35FlTBkAwuHlDsGc7mRUkru lgdk+AmOZswV6oseb9VxC0nL31/VeLePLF4E1/ORudvoqQuYCWsxWobx3ZgxN1ng/TaR6OOIRjjE vGtgdcIPx71MJl3ipo7m+6YuClWXL2yBlIlbtkfMiG021vfuiUhU5EeSAX0CHyRoTBf9i1HSpolY ASAnzc66+5n6zGH0iZBfUyZXqiYz4Grm1jx6L+VtlaoGZnR17od+RI/A9dZ0i1ffEy7PUJRD8yWs RCBRlA4uOP6zs3NSirDooi+PYkVbht5qOGwZnlcraN65lf8+sSFkkkG/8R+FsIzG7w5PKfXZadUp MWBes/oDUJvwzxskaTU0DZ/tA0zO5Tr75obW0Rs4L6pvofLYpe4PgkkxM5H1ACJk9SJbyPGeW8L2 QizkrSzAAXjALZ0pWdJzplP6sSm693SpzFD/yIxuxtMbHf/B5I4a26wMeQR8hHaePFWkz+yfJ18C FVTJS0ur+5Nnzl9RfdG69UaAQtpaoRVIzT65exyxHG8sO5rfXmDdK4g5cfXRSiQm5CBdx5AqgF5D nsejPFvRjhjl68AH36ftx4caDTMbMaZM25PW4m7wo2LP2mqReQzUS+cRgSZqY0xiFRb/OegYcPY5 IiDxmQIfsMIDtHe4Gj+lD1PVdIWn5FQl9867wF+WNMToIwNgMCVYfA93tDxLSpq+gJIQYbeeiWOR 2kJaWaz3mkzA76mBF1iM5yShJiJ8+NzdL0LMY/b6rKKPmswVRlOMKhZ+8VS0SoDEAL94BwLg05qc KWSxSZpl8yLIbGM5jwmlaPivFnMkXd+RvJ76JnZhF+qW9msuGvnNJSdjDDGtLrv2Oeb1ttgk4Ame /Ixyn2hV32Q06I2qWAHy9KJNZowUJkJbUfqzKnuqevvVy9kWrWuOhh9zzTlCuffXyRre5Uh8ghUD 5gaUemo7TtbQAQFNZHMZNnN5wyYn+t6YXT9CIh40L+EzVQq/x0qfxe+J+n2cYFw9betz2NYqx3MD ULSHfM9i19bkTVNPmYQfFtH0ZQ+pE3FVD0zIJRRHgfyGRGDyQhXw6WBGvL9wtarHyh8RzjIE3e5v mwnxiRc3b5R9Bfx9mBg8ghiaHvT5yoTrLfOoo/PH0CVOl7TuoAcqUPM3zH+7DBvC1ZQDVvubY1cy 7yoJFcwPpo7lNV8Cm2KDP5h7eItzlF6mOseGIn1vKwf4IiwhY8+/UnS283ONAMsWUUpDKq9ZN7N2 eFTeBLH8HemnXm6n0k0Pa0D6zsYsorNACLMkpmnmGJVshnu7h+Ui4s04TzabaY70wcId4xbsKGvH BJeRJTclk1JUhpBuqUdKN+EU96YQg062qgsClPfnE0E9oJuGLo4WoHIJRQfzLG7KPQ/6A625VNJ2 I+OByu2nuWh8gSXmBCXyXJf92SGoQjJECugTw+6R7A3xQQlL0NTVBFCV/rW0slktSWzJiTQMMZnm nCRJ9P8h/FkmRYIhty6PvnUZYt9Jzxybmv1oQLO5VHy1S1M0WxU8tjq+K+w3Dsucb1F3o232FUSX IqpC/Z+lKtKRd1Zd6iCh18ZBLwi6XwerqI7YZpeeFDB2XEeTRlxkUZEO8PbQqAvhjL6Dr0FpDDfh zND2H2Uo06jDkKUfLOla5Ow8kOGQZT7aNOb0f/9UVgtY1DO25nl89fUYqeI3AhHb4ywh8XgfHVb3 Ur+BM4kJrRL5+/7Vw3vwh7cc5yIz8cTa2WxtBXog8f/kIWhhCJkbWG2fajFrpvHJHUTqSNuXfoTk qeItoaQ4BkQbOEOD8XiKLSRkDHsfW7OYWbfg5IKzI6dWHkwbMRE3W/m7zOrwHDtInH2uLcQFyWes MJdZRolhOYxWByC1qIXDAbLwUl6VkCkk/pZkztkhffc7g+nLe/TVSTge0FVxtGJ7fY5LlZBTl6Tv kN2qx40e/aRH7d4m8DlcuHtq6cIzateg/xT7W8Zm6FpyjaY6kRBSq5xbWC2kawtbk8i9OJKjSNny cWhDc9iSvL1rCMWZ4f8ce33A+IWWNBCe1cPnW1K898F1ZkXk+YAw92pFnXbVJhGicOgAG4DcIr1A /2oO53RKXavf7DnSTR82JzcsLMBC+eTDHtN7M0HMojqPHKPC6EGxdB9DhJ3gJn9d+jXQCTk6uZDl KHK1Wi0mLCXKpLKJU7m6/Swy9KE+t+00K9h/X232BhOGzU2frWfQnEwjrqrsdUu/J2oTzPTwJ1gA 4KBMFnCENBA9ab2bkL2ah2DwLQjwfX+5UPfgfWxOTXZmuBPaTYF75TN5+MKMaDXeRID7oChbaGMi oYXFzvqM1D5ptA3dAK1wDc/4lcWu2U37z0lAQpVQa08suDS8yKVrb/TaCKINigES9OGHhW85Qhx5 v456LxkumTupj0bHcbs8/PoRw21dJAWQWptgzOmXs0rF5EMZ1GKSaArroSLmnc6/DgjQsqHTuEXZ q7pjVFRnkeSF114Tb/perNUO9gjXRO52Y/xpzQLevpBnQbXeoPLvJmvbHxbyCpZTMCXA5jl6KZtc NQRsSKY4QcRu7PoYgZxcG0+hloJYjjMBObe9qv9v8CZwB0L4K06eO60omP7Oh5FDwIg374GxD2Zx D/MAOBditZLH0ZGqEuEoZHNPkhqkPlEN3tJwjWHbL9aC83oZuuHAoasa3lE8dg6WTITk1LKVOeFv llcVB9ROr9zkOlr8uBnpB5bJQWLkgIwFCCfZiKk2Eu8dzYrHFUBa+8m5uo9GnppQygQ9lEGr6W5J 4nqkC5pmOUGLtrF82ZK0luf7f20gkYiUQBhf/CGtDXH7dBkzQIx4IeOG8oCUbuMj9Tyvl27MaCqw FAWXqnyifWu3Dj5lE07uIEXb8wKsylQxUCmy+fNXHBI0eXPK8hUfPHNz1emFV40I1G0Z7kr09NGt Dqd9tCxMVWl1+V5oPQ== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XyENI6N1WDT3n7+/aqH3vl3nh9uETG894NG9wgWoXfUqeTz3vPfjWxm+enqE5ZqO36i+HD20G/z2 P4Z48K13jg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Tt/L2l4YcxwCRC+1n/HaeaDAgqg7Nk9X+XcCqnBX5WXbYFd7g2tD21azngE0RxGM2gSDyGJ/Fe3U ZfELP2XhU7gZ9DBK6WvUqWi1vU4YOSKBh5EBuean80UjDnFaY1tIlYtuMcIqVVX+ERTxde6B1+Aj cyFEdhDsPqtksROLjaM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block S9mFMgh42gAT6CAm+XnW30LAjxZNGsfnVIAuaxlm3drL0Rq3u5vNR1IR0mij+MJFHhwLpnbF8EIK HooLNBcg713WKtzu8cgmGI0O4PebJx7jM8QUcfqPoibzRYhUYJ+G7Yta5dj1C65tmA03jX3eKSn2 cJVRMGKoSOb2Yfikz2XfoqfwujoEtB1Pdg6tvdcii6xQpNtvHOaHF56Pk/TOrzq2HFmo95H+B+Pu dEs4rsPZ9dSGfM3xrS21Ju5kefI+9dIaJ0egcksYnQhYJunk821TAZiA3QLJtZsCeSmE56ZvB5fH 2zR95uFiDnxGc8MdD7YQZuIfgNMNV7Cgw4dmhA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kc3Dm0Jcuv721jyVwIgJU9WWRzMfi8OedMJZSogWe0HHi881xg2oJbqD2TAnTaLNOHGuV2l22IRc PXpCetxJkF+VzFLkvbu5dN5k/10WBEnLdcg3NDkStYwwXopJSQFiIPNaiHJfye5snLJJDcViyDZZ tshL7CEwNYE3u3YXMNs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nUMZddx/mfZJSbFLacFOXHAF7tGQhDh2J+dQrnw0qDBYBvAvLvYzyWtvQJHion8d19RzD9Gc68UV /M+F0Y/T9IcF1C+bwQW2UlCJfO6CpI3+DUiSD7O0MQlkxm4srecWhgsmjdHLrktLJvkguOD4+hc7 LSEIl0wlVWefLg5ywylk7eZLnx6KdeH65KuCfuG/YT1IEzfobTVkWGPRWFsgRRpfi2MfO+tWG6X2 TI0XNL6DqP6vd2mld3iutqzcb8PGCti9+LtYLb9z5aHPFYT6A4Beqlqw5Xoj5WelRc5ptVjAizW0 4hMyJs64L9Es2aFbFOGJnTOY/zgApQ5mZz++aQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5200) `protect data_block jHFuiFw3LpQFQ/dzAkCy534O5CN5MGkWaRjYTAoWT41J7B/4uqLrFBgnn1bzTl/VWsSd/WAUrdXc oauHCrlfJ3fz5qzAD9CkC8Piam1Ym4x4NM7uUDOtW8CB5RpkC/g/6NTgwnCBka9iLcBJEOKmNSF7 QM3vSKVH6Yxcjv50sigFDQ72eYNXEAmh5sX8wpJpPO8qH8Da3M/UusTKxuBtQu1xsIDFeXzAdqba oZkaZFXnRp4S1unn2T99GW1161E0FrRy7LWxr+Dw/y75lzD9E84yuOjjGabh/4rvRVFjnU6ADSHE pcXLjOI184saZ/w1STJSpFMkDU4nhs4V+53uu6UD0Jl7qsTOYbIcuFFqRDjdNLLLY12YfMZxpvky 5cEnpjSMCeBf0ncRdOQdjNNNPR32+zT30oLZiOmkgy0rOdSQ4TbJSDTeMP1kFZ0CbjEWKgE+A1Yj ajoOxwCewb9pJsEkqDYKDbI25eZ+5/7DuHk+TcU7Q0J1T4Fgl5POmcq6s79bn2dj3h7dXyFRXczf i4fomyVzsaItJjBQ/YG7Ta+kExNypwrBptdVhhltzLSTq7qSSGLdok8PFseDwirS/t0RvC2suG5t lzeZpKg0B7BWE7Sj8us4Rsq/rSO8gQeKs/+IGLL1NEYqOAYSfxPn69S4/GGj6dsaAWsY0ykS64j8 /dr0+UqrZezQDMISEHUhUFb3XQcMbYqHKsOL4dWkkQKD/ltzQQPMfBLyroc/yLilzbBA4hMGi8pm l36XjyftT6y0nRA4txgI5k0qUVo6f1d51eIvkG3KBKz8rNpIvxBKCeAJStlSnFvkwNsHiRv747Po tRlnZyAdR9C/NH6QK/oFWVvO8T7AuBVvNOqloDbMh896tbDxx4u7sHWiLdDOf2GOzqYJroHnm1ns 8jEEqUVMDsh8ZSSoYGe6s9x/yiRNxAdsS/7MAgqJAJT2uAvsHx6YxV5ipOId+1qBNivj9/4t/Exv XX34h8od6R5pXfugUJd9CueLrRpi5DnNA6x8yoxPhZPHF9RdI+wGtxdAeLFCxkkSBC06l96+0UfF nMwLF/M7oZYcWje/SrkK9J63ZnCnZoAmFytFTCRtXtk9d5fzR8litEtzmfTlcjXHf4JLrDQpAPGi wwj6XcytyGfaxbIF01Hyi3m1/PWkoT76lBzO/iWYzoJsLvXaXz3Vik5swrtXVSh+w4CShvMAKNMU EZ0Ak58qAT5X7Wv7OKoyyODtceI3tmKdtJYp6aeLucxzjKHfQGMnYGFstjWDZwp9lN9Z9nwnC2kl SRz+GITosyRYnW1A39T/gcjblqHQwOHvZdbIRO7uuQOzQK1Doqe4h26v06JEdlx67SFWVEKqFQqF nGbHl1hpfY3Qhq786GEnhNz54Mf9rhmQKvwfSz6vAcoiyyLwNIzm0TEwQsksB+QM+8D2GcEnu7a6 jZejhDxXac/rZcD2L5f/X8qIDDZ6M4T/l/9Ap/7vKAYJgno6Xce7iSY6xiiZbhlJ8GLETRVW77NF zLCU4sJ2KrCyj2CjnZcxPR2G8tFpaGURrI7UpZpJxMtb93WBmRpQNO2N2TiEb/Bw5N9J79VK9vJD 2ymFBO9lQanizk+gfgNXX19Y2qTe7lc/ynVILLKxFLGPWaWxZ3hPZVXtNMRsjjBsFPu74+xIN+MO t+FlWVKhHT2e4gVVbvMz09/XojLd6z5WnrZ7kvL0Urq7sbJtLky29lYBlAs19exK+oblIIZmcBnE eDzfQmY4WW8kpSpiQOo0yf35fedpKmH/AAS2lgXkzOjnOxoqe6WYS6k7UrQAAzUWNFGFk2oLrIHJ 1fD8IEBkh+h1oGpAMrchQjGziFp2cdvDLkEx5v1qCj8yIbQ6RAJSCxljbZE/18//U5g4y9wWAnSY Ox6rz1mos41FTqbPIozUArc7BsjOoGOEQ8UX9UkoeTM5NPWhBotV78EwNp1hK+/qpvYJO6TqpmDv gmQjk1T8i1SSorPEwHARWK9zW/RBR3Q8S8mbJKXd3HVvYogsOut4iRCITp0DdStBDwOfMY49PcEi RDqnXLFsFmAgBKijdkRyyWxmb3CwuEPyv6e9o9aRRPvryuiH4bx2yBVQ4Z2H+XBKpJC2Itn1lruF Y5iJONe3slQkxkP+xbUQHcYMS0yKpoRDC6bQ6MsseJw9HH9zlWWNecuwG/vePk4mPfdaLaFOytcJ mkFL55aFP1JnUwbd2ygKrdQm2E9I8z3bVVdQkpg+CaP+3KR+H/7ve20aPr6OWtp3M4Hkb0by0x+5 BIGBIGzbDphjHHGQ2uw4uOCGlT0n+v40aBHr8fhtG6/DCe89L4pGMF2MXLP+ixxqT6xHzoGXDbsK 6sFWnTz7QCTyDMiwisgsj95F0TxYbCUPYEHmIDlROCoMgc88gKxrSO1msvkg/f/C0tufhDVSLbuB 8oYo8JxAToNwafWINhmMAIJtf4AhbWjpO8lYPfHF5N/N5DahG5YL9cKudDH8OBPXYX5KS4nZw3Qn 0K6bwGxVeM3UJVH66vUVjpfxCac976aSPd9gaBm/98W8XJSt+tB7Ys/mFxYPGf/DWHA7Lt2bESjO n7JCMYDV1LF6aCasJU1er9VnEvT3Bp6MYagY0sqf7cKlkuJiiuN1zEMrITpe6unFKzczM7IFiuBw 9PwvfFH4UY1og8i6kxEZUh2xum3UMfOpnpWOtklr3AItKMYB7QAjoQ2dHSS58tRaaBbtrfO2G4ZI cCMmyIep8oEM+a5CcItrgiYIRhKqIhm1L0ihys37PfJ/1CNKSmwKScSVlCHb5qtH1mxIOruh25zK JUPlEj+tkxo0alpB2LNU4uaX0sfUmFbT/0+8z4k7fKWKcOKwyrfP3DNo2G7DKy3cOq5cuU+6c0uY JJsCdpDoN1E/2PJO7qMCUQDni9YsnUDs2WWHKFsMmbCwOIwIysU74artFyhLvdIL2SQl7iwRQPUD uTFxvC3nSVQ/LA97U0zv1cBIadyia2fmc01afcFSwIGAIYntEHglRMLdtYWhvruDHddiZ9D9N/z5 pjBqVTmSF3ehthEcGIcBkIbuAYbZ8wdROrwySrKGo5xGVhs9cf3NsC9N50SkYhXs2zvhKhTJ5Cm/ r7kdZHUX9OVrLBf+Ol52IIOsD59Zeonj5KYTfLuscZW5MkSB0L+NCt/sPIo24QhkJol3RsdytoBu 1gHzp5RrSc1yX5h1HtpSnyrDNan/w7CfpepqSmI5GrD9pxCSeg0hAEV5sr+3c0r7XkbuwSn2pc+L au0KrYC/NzNS6mSmFsqn3qxI4AL0K2Nrl0wEvuAjxmSOCyvUMq325IqWRT9Sq6bXv3nA1vP9z7ti 4XnTzt7XDrHEmwE8UrNuU4Q5d1WsCWA6GShcr2MUXF7875soucdnBo+iBLoaPR8q6pvm1F0vW3A8 0BwHwA4VSKupAqLJx+nthy/pA1rUWmDYu67G/wbKyjJiZBwbnZcjJWRMEIj0aDh4hXPxSnbRaWGn F9xmZcjjnkBVAPo2i6/A1HaXcTbfBQfiYb9caxoPaoGYZZeb7Hks+NdvZARkJCGEFKhxS9IsfNHY RszWu7vPSS+Dc1jcaX9rsIDM7UfWTIiukCJ4r9pUU+8tOuhIhIUf08iz0CanHVVXPiDJtTXiKyfB 15zFK8KoWSi8Vio7qrUYl2jGmg3WRpUj4qA8k/TBj4Yt57zDKey0XyP/C4xV1qQ5Kry7hVegK+S/ WaDNosz/s0RH0s9ZQ3Ee6q/81uZKY/lFQuPFOE6HuR1Ey5UysfcD/wsD1z9Dcon2886rRc0y62rl 4BI9bIOCgagsxJ/rHv65uLeraOYug+aT6FZbFIvHjkj8eqDyx4NVZIGWDOn/q/N2JI3a58u7t2dp tB/Pqa1JL8EMuRG5HdHA9sxnRStj/4WjQInyCL0dA9katv15y1R9gKzuabUPzlUxVuN0J3yuY5OB 4s4ZOigwb42/FxUrNfQpNnNDfun3YcJ9lGoZLcblsBMWsaCgCCWLd35FlTBkAwuHlDsGc7mRUkru lgdk+AmOZswV6oseb9VxC0nL31/VeLePLF4E1/ORudvoqQuYCWsxWobx3ZgxN1ng/TaR6OOIRjjE vGtgdcIPx71MJl3ipo7m+6YuClWXL2yBlIlbtkfMiG021vfuiUhU5EeSAX0CHyRoTBf9i1HSpolY ASAnzc66+5n6zGH0iZBfUyZXqiYz4Grm1jx6L+VtlaoGZnR17od+RI/A9dZ0i1ffEy7PUJRD8yWs RCBRlA4uOP6zs3NSirDooi+PYkVbht5qOGwZnlcraN65lf8+sSFkkkG/8R+FsIzG7w5PKfXZadUp MWBes/oDUJvwzxskaTU0DZ/tA0zO5Tr75obW0Rs4L6pvofLYpe4PgkkxM5H1ACJk9SJbyPGeW8L2 QizkrSzAAXjALZ0pWdJzplP6sSm693SpzFD/yIxuxtMbHf/B5I4a26wMeQR8hHaePFWkz+yfJ18C FVTJS0ur+5Nnzl9RfdG69UaAQtpaoRVIzT65exyxHG8sO5rfXmDdK4g5cfXRSiQm5CBdx5AqgF5D nsejPFvRjhjl68AH36ftx4caDTMbMaZM25PW4m7wo2LP2mqReQzUS+cRgSZqY0xiFRb/OegYcPY5 IiDxmQIfsMIDtHe4Gj+lD1PVdIWn5FQl9867wF+WNMToIwNgMCVYfA93tDxLSpq+gJIQYbeeiWOR 2kJaWaz3mkzA76mBF1iM5yShJiJ8+NzdL0LMY/b6rKKPmswVRlOMKhZ+8VS0SoDEAL94BwLg05qc KWSxSZpl8yLIbGM5jwmlaPivFnMkXd+RvJ76JnZhF+qW9msuGvnNJSdjDDGtLrv2Oeb1ttgk4Ame /Ixyn2hV32Q06I2qWAHy9KJNZowUJkJbUfqzKnuqevvVy9kWrWuOhh9zzTlCuffXyRre5Uh8ghUD 5gaUemo7TtbQAQFNZHMZNnN5wyYn+t6YXT9CIh40L+EzVQq/x0qfxe+J+n2cYFw9betz2NYqx3MD ULSHfM9i19bkTVNPmYQfFtH0ZQ+pE3FVD0zIJRRHgfyGRGDyQhXw6WBGvL9wtarHyh8RzjIE3e5v mwnxiRc3b5R9Bfx9mBg8ghiaHvT5yoTrLfOoo/PH0CVOl7TuoAcqUPM3zH+7DBvC1ZQDVvubY1cy 7yoJFcwPpo7lNV8Cm2KDP5h7eItzlF6mOseGIn1vKwf4IiwhY8+/UnS283ONAMsWUUpDKq9ZN7N2 eFTeBLH8HemnXm6n0k0Pa0D6zsYsorNACLMkpmnmGJVshnu7h+Ui4s04TzabaY70wcId4xbsKGvH BJeRJTclk1JUhpBuqUdKN+EU96YQg062qgsClPfnE0E9oJuGLo4WoHIJRQfzLG7KPQ/6A625VNJ2 I+OByu2nuWh8gSXmBCXyXJf92SGoQjJECugTw+6R7A3xQQlL0NTVBFCV/rW0slktSWzJiTQMMZnm nCRJ9P8h/FkmRYIhty6PvnUZYt9Jzxybmv1oQLO5VHy1S1M0WxU8tjq+K+w3Dsucb1F3o232FUSX IqpC/Z+lKtKRd1Zd6iCh18ZBLwi6XwerqI7YZpeeFDB2XEeTRlxkUZEO8PbQqAvhjL6Dr0FpDDfh zND2H2Uo06jDkKUfLOla5Ow8kOGQZT7aNOb0f/9UVgtY1DO25nl89fUYqeI3AhHb4ywh8XgfHVb3 Ur+BM4kJrRL5+/7Vw3vwh7cc5yIz8cTa2WxtBXog8f/kIWhhCJkbWG2fajFrpvHJHUTqSNuXfoTk qeItoaQ4BkQbOEOD8XiKLSRkDHsfW7OYWbfg5IKzI6dWHkwbMRE3W/m7zOrwHDtInH2uLcQFyWes MJdZRolhOYxWByC1qIXDAbLwUl6VkCkk/pZkztkhffc7g+nLe/TVSTge0FVxtGJ7fY5LlZBTl6Tv kN2qx40e/aRH7d4m8DlcuHtq6cIzateg/xT7W8Zm6FpyjaY6kRBSq5xbWC2kawtbk8i9OJKjSNny cWhDc9iSvL1rCMWZ4f8ce33A+IWWNBCe1cPnW1K898F1ZkXk+YAw92pFnXbVJhGicOgAG4DcIr1A /2oO53RKXavf7DnSTR82JzcsLMBC+eTDHtN7M0HMojqPHKPC6EGxdB9DhJ3gJn9d+jXQCTk6uZDl KHK1Wi0mLCXKpLKJU7m6/Swy9KE+t+00K9h/X232BhOGzU2frWfQnEwjrqrsdUu/J2oTzPTwJ1gA 4KBMFnCENBA9ab2bkL2ah2DwLQjwfX+5UPfgfWxOTXZmuBPaTYF75TN5+MKMaDXeRID7oChbaGMi oYXFzvqM1D5ptA3dAK1wDc/4lcWu2U37z0lAQpVQa08suDS8yKVrb/TaCKINigES9OGHhW85Qhx5 v456LxkumTupj0bHcbs8/PoRw21dJAWQWptgzOmXs0rF5EMZ1GKSaArroSLmnc6/DgjQsqHTuEXZ q7pjVFRnkeSF114Tb/perNUO9gjXRO52Y/xpzQLevpBnQbXeoPLvJmvbHxbyCpZTMCXA5jl6KZtc NQRsSKY4QcRu7PoYgZxcG0+hloJYjjMBObe9qv9v8CZwB0L4K06eO60omP7Oh5FDwIg374GxD2Zx D/MAOBditZLH0ZGqEuEoZHNPkhqkPlEN3tJwjWHbL9aC83oZuuHAoasa3lE8dg6WTITk1LKVOeFv llcVB9ROr9zkOlr8uBnpB5bJQWLkgIwFCCfZiKk2Eu8dzYrHFUBa+8m5uo9GnppQygQ9lEGr6W5J 4nqkC5pmOUGLtrF82ZK0luf7f20gkYiUQBhf/CGtDXH7dBkzQIx4IeOG8oCUbuMj9Tyvl27MaCqw FAWXqnyifWu3Dj5lE07uIEXb8wKsylQxUCmy+fNXHBI0eXPK8hUfPHNz1emFV40I1G0Z7kr09NGt Dqd9tCxMVWl1+V5oPQ== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XyENI6N1WDT3n7+/aqH3vl3nh9uETG894NG9wgWoXfUqeTz3vPfjWxm+enqE5ZqO36i+HD20G/z2 P4Z48K13jg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Tt/L2l4YcxwCRC+1n/HaeaDAgqg7Nk9X+XcCqnBX5WXbYFd7g2tD21azngE0RxGM2gSDyGJ/Fe3U ZfELP2XhU7gZ9DBK6WvUqWi1vU4YOSKBh5EBuean80UjDnFaY1tIlYtuMcIqVVX+ERTxde6B1+Aj cyFEdhDsPqtksROLjaM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block S9mFMgh42gAT6CAm+XnW30LAjxZNGsfnVIAuaxlm3drL0Rq3u5vNR1IR0mij+MJFHhwLpnbF8EIK HooLNBcg713WKtzu8cgmGI0O4PebJx7jM8QUcfqPoibzRYhUYJ+G7Yta5dj1C65tmA03jX3eKSn2 cJVRMGKoSOb2Yfikz2XfoqfwujoEtB1Pdg6tvdcii6xQpNtvHOaHF56Pk/TOrzq2HFmo95H+B+Pu dEs4rsPZ9dSGfM3xrS21Ju5kefI+9dIaJ0egcksYnQhYJunk821TAZiA3QLJtZsCeSmE56ZvB5fH 2zR95uFiDnxGc8MdD7YQZuIfgNMNV7Cgw4dmhA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kc3Dm0Jcuv721jyVwIgJU9WWRzMfi8OedMJZSogWe0HHi881xg2oJbqD2TAnTaLNOHGuV2l22IRc PXpCetxJkF+VzFLkvbu5dN5k/10WBEnLdcg3NDkStYwwXopJSQFiIPNaiHJfye5snLJJDcViyDZZ tshL7CEwNYE3u3YXMNs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nUMZddx/mfZJSbFLacFOXHAF7tGQhDh2J+dQrnw0qDBYBvAvLvYzyWtvQJHion8d19RzD9Gc68UV /M+F0Y/T9IcF1C+bwQW2UlCJfO6CpI3+DUiSD7O0MQlkxm4srecWhgsmjdHLrktLJvkguOD4+hc7 LSEIl0wlVWefLg5ywylk7eZLnx6KdeH65KuCfuG/YT1IEzfobTVkWGPRWFsgRRpfi2MfO+tWG6X2 TI0XNL6DqP6vd2mld3iutqzcb8PGCti9+LtYLb9z5aHPFYT6A4Beqlqw5Xoj5WelRc5ptVjAizW0 4hMyJs64L9Es2aFbFOGJnTOY/zgApQ5mZz++aQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5200) `protect data_block jHFuiFw3LpQFQ/dzAkCy534O5CN5MGkWaRjYTAoWT41J7B/4uqLrFBgnn1bzTl/VWsSd/WAUrdXc oauHCrlfJ3fz5qzAD9CkC8Piam1Ym4x4NM7uUDOtW8CB5RpkC/g/6NTgwnCBka9iLcBJEOKmNSF7 QM3vSKVH6Yxcjv50sigFDQ72eYNXEAmh5sX8wpJpPO8qH8Da3M/UusTKxuBtQu1xsIDFeXzAdqba oZkaZFXnRp4S1unn2T99GW1161E0FrRy7LWxr+Dw/y75lzD9E84yuOjjGabh/4rvRVFjnU6ADSHE pcXLjOI184saZ/w1STJSpFMkDU4nhs4V+53uu6UD0Jl7qsTOYbIcuFFqRDjdNLLLY12YfMZxpvky 5cEnpjSMCeBf0ncRdOQdjNNNPR32+zT30oLZiOmkgy0rOdSQ4TbJSDTeMP1kFZ0CbjEWKgE+A1Yj ajoOxwCewb9pJsEkqDYKDbI25eZ+5/7DuHk+TcU7Q0J1T4Fgl5POmcq6s79bn2dj3h7dXyFRXczf i4fomyVzsaItJjBQ/YG7Ta+kExNypwrBptdVhhltzLSTq7qSSGLdok8PFseDwirS/t0RvC2suG5t lzeZpKg0B7BWE7Sj8us4Rsq/rSO8gQeKs/+IGLL1NEYqOAYSfxPn69S4/GGj6dsaAWsY0ykS64j8 /dr0+UqrZezQDMISEHUhUFb3XQcMbYqHKsOL4dWkkQKD/ltzQQPMfBLyroc/yLilzbBA4hMGi8pm l36XjyftT6y0nRA4txgI5k0qUVo6f1d51eIvkG3KBKz8rNpIvxBKCeAJStlSnFvkwNsHiRv747Po tRlnZyAdR9C/NH6QK/oFWVvO8T7AuBVvNOqloDbMh896tbDxx4u7sHWiLdDOf2GOzqYJroHnm1ns 8jEEqUVMDsh8ZSSoYGe6s9x/yiRNxAdsS/7MAgqJAJT2uAvsHx6YxV5ipOId+1qBNivj9/4t/Exv XX34h8od6R5pXfugUJd9CueLrRpi5DnNA6x8yoxPhZPHF9RdI+wGtxdAeLFCxkkSBC06l96+0UfF nMwLF/M7oZYcWje/SrkK9J63ZnCnZoAmFytFTCRtXtk9d5fzR8litEtzmfTlcjXHf4JLrDQpAPGi wwj6XcytyGfaxbIF01Hyi3m1/PWkoT76lBzO/iWYzoJsLvXaXz3Vik5swrtXVSh+w4CShvMAKNMU EZ0Ak58qAT5X7Wv7OKoyyODtceI3tmKdtJYp6aeLucxzjKHfQGMnYGFstjWDZwp9lN9Z9nwnC2kl SRz+GITosyRYnW1A39T/gcjblqHQwOHvZdbIRO7uuQOzQK1Doqe4h26v06JEdlx67SFWVEKqFQqF nGbHl1hpfY3Qhq786GEnhNz54Mf9rhmQKvwfSz6vAcoiyyLwNIzm0TEwQsksB+QM+8D2GcEnu7a6 jZejhDxXac/rZcD2L5f/X8qIDDZ6M4T/l/9Ap/7vKAYJgno6Xce7iSY6xiiZbhlJ8GLETRVW77NF zLCU4sJ2KrCyj2CjnZcxPR2G8tFpaGURrI7UpZpJxMtb93WBmRpQNO2N2TiEb/Bw5N9J79VK9vJD 2ymFBO9lQanizk+gfgNXX19Y2qTe7lc/ynVILLKxFLGPWaWxZ3hPZVXtNMRsjjBsFPu74+xIN+MO t+FlWVKhHT2e4gVVbvMz09/XojLd6z5WnrZ7kvL0Urq7sbJtLky29lYBlAs19exK+oblIIZmcBnE eDzfQmY4WW8kpSpiQOo0yf35fedpKmH/AAS2lgXkzOjnOxoqe6WYS6k7UrQAAzUWNFGFk2oLrIHJ 1fD8IEBkh+h1oGpAMrchQjGziFp2cdvDLkEx5v1qCj8yIbQ6RAJSCxljbZE/18//U5g4y9wWAnSY Ox6rz1mos41FTqbPIozUArc7BsjOoGOEQ8UX9UkoeTM5NPWhBotV78EwNp1hK+/qpvYJO6TqpmDv gmQjk1T8i1SSorPEwHARWK9zW/RBR3Q8S8mbJKXd3HVvYogsOut4iRCITp0DdStBDwOfMY49PcEi RDqnXLFsFmAgBKijdkRyyWxmb3CwuEPyv6e9o9aRRPvryuiH4bx2yBVQ4Z2H+XBKpJC2Itn1lruF Y5iJONe3slQkxkP+xbUQHcYMS0yKpoRDC6bQ6MsseJw9HH9zlWWNecuwG/vePk4mPfdaLaFOytcJ mkFL55aFP1JnUwbd2ygKrdQm2E9I8z3bVVdQkpg+CaP+3KR+H/7ve20aPr6OWtp3M4Hkb0by0x+5 BIGBIGzbDphjHHGQ2uw4uOCGlT0n+v40aBHr8fhtG6/DCe89L4pGMF2MXLP+ixxqT6xHzoGXDbsK 6sFWnTz7QCTyDMiwisgsj95F0TxYbCUPYEHmIDlROCoMgc88gKxrSO1msvkg/f/C0tufhDVSLbuB 8oYo8JxAToNwafWINhmMAIJtf4AhbWjpO8lYPfHF5N/N5DahG5YL9cKudDH8OBPXYX5KS4nZw3Qn 0K6bwGxVeM3UJVH66vUVjpfxCac976aSPd9gaBm/98W8XJSt+tB7Ys/mFxYPGf/DWHA7Lt2bESjO n7JCMYDV1LF6aCasJU1er9VnEvT3Bp6MYagY0sqf7cKlkuJiiuN1zEMrITpe6unFKzczM7IFiuBw 9PwvfFH4UY1og8i6kxEZUh2xum3UMfOpnpWOtklr3AItKMYB7QAjoQ2dHSS58tRaaBbtrfO2G4ZI cCMmyIep8oEM+a5CcItrgiYIRhKqIhm1L0ihys37PfJ/1CNKSmwKScSVlCHb5qtH1mxIOruh25zK JUPlEj+tkxo0alpB2LNU4uaX0sfUmFbT/0+8z4k7fKWKcOKwyrfP3DNo2G7DKy3cOq5cuU+6c0uY JJsCdpDoN1E/2PJO7qMCUQDni9YsnUDs2WWHKFsMmbCwOIwIysU74artFyhLvdIL2SQl7iwRQPUD uTFxvC3nSVQ/LA97U0zv1cBIadyia2fmc01afcFSwIGAIYntEHglRMLdtYWhvruDHddiZ9D9N/z5 pjBqVTmSF3ehthEcGIcBkIbuAYbZ8wdROrwySrKGo5xGVhs9cf3NsC9N50SkYhXs2zvhKhTJ5Cm/ r7kdZHUX9OVrLBf+Ol52IIOsD59Zeonj5KYTfLuscZW5MkSB0L+NCt/sPIo24QhkJol3RsdytoBu 1gHzp5RrSc1yX5h1HtpSnyrDNan/w7CfpepqSmI5GrD9pxCSeg0hAEV5sr+3c0r7XkbuwSn2pc+L au0KrYC/NzNS6mSmFsqn3qxI4AL0K2Nrl0wEvuAjxmSOCyvUMq325IqWRT9Sq6bXv3nA1vP9z7ti 4XnTzt7XDrHEmwE8UrNuU4Q5d1WsCWA6GShcr2MUXF7875soucdnBo+iBLoaPR8q6pvm1F0vW3A8 0BwHwA4VSKupAqLJx+nthy/pA1rUWmDYu67G/wbKyjJiZBwbnZcjJWRMEIj0aDh4hXPxSnbRaWGn F9xmZcjjnkBVAPo2i6/A1HaXcTbfBQfiYb9caxoPaoGYZZeb7Hks+NdvZARkJCGEFKhxS9IsfNHY RszWu7vPSS+Dc1jcaX9rsIDM7UfWTIiukCJ4r9pUU+8tOuhIhIUf08iz0CanHVVXPiDJtTXiKyfB 15zFK8KoWSi8Vio7qrUYl2jGmg3WRpUj4qA8k/TBj4Yt57zDKey0XyP/C4xV1qQ5Kry7hVegK+S/ WaDNosz/s0RH0s9ZQ3Ee6q/81uZKY/lFQuPFOE6HuR1Ey5UysfcD/wsD1z9Dcon2886rRc0y62rl 4BI9bIOCgagsxJ/rHv65uLeraOYug+aT6FZbFIvHjkj8eqDyx4NVZIGWDOn/q/N2JI3a58u7t2dp tB/Pqa1JL8EMuRG5HdHA9sxnRStj/4WjQInyCL0dA9katv15y1R9gKzuabUPzlUxVuN0J3yuY5OB 4s4ZOigwb42/FxUrNfQpNnNDfun3YcJ9lGoZLcblsBMWsaCgCCWLd35FlTBkAwuHlDsGc7mRUkru lgdk+AmOZswV6oseb9VxC0nL31/VeLePLF4E1/ORudvoqQuYCWsxWobx3ZgxN1ng/TaR6OOIRjjE vGtgdcIPx71MJl3ipo7m+6YuClWXL2yBlIlbtkfMiG021vfuiUhU5EeSAX0CHyRoTBf9i1HSpolY ASAnzc66+5n6zGH0iZBfUyZXqiYz4Grm1jx6L+VtlaoGZnR17od+RI/A9dZ0i1ffEy7PUJRD8yWs RCBRlA4uOP6zs3NSirDooi+PYkVbht5qOGwZnlcraN65lf8+sSFkkkG/8R+FsIzG7w5PKfXZadUp MWBes/oDUJvwzxskaTU0DZ/tA0zO5Tr75obW0Rs4L6pvofLYpe4PgkkxM5H1ACJk9SJbyPGeW8L2 QizkrSzAAXjALZ0pWdJzplP6sSm693SpzFD/yIxuxtMbHf/B5I4a26wMeQR8hHaePFWkz+yfJ18C FVTJS0ur+5Nnzl9RfdG69UaAQtpaoRVIzT65exyxHG8sO5rfXmDdK4g5cfXRSiQm5CBdx5AqgF5D nsejPFvRjhjl68AH36ftx4caDTMbMaZM25PW4m7wo2LP2mqReQzUS+cRgSZqY0xiFRb/OegYcPY5 IiDxmQIfsMIDtHe4Gj+lD1PVdIWn5FQl9867wF+WNMToIwNgMCVYfA93tDxLSpq+gJIQYbeeiWOR 2kJaWaz3mkzA76mBF1iM5yShJiJ8+NzdL0LMY/b6rKKPmswVRlOMKhZ+8VS0SoDEAL94BwLg05qc KWSxSZpl8yLIbGM5jwmlaPivFnMkXd+RvJ76JnZhF+qW9msuGvnNJSdjDDGtLrv2Oeb1ttgk4Ame /Ixyn2hV32Q06I2qWAHy9KJNZowUJkJbUfqzKnuqevvVy9kWrWuOhh9zzTlCuffXyRre5Uh8ghUD 5gaUemo7TtbQAQFNZHMZNnN5wyYn+t6YXT9CIh40L+EzVQq/x0qfxe+J+n2cYFw9betz2NYqx3MD ULSHfM9i19bkTVNPmYQfFtH0ZQ+pE3FVD0zIJRRHgfyGRGDyQhXw6WBGvL9wtarHyh8RzjIE3e5v mwnxiRc3b5R9Bfx9mBg8ghiaHvT5yoTrLfOoo/PH0CVOl7TuoAcqUPM3zH+7DBvC1ZQDVvubY1cy 7yoJFcwPpo7lNV8Cm2KDP5h7eItzlF6mOseGIn1vKwf4IiwhY8+/UnS283ONAMsWUUpDKq9ZN7N2 eFTeBLH8HemnXm6n0k0Pa0D6zsYsorNACLMkpmnmGJVshnu7h+Ui4s04TzabaY70wcId4xbsKGvH BJeRJTclk1JUhpBuqUdKN+EU96YQg062qgsClPfnE0E9oJuGLo4WoHIJRQfzLG7KPQ/6A625VNJ2 I+OByu2nuWh8gSXmBCXyXJf92SGoQjJECugTw+6R7A3xQQlL0NTVBFCV/rW0slktSWzJiTQMMZnm nCRJ9P8h/FkmRYIhty6PvnUZYt9Jzxybmv1oQLO5VHy1S1M0WxU8tjq+K+w3Dsucb1F3o232FUSX IqpC/Z+lKtKRd1Zd6iCh18ZBLwi6XwerqI7YZpeeFDB2XEeTRlxkUZEO8PbQqAvhjL6Dr0FpDDfh zND2H2Uo06jDkKUfLOla5Ow8kOGQZT7aNOb0f/9UVgtY1DO25nl89fUYqeI3AhHb4ywh8XgfHVb3 Ur+BM4kJrRL5+/7Vw3vwh7cc5yIz8cTa2WxtBXog8f/kIWhhCJkbWG2fajFrpvHJHUTqSNuXfoTk qeItoaQ4BkQbOEOD8XiKLSRkDHsfW7OYWbfg5IKzI6dWHkwbMRE3W/m7zOrwHDtInH2uLcQFyWes MJdZRolhOYxWByC1qIXDAbLwUl6VkCkk/pZkztkhffc7g+nLe/TVSTge0FVxtGJ7fY5LlZBTl6Tv kN2qx40e/aRH7d4m8DlcuHtq6cIzateg/xT7W8Zm6FpyjaY6kRBSq5xbWC2kawtbk8i9OJKjSNny cWhDc9iSvL1rCMWZ4f8ce33A+IWWNBCe1cPnW1K898F1ZkXk+YAw92pFnXbVJhGicOgAG4DcIr1A /2oO53RKXavf7DnSTR82JzcsLMBC+eTDHtN7M0HMojqPHKPC6EGxdB9DhJ3gJn9d+jXQCTk6uZDl KHK1Wi0mLCXKpLKJU7m6/Swy9KE+t+00K9h/X232BhOGzU2frWfQnEwjrqrsdUu/J2oTzPTwJ1gA 4KBMFnCENBA9ab2bkL2ah2DwLQjwfX+5UPfgfWxOTXZmuBPaTYF75TN5+MKMaDXeRID7oChbaGMi oYXFzvqM1D5ptA3dAK1wDc/4lcWu2U37z0lAQpVQa08suDS8yKVrb/TaCKINigES9OGHhW85Qhx5 v456LxkumTupj0bHcbs8/PoRw21dJAWQWptgzOmXs0rF5EMZ1GKSaArroSLmnc6/DgjQsqHTuEXZ q7pjVFRnkeSF114Tb/perNUO9gjXRO52Y/xpzQLevpBnQbXeoPLvJmvbHxbyCpZTMCXA5jl6KZtc NQRsSKY4QcRu7PoYgZxcG0+hloJYjjMBObe9qv9v8CZwB0L4K06eO60omP7Oh5FDwIg374GxD2Zx D/MAOBditZLH0ZGqEuEoZHNPkhqkPlEN3tJwjWHbL9aC83oZuuHAoasa3lE8dg6WTITk1LKVOeFv llcVB9ROr9zkOlr8uBnpB5bJQWLkgIwFCCfZiKk2Eu8dzYrHFUBa+8m5uo9GnppQygQ9lEGr6W5J 4nqkC5pmOUGLtrF82ZK0luf7f20gkYiUQBhf/CGtDXH7dBkzQIx4IeOG8oCUbuMj9Tyvl27MaCqw FAWXqnyifWu3Dj5lE07uIEXb8wKsylQxUCmy+fNXHBI0eXPK8hUfPHNz1emFV40I1G0Z7kr09NGt Dqd9tCxMVWl1+V5oPQ== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XyENI6N1WDT3n7+/aqH3vl3nh9uETG894NG9wgWoXfUqeTz3vPfjWxm+enqE5ZqO36i+HD20G/z2 P4Z48K13jg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Tt/L2l4YcxwCRC+1n/HaeaDAgqg7Nk9X+XcCqnBX5WXbYFd7g2tD21azngE0RxGM2gSDyGJ/Fe3U ZfELP2XhU7gZ9DBK6WvUqWi1vU4YOSKBh5EBuean80UjDnFaY1tIlYtuMcIqVVX+ERTxde6B1+Aj cyFEdhDsPqtksROLjaM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block S9mFMgh42gAT6CAm+XnW30LAjxZNGsfnVIAuaxlm3drL0Rq3u5vNR1IR0mij+MJFHhwLpnbF8EIK HooLNBcg713WKtzu8cgmGI0O4PebJx7jM8QUcfqPoibzRYhUYJ+G7Yta5dj1C65tmA03jX3eKSn2 cJVRMGKoSOb2Yfikz2XfoqfwujoEtB1Pdg6tvdcii6xQpNtvHOaHF56Pk/TOrzq2HFmo95H+B+Pu dEs4rsPZ9dSGfM3xrS21Ju5kefI+9dIaJ0egcksYnQhYJunk821TAZiA3QLJtZsCeSmE56ZvB5fH 2zR95uFiDnxGc8MdD7YQZuIfgNMNV7Cgw4dmhA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kc3Dm0Jcuv721jyVwIgJU9WWRzMfi8OedMJZSogWe0HHi881xg2oJbqD2TAnTaLNOHGuV2l22IRc PXpCetxJkF+VzFLkvbu5dN5k/10WBEnLdcg3NDkStYwwXopJSQFiIPNaiHJfye5snLJJDcViyDZZ tshL7CEwNYE3u3YXMNs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nUMZddx/mfZJSbFLacFOXHAF7tGQhDh2J+dQrnw0qDBYBvAvLvYzyWtvQJHion8d19RzD9Gc68UV /M+F0Y/T9IcF1C+bwQW2UlCJfO6CpI3+DUiSD7O0MQlkxm4srecWhgsmjdHLrktLJvkguOD4+hc7 LSEIl0wlVWefLg5ywylk7eZLnx6KdeH65KuCfuG/YT1IEzfobTVkWGPRWFsgRRpfi2MfO+tWG6X2 TI0XNL6DqP6vd2mld3iutqzcb8PGCti9+LtYLb9z5aHPFYT6A4Beqlqw5Xoj5WelRc5ptVjAizW0 4hMyJs64L9Es2aFbFOGJnTOY/zgApQ5mZz++aQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5200) `protect data_block jHFuiFw3LpQFQ/dzAkCy534O5CN5MGkWaRjYTAoWT41J7B/4uqLrFBgnn1bzTl/VWsSd/WAUrdXc oauHCrlfJ3fz5qzAD9CkC8Piam1Ym4x4NM7uUDOtW8CB5RpkC/g/6NTgwnCBka9iLcBJEOKmNSF7 QM3vSKVH6Yxcjv50sigFDQ72eYNXEAmh5sX8wpJpPO8qH8Da3M/UusTKxuBtQu1xsIDFeXzAdqba oZkaZFXnRp4S1unn2T99GW1161E0FrRy7LWxr+Dw/y75lzD9E84yuOjjGabh/4rvRVFjnU6ADSHE pcXLjOI184saZ/w1STJSpFMkDU4nhs4V+53uu6UD0Jl7qsTOYbIcuFFqRDjdNLLLY12YfMZxpvky 5cEnpjSMCeBf0ncRdOQdjNNNPR32+zT30oLZiOmkgy0rOdSQ4TbJSDTeMP1kFZ0CbjEWKgE+A1Yj ajoOxwCewb9pJsEkqDYKDbI25eZ+5/7DuHk+TcU7Q0J1T4Fgl5POmcq6s79bn2dj3h7dXyFRXczf i4fomyVzsaItJjBQ/YG7Ta+kExNypwrBptdVhhltzLSTq7qSSGLdok8PFseDwirS/t0RvC2suG5t lzeZpKg0B7BWE7Sj8us4Rsq/rSO8gQeKs/+IGLL1NEYqOAYSfxPn69S4/GGj6dsaAWsY0ykS64j8 /dr0+UqrZezQDMISEHUhUFb3XQcMbYqHKsOL4dWkkQKD/ltzQQPMfBLyroc/yLilzbBA4hMGi8pm l36XjyftT6y0nRA4txgI5k0qUVo6f1d51eIvkG3KBKz8rNpIvxBKCeAJStlSnFvkwNsHiRv747Po tRlnZyAdR9C/NH6QK/oFWVvO8T7AuBVvNOqloDbMh896tbDxx4u7sHWiLdDOf2GOzqYJroHnm1ns 8jEEqUVMDsh8ZSSoYGe6s9x/yiRNxAdsS/7MAgqJAJT2uAvsHx6YxV5ipOId+1qBNivj9/4t/Exv XX34h8od6R5pXfugUJd9CueLrRpi5DnNA6x8yoxPhZPHF9RdI+wGtxdAeLFCxkkSBC06l96+0UfF nMwLF/M7oZYcWje/SrkK9J63ZnCnZoAmFytFTCRtXtk9d5fzR8litEtzmfTlcjXHf4JLrDQpAPGi wwj6XcytyGfaxbIF01Hyi3m1/PWkoT76lBzO/iWYzoJsLvXaXz3Vik5swrtXVSh+w4CShvMAKNMU EZ0Ak58qAT5X7Wv7OKoyyODtceI3tmKdtJYp6aeLucxzjKHfQGMnYGFstjWDZwp9lN9Z9nwnC2kl SRz+GITosyRYnW1A39T/gcjblqHQwOHvZdbIRO7uuQOzQK1Doqe4h26v06JEdlx67SFWVEKqFQqF nGbHl1hpfY3Qhq786GEnhNz54Mf9rhmQKvwfSz6vAcoiyyLwNIzm0TEwQsksB+QM+8D2GcEnu7a6 jZejhDxXac/rZcD2L5f/X8qIDDZ6M4T/l/9Ap/7vKAYJgno6Xce7iSY6xiiZbhlJ8GLETRVW77NF zLCU4sJ2KrCyj2CjnZcxPR2G8tFpaGURrI7UpZpJxMtb93WBmRpQNO2N2TiEb/Bw5N9J79VK9vJD 2ymFBO9lQanizk+gfgNXX19Y2qTe7lc/ynVILLKxFLGPWaWxZ3hPZVXtNMRsjjBsFPu74+xIN+MO t+FlWVKhHT2e4gVVbvMz09/XojLd6z5WnrZ7kvL0Urq7sbJtLky29lYBlAs19exK+oblIIZmcBnE eDzfQmY4WW8kpSpiQOo0yf35fedpKmH/AAS2lgXkzOjnOxoqe6WYS6k7UrQAAzUWNFGFk2oLrIHJ 1fD8IEBkh+h1oGpAMrchQjGziFp2cdvDLkEx5v1qCj8yIbQ6RAJSCxljbZE/18//U5g4y9wWAnSY Ox6rz1mos41FTqbPIozUArc7BsjOoGOEQ8UX9UkoeTM5NPWhBotV78EwNp1hK+/qpvYJO6TqpmDv gmQjk1T8i1SSorPEwHARWK9zW/RBR3Q8S8mbJKXd3HVvYogsOut4iRCITp0DdStBDwOfMY49PcEi RDqnXLFsFmAgBKijdkRyyWxmb3CwuEPyv6e9o9aRRPvryuiH4bx2yBVQ4Z2H+XBKpJC2Itn1lruF Y5iJONe3slQkxkP+xbUQHcYMS0yKpoRDC6bQ6MsseJw9HH9zlWWNecuwG/vePk4mPfdaLaFOytcJ mkFL55aFP1JnUwbd2ygKrdQm2E9I8z3bVVdQkpg+CaP+3KR+H/7ve20aPr6OWtp3M4Hkb0by0x+5 BIGBIGzbDphjHHGQ2uw4uOCGlT0n+v40aBHr8fhtG6/DCe89L4pGMF2MXLP+ixxqT6xHzoGXDbsK 6sFWnTz7QCTyDMiwisgsj95F0TxYbCUPYEHmIDlROCoMgc88gKxrSO1msvkg/f/C0tufhDVSLbuB 8oYo8JxAToNwafWINhmMAIJtf4AhbWjpO8lYPfHF5N/N5DahG5YL9cKudDH8OBPXYX5KS4nZw3Qn 0K6bwGxVeM3UJVH66vUVjpfxCac976aSPd9gaBm/98W8XJSt+tB7Ys/mFxYPGf/DWHA7Lt2bESjO n7JCMYDV1LF6aCasJU1er9VnEvT3Bp6MYagY0sqf7cKlkuJiiuN1zEMrITpe6unFKzczM7IFiuBw 9PwvfFH4UY1og8i6kxEZUh2xum3UMfOpnpWOtklr3AItKMYB7QAjoQ2dHSS58tRaaBbtrfO2G4ZI cCMmyIep8oEM+a5CcItrgiYIRhKqIhm1L0ihys37PfJ/1CNKSmwKScSVlCHb5qtH1mxIOruh25zK JUPlEj+tkxo0alpB2LNU4uaX0sfUmFbT/0+8z4k7fKWKcOKwyrfP3DNo2G7DKy3cOq5cuU+6c0uY JJsCdpDoN1E/2PJO7qMCUQDni9YsnUDs2WWHKFsMmbCwOIwIysU74artFyhLvdIL2SQl7iwRQPUD uTFxvC3nSVQ/LA97U0zv1cBIadyia2fmc01afcFSwIGAIYntEHglRMLdtYWhvruDHddiZ9D9N/z5 pjBqVTmSF3ehthEcGIcBkIbuAYbZ8wdROrwySrKGo5xGVhs9cf3NsC9N50SkYhXs2zvhKhTJ5Cm/ r7kdZHUX9OVrLBf+Ol52IIOsD59Zeonj5KYTfLuscZW5MkSB0L+NCt/sPIo24QhkJol3RsdytoBu 1gHzp5RrSc1yX5h1HtpSnyrDNan/w7CfpepqSmI5GrD9pxCSeg0hAEV5sr+3c0r7XkbuwSn2pc+L au0KrYC/NzNS6mSmFsqn3qxI4AL0K2Nrl0wEvuAjxmSOCyvUMq325IqWRT9Sq6bXv3nA1vP9z7ti 4XnTzt7XDrHEmwE8UrNuU4Q5d1WsCWA6GShcr2MUXF7875soucdnBo+iBLoaPR8q6pvm1F0vW3A8 0BwHwA4VSKupAqLJx+nthy/pA1rUWmDYu67G/wbKyjJiZBwbnZcjJWRMEIj0aDh4hXPxSnbRaWGn F9xmZcjjnkBVAPo2i6/A1HaXcTbfBQfiYb9caxoPaoGYZZeb7Hks+NdvZARkJCGEFKhxS9IsfNHY RszWu7vPSS+Dc1jcaX9rsIDM7UfWTIiukCJ4r9pUU+8tOuhIhIUf08iz0CanHVVXPiDJtTXiKyfB 15zFK8KoWSi8Vio7qrUYl2jGmg3WRpUj4qA8k/TBj4Yt57zDKey0XyP/C4xV1qQ5Kry7hVegK+S/ WaDNosz/s0RH0s9ZQ3Ee6q/81uZKY/lFQuPFOE6HuR1Ey5UysfcD/wsD1z9Dcon2886rRc0y62rl 4BI9bIOCgagsxJ/rHv65uLeraOYug+aT6FZbFIvHjkj8eqDyx4NVZIGWDOn/q/N2JI3a58u7t2dp tB/Pqa1JL8EMuRG5HdHA9sxnRStj/4WjQInyCL0dA9katv15y1R9gKzuabUPzlUxVuN0J3yuY5OB 4s4ZOigwb42/FxUrNfQpNnNDfun3YcJ9lGoZLcblsBMWsaCgCCWLd35FlTBkAwuHlDsGc7mRUkru lgdk+AmOZswV6oseb9VxC0nL31/VeLePLF4E1/ORudvoqQuYCWsxWobx3ZgxN1ng/TaR6OOIRjjE vGtgdcIPx71MJl3ipo7m+6YuClWXL2yBlIlbtkfMiG021vfuiUhU5EeSAX0CHyRoTBf9i1HSpolY ASAnzc66+5n6zGH0iZBfUyZXqiYz4Grm1jx6L+VtlaoGZnR17od+RI/A9dZ0i1ffEy7PUJRD8yWs RCBRlA4uOP6zs3NSirDooi+PYkVbht5qOGwZnlcraN65lf8+sSFkkkG/8R+FsIzG7w5PKfXZadUp MWBes/oDUJvwzxskaTU0DZ/tA0zO5Tr75obW0Rs4L6pvofLYpe4PgkkxM5H1ACJk9SJbyPGeW8L2 QizkrSzAAXjALZ0pWdJzplP6sSm693SpzFD/yIxuxtMbHf/B5I4a26wMeQR8hHaePFWkz+yfJ18C FVTJS0ur+5Nnzl9RfdG69UaAQtpaoRVIzT65exyxHG8sO5rfXmDdK4g5cfXRSiQm5CBdx5AqgF5D nsejPFvRjhjl68AH36ftx4caDTMbMaZM25PW4m7wo2LP2mqReQzUS+cRgSZqY0xiFRb/OegYcPY5 IiDxmQIfsMIDtHe4Gj+lD1PVdIWn5FQl9867wF+WNMToIwNgMCVYfA93tDxLSpq+gJIQYbeeiWOR 2kJaWaz3mkzA76mBF1iM5yShJiJ8+NzdL0LMY/b6rKKPmswVRlOMKhZ+8VS0SoDEAL94BwLg05qc KWSxSZpl8yLIbGM5jwmlaPivFnMkXd+RvJ76JnZhF+qW9msuGvnNJSdjDDGtLrv2Oeb1ttgk4Ame /Ixyn2hV32Q06I2qWAHy9KJNZowUJkJbUfqzKnuqevvVy9kWrWuOhh9zzTlCuffXyRre5Uh8ghUD 5gaUemo7TtbQAQFNZHMZNnN5wyYn+t6YXT9CIh40L+EzVQq/x0qfxe+J+n2cYFw9betz2NYqx3MD ULSHfM9i19bkTVNPmYQfFtH0ZQ+pE3FVD0zIJRRHgfyGRGDyQhXw6WBGvL9wtarHyh8RzjIE3e5v mwnxiRc3b5R9Bfx9mBg8ghiaHvT5yoTrLfOoo/PH0CVOl7TuoAcqUPM3zH+7DBvC1ZQDVvubY1cy 7yoJFcwPpo7lNV8Cm2KDP5h7eItzlF6mOseGIn1vKwf4IiwhY8+/UnS283ONAMsWUUpDKq9ZN7N2 eFTeBLH8HemnXm6n0k0Pa0D6zsYsorNACLMkpmnmGJVshnu7h+Ui4s04TzabaY70wcId4xbsKGvH BJeRJTclk1JUhpBuqUdKN+EU96YQg062qgsClPfnE0E9oJuGLo4WoHIJRQfzLG7KPQ/6A625VNJ2 I+OByu2nuWh8gSXmBCXyXJf92SGoQjJECugTw+6R7A3xQQlL0NTVBFCV/rW0slktSWzJiTQMMZnm nCRJ9P8h/FkmRYIhty6PvnUZYt9Jzxybmv1oQLO5VHy1S1M0WxU8tjq+K+w3Dsucb1F3o232FUSX IqpC/Z+lKtKRd1Zd6iCh18ZBLwi6XwerqI7YZpeeFDB2XEeTRlxkUZEO8PbQqAvhjL6Dr0FpDDfh zND2H2Uo06jDkKUfLOla5Ow8kOGQZT7aNOb0f/9UVgtY1DO25nl89fUYqeI3AhHb4ywh8XgfHVb3 Ur+BM4kJrRL5+/7Vw3vwh7cc5yIz8cTa2WxtBXog8f/kIWhhCJkbWG2fajFrpvHJHUTqSNuXfoTk qeItoaQ4BkQbOEOD8XiKLSRkDHsfW7OYWbfg5IKzI6dWHkwbMRE3W/m7zOrwHDtInH2uLcQFyWes MJdZRolhOYxWByC1qIXDAbLwUl6VkCkk/pZkztkhffc7g+nLe/TVSTge0FVxtGJ7fY5LlZBTl6Tv kN2qx40e/aRH7d4m8DlcuHtq6cIzateg/xT7W8Zm6FpyjaY6kRBSq5xbWC2kawtbk8i9OJKjSNny cWhDc9iSvL1rCMWZ4f8ce33A+IWWNBCe1cPnW1K898F1ZkXk+YAw92pFnXbVJhGicOgAG4DcIr1A /2oO53RKXavf7DnSTR82JzcsLMBC+eTDHtN7M0HMojqPHKPC6EGxdB9DhJ3gJn9d+jXQCTk6uZDl KHK1Wi0mLCXKpLKJU7m6/Swy9KE+t+00K9h/X232BhOGzU2frWfQnEwjrqrsdUu/J2oTzPTwJ1gA 4KBMFnCENBA9ab2bkL2ah2DwLQjwfX+5UPfgfWxOTXZmuBPaTYF75TN5+MKMaDXeRID7oChbaGMi oYXFzvqM1D5ptA3dAK1wDc/4lcWu2U37z0lAQpVQa08suDS8yKVrb/TaCKINigES9OGHhW85Qhx5 v456LxkumTupj0bHcbs8/PoRw21dJAWQWptgzOmXs0rF5EMZ1GKSaArroSLmnc6/DgjQsqHTuEXZ q7pjVFRnkeSF114Tb/perNUO9gjXRO52Y/xpzQLevpBnQbXeoPLvJmvbHxbyCpZTMCXA5jl6KZtc NQRsSKY4QcRu7PoYgZxcG0+hloJYjjMBObe9qv9v8CZwB0L4K06eO60omP7Oh5FDwIg374GxD2Zx D/MAOBditZLH0ZGqEuEoZHNPkhqkPlEN3tJwjWHbL9aC83oZuuHAoasa3lE8dg6WTITk1LKVOeFv llcVB9ROr9zkOlr8uBnpB5bJQWLkgIwFCCfZiKk2Eu8dzYrHFUBa+8m5uo9GnppQygQ9lEGr6W5J 4nqkC5pmOUGLtrF82ZK0luf7f20gkYiUQBhf/CGtDXH7dBkzQIx4IeOG8oCUbuMj9Tyvl27MaCqw FAWXqnyifWu3Dj5lE07uIEXb8wKsylQxUCmy+fNXHBI0eXPK8hUfPHNz1emFV40I1G0Z7kr09NGt Dqd9tCxMVWl1+V5oPQ== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XyENI6N1WDT3n7+/aqH3vl3nh9uETG894NG9wgWoXfUqeTz3vPfjWxm+enqE5ZqO36i+HD20G/z2 P4Z48K13jg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Tt/L2l4YcxwCRC+1n/HaeaDAgqg7Nk9X+XcCqnBX5WXbYFd7g2tD21azngE0RxGM2gSDyGJ/Fe3U ZfELP2XhU7gZ9DBK6WvUqWi1vU4YOSKBh5EBuean80UjDnFaY1tIlYtuMcIqVVX+ERTxde6B1+Aj cyFEdhDsPqtksROLjaM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block S9mFMgh42gAT6CAm+XnW30LAjxZNGsfnVIAuaxlm3drL0Rq3u5vNR1IR0mij+MJFHhwLpnbF8EIK HooLNBcg713WKtzu8cgmGI0O4PebJx7jM8QUcfqPoibzRYhUYJ+G7Yta5dj1C65tmA03jX3eKSn2 cJVRMGKoSOb2Yfikz2XfoqfwujoEtB1Pdg6tvdcii6xQpNtvHOaHF56Pk/TOrzq2HFmo95H+B+Pu dEs4rsPZ9dSGfM3xrS21Ju5kefI+9dIaJ0egcksYnQhYJunk821TAZiA3QLJtZsCeSmE56ZvB5fH 2zR95uFiDnxGc8MdD7YQZuIfgNMNV7Cgw4dmhA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kc3Dm0Jcuv721jyVwIgJU9WWRzMfi8OedMJZSogWe0HHi881xg2oJbqD2TAnTaLNOHGuV2l22IRc PXpCetxJkF+VzFLkvbu5dN5k/10WBEnLdcg3NDkStYwwXopJSQFiIPNaiHJfye5snLJJDcViyDZZ tshL7CEwNYE3u3YXMNs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nUMZddx/mfZJSbFLacFOXHAF7tGQhDh2J+dQrnw0qDBYBvAvLvYzyWtvQJHion8d19RzD9Gc68UV /M+F0Y/T9IcF1C+bwQW2UlCJfO6CpI3+DUiSD7O0MQlkxm4srecWhgsmjdHLrktLJvkguOD4+hc7 LSEIl0wlVWefLg5ywylk7eZLnx6KdeH65KuCfuG/YT1IEzfobTVkWGPRWFsgRRpfi2MfO+tWG6X2 TI0XNL6DqP6vd2mld3iutqzcb8PGCti9+LtYLb9z5aHPFYT6A4Beqlqw5Xoj5WelRc5ptVjAizW0 4hMyJs64L9Es2aFbFOGJnTOY/zgApQ5mZz++aQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5200) `protect data_block jHFuiFw3LpQFQ/dzAkCy534O5CN5MGkWaRjYTAoWT41J7B/4uqLrFBgnn1bzTl/VWsSd/WAUrdXc oauHCrlfJ3fz5qzAD9CkC8Piam1Ym4x4NM7uUDOtW8CB5RpkC/g/6NTgwnCBka9iLcBJEOKmNSF7 QM3vSKVH6Yxcjv50sigFDQ72eYNXEAmh5sX8wpJpPO8qH8Da3M/UusTKxuBtQu1xsIDFeXzAdqba oZkaZFXnRp4S1unn2T99GW1161E0FrRy7LWxr+Dw/y75lzD9E84yuOjjGabh/4rvRVFjnU6ADSHE pcXLjOI184saZ/w1STJSpFMkDU4nhs4V+53uu6UD0Jl7qsTOYbIcuFFqRDjdNLLLY12YfMZxpvky 5cEnpjSMCeBf0ncRdOQdjNNNPR32+zT30oLZiOmkgy0rOdSQ4TbJSDTeMP1kFZ0CbjEWKgE+A1Yj ajoOxwCewb9pJsEkqDYKDbI25eZ+5/7DuHk+TcU7Q0J1T4Fgl5POmcq6s79bn2dj3h7dXyFRXczf i4fomyVzsaItJjBQ/YG7Ta+kExNypwrBptdVhhltzLSTq7qSSGLdok8PFseDwirS/t0RvC2suG5t lzeZpKg0B7BWE7Sj8us4Rsq/rSO8gQeKs/+IGLL1NEYqOAYSfxPn69S4/GGj6dsaAWsY0ykS64j8 /dr0+UqrZezQDMISEHUhUFb3XQcMbYqHKsOL4dWkkQKD/ltzQQPMfBLyroc/yLilzbBA4hMGi8pm l36XjyftT6y0nRA4txgI5k0qUVo6f1d51eIvkG3KBKz8rNpIvxBKCeAJStlSnFvkwNsHiRv747Po tRlnZyAdR9C/NH6QK/oFWVvO8T7AuBVvNOqloDbMh896tbDxx4u7sHWiLdDOf2GOzqYJroHnm1ns 8jEEqUVMDsh8ZSSoYGe6s9x/yiRNxAdsS/7MAgqJAJT2uAvsHx6YxV5ipOId+1qBNivj9/4t/Exv XX34h8od6R5pXfugUJd9CueLrRpi5DnNA6x8yoxPhZPHF9RdI+wGtxdAeLFCxkkSBC06l96+0UfF nMwLF/M7oZYcWje/SrkK9J63ZnCnZoAmFytFTCRtXtk9d5fzR8litEtzmfTlcjXHf4JLrDQpAPGi wwj6XcytyGfaxbIF01Hyi3m1/PWkoT76lBzO/iWYzoJsLvXaXz3Vik5swrtXVSh+w4CShvMAKNMU EZ0Ak58qAT5X7Wv7OKoyyODtceI3tmKdtJYp6aeLucxzjKHfQGMnYGFstjWDZwp9lN9Z9nwnC2kl SRz+GITosyRYnW1A39T/gcjblqHQwOHvZdbIRO7uuQOzQK1Doqe4h26v06JEdlx67SFWVEKqFQqF nGbHl1hpfY3Qhq786GEnhNz54Mf9rhmQKvwfSz6vAcoiyyLwNIzm0TEwQsksB+QM+8D2GcEnu7a6 jZejhDxXac/rZcD2L5f/X8qIDDZ6M4T/l/9Ap/7vKAYJgno6Xce7iSY6xiiZbhlJ8GLETRVW77NF zLCU4sJ2KrCyj2CjnZcxPR2G8tFpaGURrI7UpZpJxMtb93WBmRpQNO2N2TiEb/Bw5N9J79VK9vJD 2ymFBO9lQanizk+gfgNXX19Y2qTe7lc/ynVILLKxFLGPWaWxZ3hPZVXtNMRsjjBsFPu74+xIN+MO t+FlWVKhHT2e4gVVbvMz09/XojLd6z5WnrZ7kvL0Urq7sbJtLky29lYBlAs19exK+oblIIZmcBnE eDzfQmY4WW8kpSpiQOo0yf35fedpKmH/AAS2lgXkzOjnOxoqe6WYS6k7UrQAAzUWNFGFk2oLrIHJ 1fD8IEBkh+h1oGpAMrchQjGziFp2cdvDLkEx5v1qCj8yIbQ6RAJSCxljbZE/18//U5g4y9wWAnSY Ox6rz1mos41FTqbPIozUArc7BsjOoGOEQ8UX9UkoeTM5NPWhBotV78EwNp1hK+/qpvYJO6TqpmDv gmQjk1T8i1SSorPEwHARWK9zW/RBR3Q8S8mbJKXd3HVvYogsOut4iRCITp0DdStBDwOfMY49PcEi RDqnXLFsFmAgBKijdkRyyWxmb3CwuEPyv6e9o9aRRPvryuiH4bx2yBVQ4Z2H+XBKpJC2Itn1lruF Y5iJONe3slQkxkP+xbUQHcYMS0yKpoRDC6bQ6MsseJw9HH9zlWWNecuwG/vePk4mPfdaLaFOytcJ mkFL55aFP1JnUwbd2ygKrdQm2E9I8z3bVVdQkpg+CaP+3KR+H/7ve20aPr6OWtp3M4Hkb0by0x+5 BIGBIGzbDphjHHGQ2uw4uOCGlT0n+v40aBHr8fhtG6/DCe89L4pGMF2MXLP+ixxqT6xHzoGXDbsK 6sFWnTz7QCTyDMiwisgsj95F0TxYbCUPYEHmIDlROCoMgc88gKxrSO1msvkg/f/C0tufhDVSLbuB 8oYo8JxAToNwafWINhmMAIJtf4AhbWjpO8lYPfHF5N/N5DahG5YL9cKudDH8OBPXYX5KS4nZw3Qn 0K6bwGxVeM3UJVH66vUVjpfxCac976aSPd9gaBm/98W8XJSt+tB7Ys/mFxYPGf/DWHA7Lt2bESjO n7JCMYDV1LF6aCasJU1er9VnEvT3Bp6MYagY0sqf7cKlkuJiiuN1zEMrITpe6unFKzczM7IFiuBw 9PwvfFH4UY1og8i6kxEZUh2xum3UMfOpnpWOtklr3AItKMYB7QAjoQ2dHSS58tRaaBbtrfO2G4ZI cCMmyIep8oEM+a5CcItrgiYIRhKqIhm1L0ihys37PfJ/1CNKSmwKScSVlCHb5qtH1mxIOruh25zK JUPlEj+tkxo0alpB2LNU4uaX0sfUmFbT/0+8z4k7fKWKcOKwyrfP3DNo2G7DKy3cOq5cuU+6c0uY JJsCdpDoN1E/2PJO7qMCUQDni9YsnUDs2WWHKFsMmbCwOIwIysU74artFyhLvdIL2SQl7iwRQPUD uTFxvC3nSVQ/LA97U0zv1cBIadyia2fmc01afcFSwIGAIYntEHglRMLdtYWhvruDHddiZ9D9N/z5 pjBqVTmSF3ehthEcGIcBkIbuAYbZ8wdROrwySrKGo5xGVhs9cf3NsC9N50SkYhXs2zvhKhTJ5Cm/ r7kdZHUX9OVrLBf+Ol52IIOsD59Zeonj5KYTfLuscZW5MkSB0L+NCt/sPIo24QhkJol3RsdytoBu 1gHzp5RrSc1yX5h1HtpSnyrDNan/w7CfpepqSmI5GrD9pxCSeg0hAEV5sr+3c0r7XkbuwSn2pc+L au0KrYC/NzNS6mSmFsqn3qxI4AL0K2Nrl0wEvuAjxmSOCyvUMq325IqWRT9Sq6bXv3nA1vP9z7ti 4XnTzt7XDrHEmwE8UrNuU4Q5d1WsCWA6GShcr2MUXF7875soucdnBo+iBLoaPR8q6pvm1F0vW3A8 0BwHwA4VSKupAqLJx+nthy/pA1rUWmDYu67G/wbKyjJiZBwbnZcjJWRMEIj0aDh4hXPxSnbRaWGn F9xmZcjjnkBVAPo2i6/A1HaXcTbfBQfiYb9caxoPaoGYZZeb7Hks+NdvZARkJCGEFKhxS9IsfNHY RszWu7vPSS+Dc1jcaX9rsIDM7UfWTIiukCJ4r9pUU+8tOuhIhIUf08iz0CanHVVXPiDJtTXiKyfB 15zFK8KoWSi8Vio7qrUYl2jGmg3WRpUj4qA8k/TBj4Yt57zDKey0XyP/C4xV1qQ5Kry7hVegK+S/ WaDNosz/s0RH0s9ZQ3Ee6q/81uZKY/lFQuPFOE6HuR1Ey5UysfcD/wsD1z9Dcon2886rRc0y62rl 4BI9bIOCgagsxJ/rHv65uLeraOYug+aT6FZbFIvHjkj8eqDyx4NVZIGWDOn/q/N2JI3a58u7t2dp tB/Pqa1JL8EMuRG5HdHA9sxnRStj/4WjQInyCL0dA9katv15y1R9gKzuabUPzlUxVuN0J3yuY5OB 4s4ZOigwb42/FxUrNfQpNnNDfun3YcJ9lGoZLcblsBMWsaCgCCWLd35FlTBkAwuHlDsGc7mRUkru lgdk+AmOZswV6oseb9VxC0nL31/VeLePLF4E1/ORudvoqQuYCWsxWobx3ZgxN1ng/TaR6OOIRjjE vGtgdcIPx71MJl3ipo7m+6YuClWXL2yBlIlbtkfMiG021vfuiUhU5EeSAX0CHyRoTBf9i1HSpolY ASAnzc66+5n6zGH0iZBfUyZXqiYz4Grm1jx6L+VtlaoGZnR17od+RI/A9dZ0i1ffEy7PUJRD8yWs RCBRlA4uOP6zs3NSirDooi+PYkVbht5qOGwZnlcraN65lf8+sSFkkkG/8R+FsIzG7w5PKfXZadUp MWBes/oDUJvwzxskaTU0DZ/tA0zO5Tr75obW0Rs4L6pvofLYpe4PgkkxM5H1ACJk9SJbyPGeW8L2 QizkrSzAAXjALZ0pWdJzplP6sSm693SpzFD/yIxuxtMbHf/B5I4a26wMeQR8hHaePFWkz+yfJ18C FVTJS0ur+5Nnzl9RfdG69UaAQtpaoRVIzT65exyxHG8sO5rfXmDdK4g5cfXRSiQm5CBdx5AqgF5D nsejPFvRjhjl68AH36ftx4caDTMbMaZM25PW4m7wo2LP2mqReQzUS+cRgSZqY0xiFRb/OegYcPY5 IiDxmQIfsMIDtHe4Gj+lD1PVdIWn5FQl9867wF+WNMToIwNgMCVYfA93tDxLSpq+gJIQYbeeiWOR 2kJaWaz3mkzA76mBF1iM5yShJiJ8+NzdL0LMY/b6rKKPmswVRlOMKhZ+8VS0SoDEAL94BwLg05qc KWSxSZpl8yLIbGM5jwmlaPivFnMkXd+RvJ76JnZhF+qW9msuGvnNJSdjDDGtLrv2Oeb1ttgk4Ame /Ixyn2hV32Q06I2qWAHy9KJNZowUJkJbUfqzKnuqevvVy9kWrWuOhh9zzTlCuffXyRre5Uh8ghUD 5gaUemo7TtbQAQFNZHMZNnN5wyYn+t6YXT9CIh40L+EzVQq/x0qfxe+J+n2cYFw9betz2NYqx3MD ULSHfM9i19bkTVNPmYQfFtH0ZQ+pE3FVD0zIJRRHgfyGRGDyQhXw6WBGvL9wtarHyh8RzjIE3e5v mwnxiRc3b5R9Bfx9mBg8ghiaHvT5yoTrLfOoo/PH0CVOl7TuoAcqUPM3zH+7DBvC1ZQDVvubY1cy 7yoJFcwPpo7lNV8Cm2KDP5h7eItzlF6mOseGIn1vKwf4IiwhY8+/UnS283ONAMsWUUpDKq9ZN7N2 eFTeBLH8HemnXm6n0k0Pa0D6zsYsorNACLMkpmnmGJVshnu7h+Ui4s04TzabaY70wcId4xbsKGvH BJeRJTclk1JUhpBuqUdKN+EU96YQg062qgsClPfnE0E9oJuGLo4WoHIJRQfzLG7KPQ/6A625VNJ2 I+OByu2nuWh8gSXmBCXyXJf92SGoQjJECugTw+6R7A3xQQlL0NTVBFCV/rW0slktSWzJiTQMMZnm nCRJ9P8h/FkmRYIhty6PvnUZYt9Jzxybmv1oQLO5VHy1S1M0WxU8tjq+K+w3Dsucb1F3o232FUSX IqpC/Z+lKtKRd1Zd6iCh18ZBLwi6XwerqI7YZpeeFDB2XEeTRlxkUZEO8PbQqAvhjL6Dr0FpDDfh zND2H2Uo06jDkKUfLOla5Ow8kOGQZT7aNOb0f/9UVgtY1DO25nl89fUYqeI3AhHb4ywh8XgfHVb3 Ur+BM4kJrRL5+/7Vw3vwh7cc5yIz8cTa2WxtBXog8f/kIWhhCJkbWG2fajFrpvHJHUTqSNuXfoTk qeItoaQ4BkQbOEOD8XiKLSRkDHsfW7OYWbfg5IKzI6dWHkwbMRE3W/m7zOrwHDtInH2uLcQFyWes MJdZRolhOYxWByC1qIXDAbLwUl6VkCkk/pZkztkhffc7g+nLe/TVSTge0FVxtGJ7fY5LlZBTl6Tv kN2qx40e/aRH7d4m8DlcuHtq6cIzateg/xT7W8Zm6FpyjaY6kRBSq5xbWC2kawtbk8i9OJKjSNny cWhDc9iSvL1rCMWZ4f8ce33A+IWWNBCe1cPnW1K898F1ZkXk+YAw92pFnXbVJhGicOgAG4DcIr1A /2oO53RKXavf7DnSTR82JzcsLMBC+eTDHtN7M0HMojqPHKPC6EGxdB9DhJ3gJn9d+jXQCTk6uZDl KHK1Wi0mLCXKpLKJU7m6/Swy9KE+t+00K9h/X232BhOGzU2frWfQnEwjrqrsdUu/J2oTzPTwJ1gA 4KBMFnCENBA9ab2bkL2ah2DwLQjwfX+5UPfgfWxOTXZmuBPaTYF75TN5+MKMaDXeRID7oChbaGMi oYXFzvqM1D5ptA3dAK1wDc/4lcWu2U37z0lAQpVQa08suDS8yKVrb/TaCKINigES9OGHhW85Qhx5 v456LxkumTupj0bHcbs8/PoRw21dJAWQWptgzOmXs0rF5EMZ1GKSaArroSLmnc6/DgjQsqHTuEXZ q7pjVFRnkeSF114Tb/perNUO9gjXRO52Y/xpzQLevpBnQbXeoPLvJmvbHxbyCpZTMCXA5jl6KZtc NQRsSKY4QcRu7PoYgZxcG0+hloJYjjMBObe9qv9v8CZwB0L4K06eO60omP7Oh5FDwIg374GxD2Zx D/MAOBditZLH0ZGqEuEoZHNPkhqkPlEN3tJwjWHbL9aC83oZuuHAoasa3lE8dg6WTITk1LKVOeFv llcVB9ROr9zkOlr8uBnpB5bJQWLkgIwFCCfZiKk2Eu8dzYrHFUBa+8m5uo9GnppQygQ9lEGr6W5J 4nqkC5pmOUGLtrF82ZK0luf7f20gkYiUQBhf/CGtDXH7dBkzQIx4IeOG8oCUbuMj9Tyvl27MaCqw FAWXqnyifWu3Dj5lE07uIEXb8wKsylQxUCmy+fNXHBI0eXPK8hUfPHNz1emFV40I1G0Z7kr09NGt Dqd9tCxMVWl1+V5oPQ== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XyENI6N1WDT3n7+/aqH3vl3nh9uETG894NG9wgWoXfUqeTz3vPfjWxm+enqE5ZqO36i+HD20G/z2 P4Z48K13jg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Tt/L2l4YcxwCRC+1n/HaeaDAgqg7Nk9X+XcCqnBX5WXbYFd7g2tD21azngE0RxGM2gSDyGJ/Fe3U ZfELP2XhU7gZ9DBK6WvUqWi1vU4YOSKBh5EBuean80UjDnFaY1tIlYtuMcIqVVX+ERTxde6B1+Aj cyFEdhDsPqtksROLjaM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block S9mFMgh42gAT6CAm+XnW30LAjxZNGsfnVIAuaxlm3drL0Rq3u5vNR1IR0mij+MJFHhwLpnbF8EIK HooLNBcg713WKtzu8cgmGI0O4PebJx7jM8QUcfqPoibzRYhUYJ+G7Yta5dj1C65tmA03jX3eKSn2 cJVRMGKoSOb2Yfikz2XfoqfwujoEtB1Pdg6tvdcii6xQpNtvHOaHF56Pk/TOrzq2HFmo95H+B+Pu dEs4rsPZ9dSGfM3xrS21Ju5kefI+9dIaJ0egcksYnQhYJunk821TAZiA3QLJtZsCeSmE56ZvB5fH 2zR95uFiDnxGc8MdD7YQZuIfgNMNV7Cgw4dmhA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kc3Dm0Jcuv721jyVwIgJU9WWRzMfi8OedMJZSogWe0HHi881xg2oJbqD2TAnTaLNOHGuV2l22IRc PXpCetxJkF+VzFLkvbu5dN5k/10WBEnLdcg3NDkStYwwXopJSQFiIPNaiHJfye5snLJJDcViyDZZ tshL7CEwNYE3u3YXMNs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nUMZddx/mfZJSbFLacFOXHAF7tGQhDh2J+dQrnw0qDBYBvAvLvYzyWtvQJHion8d19RzD9Gc68UV /M+F0Y/T9IcF1C+bwQW2UlCJfO6CpI3+DUiSD7O0MQlkxm4srecWhgsmjdHLrktLJvkguOD4+hc7 LSEIl0wlVWefLg5ywylk7eZLnx6KdeH65KuCfuG/YT1IEzfobTVkWGPRWFsgRRpfi2MfO+tWG6X2 TI0XNL6DqP6vd2mld3iutqzcb8PGCti9+LtYLb9z5aHPFYT6A4Beqlqw5Xoj5WelRc5ptVjAizW0 4hMyJs64L9Es2aFbFOGJnTOY/zgApQ5mZz++aQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5200) `protect data_block jHFuiFw3LpQFQ/dzAkCy534O5CN5MGkWaRjYTAoWT41J7B/4uqLrFBgnn1bzTl/VWsSd/WAUrdXc oauHCrlfJ3fz5qzAD9CkC8Piam1Ym4x4NM7uUDOtW8CB5RpkC/g/6NTgwnCBka9iLcBJEOKmNSF7 QM3vSKVH6Yxcjv50sigFDQ72eYNXEAmh5sX8wpJpPO8qH8Da3M/UusTKxuBtQu1xsIDFeXzAdqba oZkaZFXnRp4S1unn2T99GW1161E0FrRy7LWxr+Dw/y75lzD9E84yuOjjGabh/4rvRVFjnU6ADSHE pcXLjOI184saZ/w1STJSpFMkDU4nhs4V+53uu6UD0Jl7qsTOYbIcuFFqRDjdNLLLY12YfMZxpvky 5cEnpjSMCeBf0ncRdOQdjNNNPR32+zT30oLZiOmkgy0rOdSQ4TbJSDTeMP1kFZ0CbjEWKgE+A1Yj ajoOxwCewb9pJsEkqDYKDbI25eZ+5/7DuHk+TcU7Q0J1T4Fgl5POmcq6s79bn2dj3h7dXyFRXczf i4fomyVzsaItJjBQ/YG7Ta+kExNypwrBptdVhhltzLSTq7qSSGLdok8PFseDwirS/t0RvC2suG5t lzeZpKg0B7BWE7Sj8us4Rsq/rSO8gQeKs/+IGLL1NEYqOAYSfxPn69S4/GGj6dsaAWsY0ykS64j8 /dr0+UqrZezQDMISEHUhUFb3XQcMbYqHKsOL4dWkkQKD/ltzQQPMfBLyroc/yLilzbBA4hMGi8pm l36XjyftT6y0nRA4txgI5k0qUVo6f1d51eIvkG3KBKz8rNpIvxBKCeAJStlSnFvkwNsHiRv747Po tRlnZyAdR9C/NH6QK/oFWVvO8T7AuBVvNOqloDbMh896tbDxx4u7sHWiLdDOf2GOzqYJroHnm1ns 8jEEqUVMDsh8ZSSoYGe6s9x/yiRNxAdsS/7MAgqJAJT2uAvsHx6YxV5ipOId+1qBNivj9/4t/Exv XX34h8od6R5pXfugUJd9CueLrRpi5DnNA6x8yoxPhZPHF9RdI+wGtxdAeLFCxkkSBC06l96+0UfF nMwLF/M7oZYcWje/SrkK9J63ZnCnZoAmFytFTCRtXtk9d5fzR8litEtzmfTlcjXHf4JLrDQpAPGi wwj6XcytyGfaxbIF01Hyi3m1/PWkoT76lBzO/iWYzoJsLvXaXz3Vik5swrtXVSh+w4CShvMAKNMU EZ0Ak58qAT5X7Wv7OKoyyODtceI3tmKdtJYp6aeLucxzjKHfQGMnYGFstjWDZwp9lN9Z9nwnC2kl SRz+GITosyRYnW1A39T/gcjblqHQwOHvZdbIRO7uuQOzQK1Doqe4h26v06JEdlx67SFWVEKqFQqF nGbHl1hpfY3Qhq786GEnhNz54Mf9rhmQKvwfSz6vAcoiyyLwNIzm0TEwQsksB+QM+8D2GcEnu7a6 jZejhDxXac/rZcD2L5f/X8qIDDZ6M4T/l/9Ap/7vKAYJgno6Xce7iSY6xiiZbhlJ8GLETRVW77NF zLCU4sJ2KrCyj2CjnZcxPR2G8tFpaGURrI7UpZpJxMtb93WBmRpQNO2N2TiEb/Bw5N9J79VK9vJD 2ymFBO9lQanizk+gfgNXX19Y2qTe7lc/ynVILLKxFLGPWaWxZ3hPZVXtNMRsjjBsFPu74+xIN+MO t+FlWVKhHT2e4gVVbvMz09/XojLd6z5WnrZ7kvL0Urq7sbJtLky29lYBlAs19exK+oblIIZmcBnE eDzfQmY4WW8kpSpiQOo0yf35fedpKmH/AAS2lgXkzOjnOxoqe6WYS6k7UrQAAzUWNFGFk2oLrIHJ 1fD8IEBkh+h1oGpAMrchQjGziFp2cdvDLkEx5v1qCj8yIbQ6RAJSCxljbZE/18//U5g4y9wWAnSY Ox6rz1mos41FTqbPIozUArc7BsjOoGOEQ8UX9UkoeTM5NPWhBotV78EwNp1hK+/qpvYJO6TqpmDv gmQjk1T8i1SSorPEwHARWK9zW/RBR3Q8S8mbJKXd3HVvYogsOut4iRCITp0DdStBDwOfMY49PcEi RDqnXLFsFmAgBKijdkRyyWxmb3CwuEPyv6e9o9aRRPvryuiH4bx2yBVQ4Z2H+XBKpJC2Itn1lruF Y5iJONe3slQkxkP+xbUQHcYMS0yKpoRDC6bQ6MsseJw9HH9zlWWNecuwG/vePk4mPfdaLaFOytcJ mkFL55aFP1JnUwbd2ygKrdQm2E9I8z3bVVdQkpg+CaP+3KR+H/7ve20aPr6OWtp3M4Hkb0by0x+5 BIGBIGzbDphjHHGQ2uw4uOCGlT0n+v40aBHr8fhtG6/DCe89L4pGMF2MXLP+ixxqT6xHzoGXDbsK 6sFWnTz7QCTyDMiwisgsj95F0TxYbCUPYEHmIDlROCoMgc88gKxrSO1msvkg/f/C0tufhDVSLbuB 8oYo8JxAToNwafWINhmMAIJtf4AhbWjpO8lYPfHF5N/N5DahG5YL9cKudDH8OBPXYX5KS4nZw3Qn 0K6bwGxVeM3UJVH66vUVjpfxCac976aSPd9gaBm/98W8XJSt+tB7Ys/mFxYPGf/DWHA7Lt2bESjO n7JCMYDV1LF6aCasJU1er9VnEvT3Bp6MYagY0sqf7cKlkuJiiuN1zEMrITpe6unFKzczM7IFiuBw 9PwvfFH4UY1og8i6kxEZUh2xum3UMfOpnpWOtklr3AItKMYB7QAjoQ2dHSS58tRaaBbtrfO2G4ZI cCMmyIep8oEM+a5CcItrgiYIRhKqIhm1L0ihys37PfJ/1CNKSmwKScSVlCHb5qtH1mxIOruh25zK JUPlEj+tkxo0alpB2LNU4uaX0sfUmFbT/0+8z4k7fKWKcOKwyrfP3DNo2G7DKy3cOq5cuU+6c0uY JJsCdpDoN1E/2PJO7qMCUQDni9YsnUDs2WWHKFsMmbCwOIwIysU74artFyhLvdIL2SQl7iwRQPUD uTFxvC3nSVQ/LA97U0zv1cBIadyia2fmc01afcFSwIGAIYntEHglRMLdtYWhvruDHddiZ9D9N/z5 pjBqVTmSF3ehthEcGIcBkIbuAYbZ8wdROrwySrKGo5xGVhs9cf3NsC9N50SkYhXs2zvhKhTJ5Cm/ r7kdZHUX9OVrLBf+Ol52IIOsD59Zeonj5KYTfLuscZW5MkSB0L+NCt/sPIo24QhkJol3RsdytoBu 1gHzp5RrSc1yX5h1HtpSnyrDNan/w7CfpepqSmI5GrD9pxCSeg0hAEV5sr+3c0r7XkbuwSn2pc+L au0KrYC/NzNS6mSmFsqn3qxI4AL0K2Nrl0wEvuAjxmSOCyvUMq325IqWRT9Sq6bXv3nA1vP9z7ti 4XnTzt7XDrHEmwE8UrNuU4Q5d1WsCWA6GShcr2MUXF7875soucdnBo+iBLoaPR8q6pvm1F0vW3A8 0BwHwA4VSKupAqLJx+nthy/pA1rUWmDYu67G/wbKyjJiZBwbnZcjJWRMEIj0aDh4hXPxSnbRaWGn F9xmZcjjnkBVAPo2i6/A1HaXcTbfBQfiYb9caxoPaoGYZZeb7Hks+NdvZARkJCGEFKhxS9IsfNHY RszWu7vPSS+Dc1jcaX9rsIDM7UfWTIiukCJ4r9pUU+8tOuhIhIUf08iz0CanHVVXPiDJtTXiKyfB 15zFK8KoWSi8Vio7qrUYl2jGmg3WRpUj4qA8k/TBj4Yt57zDKey0XyP/C4xV1qQ5Kry7hVegK+S/ WaDNosz/s0RH0s9ZQ3Ee6q/81uZKY/lFQuPFOE6HuR1Ey5UysfcD/wsD1z9Dcon2886rRc0y62rl 4BI9bIOCgagsxJ/rHv65uLeraOYug+aT6FZbFIvHjkj8eqDyx4NVZIGWDOn/q/N2JI3a58u7t2dp tB/Pqa1JL8EMuRG5HdHA9sxnRStj/4WjQInyCL0dA9katv15y1R9gKzuabUPzlUxVuN0J3yuY5OB 4s4ZOigwb42/FxUrNfQpNnNDfun3YcJ9lGoZLcblsBMWsaCgCCWLd35FlTBkAwuHlDsGc7mRUkru lgdk+AmOZswV6oseb9VxC0nL31/VeLePLF4E1/ORudvoqQuYCWsxWobx3ZgxN1ng/TaR6OOIRjjE vGtgdcIPx71MJl3ipo7m+6YuClWXL2yBlIlbtkfMiG021vfuiUhU5EeSAX0CHyRoTBf9i1HSpolY ASAnzc66+5n6zGH0iZBfUyZXqiYz4Grm1jx6L+VtlaoGZnR17od+RI/A9dZ0i1ffEy7PUJRD8yWs RCBRlA4uOP6zs3NSirDooi+PYkVbht5qOGwZnlcraN65lf8+sSFkkkG/8R+FsIzG7w5PKfXZadUp MWBes/oDUJvwzxskaTU0DZ/tA0zO5Tr75obW0Rs4L6pvofLYpe4PgkkxM5H1ACJk9SJbyPGeW8L2 QizkrSzAAXjALZ0pWdJzplP6sSm693SpzFD/yIxuxtMbHf/B5I4a26wMeQR8hHaePFWkz+yfJ18C FVTJS0ur+5Nnzl9RfdG69UaAQtpaoRVIzT65exyxHG8sO5rfXmDdK4g5cfXRSiQm5CBdx5AqgF5D nsejPFvRjhjl68AH36ftx4caDTMbMaZM25PW4m7wo2LP2mqReQzUS+cRgSZqY0xiFRb/OegYcPY5 IiDxmQIfsMIDtHe4Gj+lD1PVdIWn5FQl9867wF+WNMToIwNgMCVYfA93tDxLSpq+gJIQYbeeiWOR 2kJaWaz3mkzA76mBF1iM5yShJiJ8+NzdL0LMY/b6rKKPmswVRlOMKhZ+8VS0SoDEAL94BwLg05qc KWSxSZpl8yLIbGM5jwmlaPivFnMkXd+RvJ76JnZhF+qW9msuGvnNJSdjDDGtLrv2Oeb1ttgk4Ame /Ixyn2hV32Q06I2qWAHy9KJNZowUJkJbUfqzKnuqevvVy9kWrWuOhh9zzTlCuffXyRre5Uh8ghUD 5gaUemo7TtbQAQFNZHMZNnN5wyYn+t6YXT9CIh40L+EzVQq/x0qfxe+J+n2cYFw9betz2NYqx3MD ULSHfM9i19bkTVNPmYQfFtH0ZQ+pE3FVD0zIJRRHgfyGRGDyQhXw6WBGvL9wtarHyh8RzjIE3e5v mwnxiRc3b5R9Bfx9mBg8ghiaHvT5yoTrLfOoo/PH0CVOl7TuoAcqUPM3zH+7DBvC1ZQDVvubY1cy 7yoJFcwPpo7lNV8Cm2KDP5h7eItzlF6mOseGIn1vKwf4IiwhY8+/UnS283ONAMsWUUpDKq9ZN7N2 eFTeBLH8HemnXm6n0k0Pa0D6zsYsorNACLMkpmnmGJVshnu7h+Ui4s04TzabaY70wcId4xbsKGvH BJeRJTclk1JUhpBuqUdKN+EU96YQg062qgsClPfnE0E9oJuGLo4WoHIJRQfzLG7KPQ/6A625VNJ2 I+OByu2nuWh8gSXmBCXyXJf92SGoQjJECugTw+6R7A3xQQlL0NTVBFCV/rW0slktSWzJiTQMMZnm nCRJ9P8h/FkmRYIhty6PvnUZYt9Jzxybmv1oQLO5VHy1S1M0WxU8tjq+K+w3Dsucb1F3o232FUSX IqpC/Z+lKtKRd1Zd6iCh18ZBLwi6XwerqI7YZpeeFDB2XEeTRlxkUZEO8PbQqAvhjL6Dr0FpDDfh zND2H2Uo06jDkKUfLOla5Ow8kOGQZT7aNOb0f/9UVgtY1DO25nl89fUYqeI3AhHb4ywh8XgfHVb3 Ur+BM4kJrRL5+/7Vw3vwh7cc5yIz8cTa2WxtBXog8f/kIWhhCJkbWG2fajFrpvHJHUTqSNuXfoTk qeItoaQ4BkQbOEOD8XiKLSRkDHsfW7OYWbfg5IKzI6dWHkwbMRE3W/m7zOrwHDtInH2uLcQFyWes MJdZRolhOYxWByC1qIXDAbLwUl6VkCkk/pZkztkhffc7g+nLe/TVSTge0FVxtGJ7fY5LlZBTl6Tv kN2qx40e/aRH7d4m8DlcuHtq6cIzateg/xT7W8Zm6FpyjaY6kRBSq5xbWC2kawtbk8i9OJKjSNny cWhDc9iSvL1rCMWZ4f8ce33A+IWWNBCe1cPnW1K898F1ZkXk+YAw92pFnXbVJhGicOgAG4DcIr1A /2oO53RKXavf7DnSTR82JzcsLMBC+eTDHtN7M0HMojqPHKPC6EGxdB9DhJ3gJn9d+jXQCTk6uZDl KHK1Wi0mLCXKpLKJU7m6/Swy9KE+t+00K9h/X232BhOGzU2frWfQnEwjrqrsdUu/J2oTzPTwJ1gA 4KBMFnCENBA9ab2bkL2ah2DwLQjwfX+5UPfgfWxOTXZmuBPaTYF75TN5+MKMaDXeRID7oChbaGMi oYXFzvqM1D5ptA3dAK1wDc/4lcWu2U37z0lAQpVQa08suDS8yKVrb/TaCKINigES9OGHhW85Qhx5 v456LxkumTupj0bHcbs8/PoRw21dJAWQWptgzOmXs0rF5EMZ1GKSaArroSLmnc6/DgjQsqHTuEXZ q7pjVFRnkeSF114Tb/perNUO9gjXRO52Y/xpzQLevpBnQbXeoPLvJmvbHxbyCpZTMCXA5jl6KZtc NQRsSKY4QcRu7PoYgZxcG0+hloJYjjMBObe9qv9v8CZwB0L4K06eO60omP7Oh5FDwIg374GxD2Zx D/MAOBditZLH0ZGqEuEoZHNPkhqkPlEN3tJwjWHbL9aC83oZuuHAoasa3lE8dg6WTITk1LKVOeFv llcVB9ROr9zkOlr8uBnpB5bJQWLkgIwFCCfZiKk2Eu8dzYrHFUBa+8m5uo9GnppQygQ9lEGr6W5J 4nqkC5pmOUGLtrF82ZK0luf7f20gkYiUQBhf/CGtDXH7dBkzQIx4IeOG8oCUbuMj9Tyvl27MaCqw FAWXqnyifWu3Dj5lE07uIEXb8wKsylQxUCmy+fNXHBI0eXPK8hUfPHNz1emFV40I1G0Z7kr09NGt Dqd9tCxMVWl1+V5oPQ== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XyENI6N1WDT3n7+/aqH3vl3nh9uETG894NG9wgWoXfUqeTz3vPfjWxm+enqE5ZqO36i+HD20G/z2 P4Z48K13jg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Tt/L2l4YcxwCRC+1n/HaeaDAgqg7Nk9X+XcCqnBX5WXbYFd7g2tD21azngE0RxGM2gSDyGJ/Fe3U ZfELP2XhU7gZ9DBK6WvUqWi1vU4YOSKBh5EBuean80UjDnFaY1tIlYtuMcIqVVX+ERTxde6B1+Aj cyFEdhDsPqtksROLjaM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block S9mFMgh42gAT6CAm+XnW30LAjxZNGsfnVIAuaxlm3drL0Rq3u5vNR1IR0mij+MJFHhwLpnbF8EIK HooLNBcg713WKtzu8cgmGI0O4PebJx7jM8QUcfqPoibzRYhUYJ+G7Yta5dj1C65tmA03jX3eKSn2 cJVRMGKoSOb2Yfikz2XfoqfwujoEtB1Pdg6tvdcii6xQpNtvHOaHF56Pk/TOrzq2HFmo95H+B+Pu dEs4rsPZ9dSGfM3xrS21Ju5kefI+9dIaJ0egcksYnQhYJunk821TAZiA3QLJtZsCeSmE56ZvB5fH 2zR95uFiDnxGc8MdD7YQZuIfgNMNV7Cgw4dmhA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kc3Dm0Jcuv721jyVwIgJU9WWRzMfi8OedMJZSogWe0HHi881xg2oJbqD2TAnTaLNOHGuV2l22IRc PXpCetxJkF+VzFLkvbu5dN5k/10WBEnLdcg3NDkStYwwXopJSQFiIPNaiHJfye5snLJJDcViyDZZ tshL7CEwNYE3u3YXMNs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nUMZddx/mfZJSbFLacFOXHAF7tGQhDh2J+dQrnw0qDBYBvAvLvYzyWtvQJHion8d19RzD9Gc68UV /M+F0Y/T9IcF1C+bwQW2UlCJfO6CpI3+DUiSD7O0MQlkxm4srecWhgsmjdHLrktLJvkguOD4+hc7 LSEIl0wlVWefLg5ywylk7eZLnx6KdeH65KuCfuG/YT1IEzfobTVkWGPRWFsgRRpfi2MfO+tWG6X2 TI0XNL6DqP6vd2mld3iutqzcb8PGCti9+LtYLb9z5aHPFYT6A4Beqlqw5Xoj5WelRc5ptVjAizW0 4hMyJs64L9Es2aFbFOGJnTOY/zgApQ5mZz++aQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5200) `protect data_block jHFuiFw3LpQFQ/dzAkCy534O5CN5MGkWaRjYTAoWT41J7B/4uqLrFBgnn1bzTl/VWsSd/WAUrdXc oauHCrlfJ3fz5qzAD9CkC8Piam1Ym4x4NM7uUDOtW8CB5RpkC/g/6NTgwnCBka9iLcBJEOKmNSF7 QM3vSKVH6Yxcjv50sigFDQ72eYNXEAmh5sX8wpJpPO8qH8Da3M/UusTKxuBtQu1xsIDFeXzAdqba oZkaZFXnRp4S1unn2T99GW1161E0FrRy7LWxr+Dw/y75lzD9E84yuOjjGabh/4rvRVFjnU6ADSHE pcXLjOI184saZ/w1STJSpFMkDU4nhs4V+53uu6UD0Jl7qsTOYbIcuFFqRDjdNLLLY12YfMZxpvky 5cEnpjSMCeBf0ncRdOQdjNNNPR32+zT30oLZiOmkgy0rOdSQ4TbJSDTeMP1kFZ0CbjEWKgE+A1Yj ajoOxwCewb9pJsEkqDYKDbI25eZ+5/7DuHk+TcU7Q0J1T4Fgl5POmcq6s79bn2dj3h7dXyFRXczf i4fomyVzsaItJjBQ/YG7Ta+kExNypwrBptdVhhltzLSTq7qSSGLdok8PFseDwirS/t0RvC2suG5t lzeZpKg0B7BWE7Sj8us4Rsq/rSO8gQeKs/+IGLL1NEYqOAYSfxPn69S4/GGj6dsaAWsY0ykS64j8 /dr0+UqrZezQDMISEHUhUFb3XQcMbYqHKsOL4dWkkQKD/ltzQQPMfBLyroc/yLilzbBA4hMGi8pm l36XjyftT6y0nRA4txgI5k0qUVo6f1d51eIvkG3KBKz8rNpIvxBKCeAJStlSnFvkwNsHiRv747Po tRlnZyAdR9C/NH6QK/oFWVvO8T7AuBVvNOqloDbMh896tbDxx4u7sHWiLdDOf2GOzqYJroHnm1ns 8jEEqUVMDsh8ZSSoYGe6s9x/yiRNxAdsS/7MAgqJAJT2uAvsHx6YxV5ipOId+1qBNivj9/4t/Exv XX34h8od6R5pXfugUJd9CueLrRpi5DnNA6x8yoxPhZPHF9RdI+wGtxdAeLFCxkkSBC06l96+0UfF nMwLF/M7oZYcWje/SrkK9J63ZnCnZoAmFytFTCRtXtk9d5fzR8litEtzmfTlcjXHf4JLrDQpAPGi wwj6XcytyGfaxbIF01Hyi3m1/PWkoT76lBzO/iWYzoJsLvXaXz3Vik5swrtXVSh+w4CShvMAKNMU EZ0Ak58qAT5X7Wv7OKoyyODtceI3tmKdtJYp6aeLucxzjKHfQGMnYGFstjWDZwp9lN9Z9nwnC2kl SRz+GITosyRYnW1A39T/gcjblqHQwOHvZdbIRO7uuQOzQK1Doqe4h26v06JEdlx67SFWVEKqFQqF nGbHl1hpfY3Qhq786GEnhNz54Mf9rhmQKvwfSz6vAcoiyyLwNIzm0TEwQsksB+QM+8D2GcEnu7a6 jZejhDxXac/rZcD2L5f/X8qIDDZ6M4T/l/9Ap/7vKAYJgno6Xce7iSY6xiiZbhlJ8GLETRVW77NF zLCU4sJ2KrCyj2CjnZcxPR2G8tFpaGURrI7UpZpJxMtb93WBmRpQNO2N2TiEb/Bw5N9J79VK9vJD 2ymFBO9lQanizk+gfgNXX19Y2qTe7lc/ynVILLKxFLGPWaWxZ3hPZVXtNMRsjjBsFPu74+xIN+MO t+FlWVKhHT2e4gVVbvMz09/XojLd6z5WnrZ7kvL0Urq7sbJtLky29lYBlAs19exK+oblIIZmcBnE eDzfQmY4WW8kpSpiQOo0yf35fedpKmH/AAS2lgXkzOjnOxoqe6WYS6k7UrQAAzUWNFGFk2oLrIHJ 1fD8IEBkh+h1oGpAMrchQjGziFp2cdvDLkEx5v1qCj8yIbQ6RAJSCxljbZE/18//U5g4y9wWAnSY Ox6rz1mos41FTqbPIozUArc7BsjOoGOEQ8UX9UkoeTM5NPWhBotV78EwNp1hK+/qpvYJO6TqpmDv gmQjk1T8i1SSorPEwHARWK9zW/RBR3Q8S8mbJKXd3HVvYogsOut4iRCITp0DdStBDwOfMY49PcEi RDqnXLFsFmAgBKijdkRyyWxmb3CwuEPyv6e9o9aRRPvryuiH4bx2yBVQ4Z2H+XBKpJC2Itn1lruF Y5iJONe3slQkxkP+xbUQHcYMS0yKpoRDC6bQ6MsseJw9HH9zlWWNecuwG/vePk4mPfdaLaFOytcJ mkFL55aFP1JnUwbd2ygKrdQm2E9I8z3bVVdQkpg+CaP+3KR+H/7ve20aPr6OWtp3M4Hkb0by0x+5 BIGBIGzbDphjHHGQ2uw4uOCGlT0n+v40aBHr8fhtG6/DCe89L4pGMF2MXLP+ixxqT6xHzoGXDbsK 6sFWnTz7QCTyDMiwisgsj95F0TxYbCUPYEHmIDlROCoMgc88gKxrSO1msvkg/f/C0tufhDVSLbuB 8oYo8JxAToNwafWINhmMAIJtf4AhbWjpO8lYPfHF5N/N5DahG5YL9cKudDH8OBPXYX5KS4nZw3Qn 0K6bwGxVeM3UJVH66vUVjpfxCac976aSPd9gaBm/98W8XJSt+tB7Ys/mFxYPGf/DWHA7Lt2bESjO n7JCMYDV1LF6aCasJU1er9VnEvT3Bp6MYagY0sqf7cKlkuJiiuN1zEMrITpe6unFKzczM7IFiuBw 9PwvfFH4UY1og8i6kxEZUh2xum3UMfOpnpWOtklr3AItKMYB7QAjoQ2dHSS58tRaaBbtrfO2G4ZI cCMmyIep8oEM+a5CcItrgiYIRhKqIhm1L0ihys37PfJ/1CNKSmwKScSVlCHb5qtH1mxIOruh25zK JUPlEj+tkxo0alpB2LNU4uaX0sfUmFbT/0+8z4k7fKWKcOKwyrfP3DNo2G7DKy3cOq5cuU+6c0uY JJsCdpDoN1E/2PJO7qMCUQDni9YsnUDs2WWHKFsMmbCwOIwIysU74artFyhLvdIL2SQl7iwRQPUD uTFxvC3nSVQ/LA97U0zv1cBIadyia2fmc01afcFSwIGAIYntEHglRMLdtYWhvruDHddiZ9D9N/z5 pjBqVTmSF3ehthEcGIcBkIbuAYbZ8wdROrwySrKGo5xGVhs9cf3NsC9N50SkYhXs2zvhKhTJ5Cm/ r7kdZHUX9OVrLBf+Ol52IIOsD59Zeonj5KYTfLuscZW5MkSB0L+NCt/sPIo24QhkJol3RsdytoBu 1gHzp5RrSc1yX5h1HtpSnyrDNan/w7CfpepqSmI5GrD9pxCSeg0hAEV5sr+3c0r7XkbuwSn2pc+L au0KrYC/NzNS6mSmFsqn3qxI4AL0K2Nrl0wEvuAjxmSOCyvUMq325IqWRT9Sq6bXv3nA1vP9z7ti 4XnTzt7XDrHEmwE8UrNuU4Q5d1WsCWA6GShcr2MUXF7875soucdnBo+iBLoaPR8q6pvm1F0vW3A8 0BwHwA4VSKupAqLJx+nthy/pA1rUWmDYu67G/wbKyjJiZBwbnZcjJWRMEIj0aDh4hXPxSnbRaWGn F9xmZcjjnkBVAPo2i6/A1HaXcTbfBQfiYb9caxoPaoGYZZeb7Hks+NdvZARkJCGEFKhxS9IsfNHY RszWu7vPSS+Dc1jcaX9rsIDM7UfWTIiukCJ4r9pUU+8tOuhIhIUf08iz0CanHVVXPiDJtTXiKyfB 15zFK8KoWSi8Vio7qrUYl2jGmg3WRpUj4qA8k/TBj4Yt57zDKey0XyP/C4xV1qQ5Kry7hVegK+S/ WaDNosz/s0RH0s9ZQ3Ee6q/81uZKY/lFQuPFOE6HuR1Ey5UysfcD/wsD1z9Dcon2886rRc0y62rl 4BI9bIOCgagsxJ/rHv65uLeraOYug+aT6FZbFIvHjkj8eqDyx4NVZIGWDOn/q/N2JI3a58u7t2dp tB/Pqa1JL8EMuRG5HdHA9sxnRStj/4WjQInyCL0dA9katv15y1R9gKzuabUPzlUxVuN0J3yuY5OB 4s4ZOigwb42/FxUrNfQpNnNDfun3YcJ9lGoZLcblsBMWsaCgCCWLd35FlTBkAwuHlDsGc7mRUkru lgdk+AmOZswV6oseb9VxC0nL31/VeLePLF4E1/ORudvoqQuYCWsxWobx3ZgxN1ng/TaR6OOIRjjE vGtgdcIPx71MJl3ipo7m+6YuClWXL2yBlIlbtkfMiG021vfuiUhU5EeSAX0CHyRoTBf9i1HSpolY ASAnzc66+5n6zGH0iZBfUyZXqiYz4Grm1jx6L+VtlaoGZnR17od+RI/A9dZ0i1ffEy7PUJRD8yWs RCBRlA4uOP6zs3NSirDooi+PYkVbht5qOGwZnlcraN65lf8+sSFkkkG/8R+FsIzG7w5PKfXZadUp MWBes/oDUJvwzxskaTU0DZ/tA0zO5Tr75obW0Rs4L6pvofLYpe4PgkkxM5H1ACJk9SJbyPGeW8L2 QizkrSzAAXjALZ0pWdJzplP6sSm693SpzFD/yIxuxtMbHf/B5I4a26wMeQR8hHaePFWkz+yfJ18C FVTJS0ur+5Nnzl9RfdG69UaAQtpaoRVIzT65exyxHG8sO5rfXmDdK4g5cfXRSiQm5CBdx5AqgF5D nsejPFvRjhjl68AH36ftx4caDTMbMaZM25PW4m7wo2LP2mqReQzUS+cRgSZqY0xiFRb/OegYcPY5 IiDxmQIfsMIDtHe4Gj+lD1PVdIWn5FQl9867wF+WNMToIwNgMCVYfA93tDxLSpq+gJIQYbeeiWOR 2kJaWaz3mkzA76mBF1iM5yShJiJ8+NzdL0LMY/b6rKKPmswVRlOMKhZ+8VS0SoDEAL94BwLg05qc KWSxSZpl8yLIbGM5jwmlaPivFnMkXd+RvJ76JnZhF+qW9msuGvnNJSdjDDGtLrv2Oeb1ttgk4Ame /Ixyn2hV32Q06I2qWAHy9KJNZowUJkJbUfqzKnuqevvVy9kWrWuOhh9zzTlCuffXyRre5Uh8ghUD 5gaUemo7TtbQAQFNZHMZNnN5wyYn+t6YXT9CIh40L+EzVQq/x0qfxe+J+n2cYFw9betz2NYqx3MD ULSHfM9i19bkTVNPmYQfFtH0ZQ+pE3FVD0zIJRRHgfyGRGDyQhXw6WBGvL9wtarHyh8RzjIE3e5v mwnxiRc3b5R9Bfx9mBg8ghiaHvT5yoTrLfOoo/PH0CVOl7TuoAcqUPM3zH+7DBvC1ZQDVvubY1cy 7yoJFcwPpo7lNV8Cm2KDP5h7eItzlF6mOseGIn1vKwf4IiwhY8+/UnS283ONAMsWUUpDKq9ZN7N2 eFTeBLH8HemnXm6n0k0Pa0D6zsYsorNACLMkpmnmGJVshnu7h+Ui4s04TzabaY70wcId4xbsKGvH BJeRJTclk1JUhpBuqUdKN+EU96YQg062qgsClPfnE0E9oJuGLo4WoHIJRQfzLG7KPQ/6A625VNJ2 I+OByu2nuWh8gSXmBCXyXJf92SGoQjJECugTw+6R7A3xQQlL0NTVBFCV/rW0slktSWzJiTQMMZnm nCRJ9P8h/FkmRYIhty6PvnUZYt9Jzxybmv1oQLO5VHy1S1M0WxU8tjq+K+w3Dsucb1F3o232FUSX IqpC/Z+lKtKRd1Zd6iCh18ZBLwi6XwerqI7YZpeeFDB2XEeTRlxkUZEO8PbQqAvhjL6Dr0FpDDfh zND2H2Uo06jDkKUfLOla5Ow8kOGQZT7aNOb0f/9UVgtY1DO25nl89fUYqeI3AhHb4ywh8XgfHVb3 Ur+BM4kJrRL5+/7Vw3vwh7cc5yIz8cTa2WxtBXog8f/kIWhhCJkbWG2fajFrpvHJHUTqSNuXfoTk qeItoaQ4BkQbOEOD8XiKLSRkDHsfW7OYWbfg5IKzI6dWHkwbMRE3W/m7zOrwHDtInH2uLcQFyWes MJdZRolhOYxWByC1qIXDAbLwUl6VkCkk/pZkztkhffc7g+nLe/TVSTge0FVxtGJ7fY5LlZBTl6Tv kN2qx40e/aRH7d4m8DlcuHtq6cIzateg/xT7W8Zm6FpyjaY6kRBSq5xbWC2kawtbk8i9OJKjSNny cWhDc9iSvL1rCMWZ4f8ce33A+IWWNBCe1cPnW1K898F1ZkXk+YAw92pFnXbVJhGicOgAG4DcIr1A /2oO53RKXavf7DnSTR82JzcsLMBC+eTDHtN7M0HMojqPHKPC6EGxdB9DhJ3gJn9d+jXQCTk6uZDl KHK1Wi0mLCXKpLKJU7m6/Swy9KE+t+00K9h/X232BhOGzU2frWfQnEwjrqrsdUu/J2oTzPTwJ1gA 4KBMFnCENBA9ab2bkL2ah2DwLQjwfX+5UPfgfWxOTXZmuBPaTYF75TN5+MKMaDXeRID7oChbaGMi oYXFzvqM1D5ptA3dAK1wDc/4lcWu2U37z0lAQpVQa08suDS8yKVrb/TaCKINigES9OGHhW85Qhx5 v456LxkumTupj0bHcbs8/PoRw21dJAWQWptgzOmXs0rF5EMZ1GKSaArroSLmnc6/DgjQsqHTuEXZ q7pjVFRnkeSF114Tb/perNUO9gjXRO52Y/xpzQLevpBnQbXeoPLvJmvbHxbyCpZTMCXA5jl6KZtc NQRsSKY4QcRu7PoYgZxcG0+hloJYjjMBObe9qv9v8CZwB0L4K06eO60omP7Oh5FDwIg374GxD2Zx D/MAOBditZLH0ZGqEuEoZHNPkhqkPlEN3tJwjWHbL9aC83oZuuHAoasa3lE8dg6WTITk1LKVOeFv llcVB9ROr9zkOlr8uBnpB5bJQWLkgIwFCCfZiKk2Eu8dzYrHFUBa+8m5uo9GnppQygQ9lEGr6W5J 4nqkC5pmOUGLtrF82ZK0luf7f20gkYiUQBhf/CGtDXH7dBkzQIx4IeOG8oCUbuMj9Tyvl27MaCqw FAWXqnyifWu3Dj5lE07uIEXb8wKsylQxUCmy+fNXHBI0eXPK8hUfPHNz1emFV40I1G0Z7kr09NGt Dqd9tCxMVWl1+V5oPQ== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XyENI6N1WDT3n7+/aqH3vl3nh9uETG894NG9wgWoXfUqeTz3vPfjWxm+enqE5ZqO36i+HD20G/z2 P4Z48K13jg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Tt/L2l4YcxwCRC+1n/HaeaDAgqg7Nk9X+XcCqnBX5WXbYFd7g2tD21azngE0RxGM2gSDyGJ/Fe3U ZfELP2XhU7gZ9DBK6WvUqWi1vU4YOSKBh5EBuean80UjDnFaY1tIlYtuMcIqVVX+ERTxde6B1+Aj cyFEdhDsPqtksROLjaM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block S9mFMgh42gAT6CAm+XnW30LAjxZNGsfnVIAuaxlm3drL0Rq3u5vNR1IR0mij+MJFHhwLpnbF8EIK HooLNBcg713WKtzu8cgmGI0O4PebJx7jM8QUcfqPoibzRYhUYJ+G7Yta5dj1C65tmA03jX3eKSn2 cJVRMGKoSOb2Yfikz2XfoqfwujoEtB1Pdg6tvdcii6xQpNtvHOaHF56Pk/TOrzq2HFmo95H+B+Pu dEs4rsPZ9dSGfM3xrS21Ju5kefI+9dIaJ0egcksYnQhYJunk821TAZiA3QLJtZsCeSmE56ZvB5fH 2zR95uFiDnxGc8MdD7YQZuIfgNMNV7Cgw4dmhA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kc3Dm0Jcuv721jyVwIgJU9WWRzMfi8OedMJZSogWe0HHi881xg2oJbqD2TAnTaLNOHGuV2l22IRc PXpCetxJkF+VzFLkvbu5dN5k/10WBEnLdcg3NDkStYwwXopJSQFiIPNaiHJfye5snLJJDcViyDZZ tshL7CEwNYE3u3YXMNs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nUMZddx/mfZJSbFLacFOXHAF7tGQhDh2J+dQrnw0qDBYBvAvLvYzyWtvQJHion8d19RzD9Gc68UV /M+F0Y/T9IcF1C+bwQW2UlCJfO6CpI3+DUiSD7O0MQlkxm4srecWhgsmjdHLrktLJvkguOD4+hc7 LSEIl0wlVWefLg5ywylk7eZLnx6KdeH65KuCfuG/YT1IEzfobTVkWGPRWFsgRRpfi2MfO+tWG6X2 TI0XNL6DqP6vd2mld3iutqzcb8PGCti9+LtYLb9z5aHPFYT6A4Beqlqw5Xoj5WelRc5ptVjAizW0 4hMyJs64L9Es2aFbFOGJnTOY/zgApQ5mZz++aQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5200) `protect data_block jHFuiFw3LpQFQ/dzAkCy534O5CN5MGkWaRjYTAoWT41J7B/4uqLrFBgnn1bzTl/VWsSd/WAUrdXc oauHCrlfJ3fz5qzAD9CkC8Piam1Ym4x4NM7uUDOtW8CB5RpkC/g/6NTgwnCBka9iLcBJEOKmNSF7 QM3vSKVH6Yxcjv50sigFDQ72eYNXEAmh5sX8wpJpPO8qH8Da3M/UusTKxuBtQu1xsIDFeXzAdqba oZkaZFXnRp4S1unn2T99GW1161E0FrRy7LWxr+Dw/y75lzD9E84yuOjjGabh/4rvRVFjnU6ADSHE pcXLjOI184saZ/w1STJSpFMkDU4nhs4V+53uu6UD0Jl7qsTOYbIcuFFqRDjdNLLLY12YfMZxpvky 5cEnpjSMCeBf0ncRdOQdjNNNPR32+zT30oLZiOmkgy0rOdSQ4TbJSDTeMP1kFZ0CbjEWKgE+A1Yj ajoOxwCewb9pJsEkqDYKDbI25eZ+5/7DuHk+TcU7Q0J1T4Fgl5POmcq6s79bn2dj3h7dXyFRXczf i4fomyVzsaItJjBQ/YG7Ta+kExNypwrBptdVhhltzLSTq7qSSGLdok8PFseDwirS/t0RvC2suG5t lzeZpKg0B7BWE7Sj8us4Rsq/rSO8gQeKs/+IGLL1NEYqOAYSfxPn69S4/GGj6dsaAWsY0ykS64j8 /dr0+UqrZezQDMISEHUhUFb3XQcMbYqHKsOL4dWkkQKD/ltzQQPMfBLyroc/yLilzbBA4hMGi8pm l36XjyftT6y0nRA4txgI5k0qUVo6f1d51eIvkG3KBKz8rNpIvxBKCeAJStlSnFvkwNsHiRv747Po tRlnZyAdR9C/NH6QK/oFWVvO8T7AuBVvNOqloDbMh896tbDxx4u7sHWiLdDOf2GOzqYJroHnm1ns 8jEEqUVMDsh8ZSSoYGe6s9x/yiRNxAdsS/7MAgqJAJT2uAvsHx6YxV5ipOId+1qBNivj9/4t/Exv XX34h8od6R5pXfugUJd9CueLrRpi5DnNA6x8yoxPhZPHF9RdI+wGtxdAeLFCxkkSBC06l96+0UfF nMwLF/M7oZYcWje/SrkK9J63ZnCnZoAmFytFTCRtXtk9d5fzR8litEtzmfTlcjXHf4JLrDQpAPGi wwj6XcytyGfaxbIF01Hyi3m1/PWkoT76lBzO/iWYzoJsLvXaXz3Vik5swrtXVSh+w4CShvMAKNMU EZ0Ak58qAT5X7Wv7OKoyyODtceI3tmKdtJYp6aeLucxzjKHfQGMnYGFstjWDZwp9lN9Z9nwnC2kl SRz+GITosyRYnW1A39T/gcjblqHQwOHvZdbIRO7uuQOzQK1Doqe4h26v06JEdlx67SFWVEKqFQqF nGbHl1hpfY3Qhq786GEnhNz54Mf9rhmQKvwfSz6vAcoiyyLwNIzm0TEwQsksB+QM+8D2GcEnu7a6 jZejhDxXac/rZcD2L5f/X8qIDDZ6M4T/l/9Ap/7vKAYJgno6Xce7iSY6xiiZbhlJ8GLETRVW77NF zLCU4sJ2KrCyj2CjnZcxPR2G8tFpaGURrI7UpZpJxMtb93WBmRpQNO2N2TiEb/Bw5N9J79VK9vJD 2ymFBO9lQanizk+gfgNXX19Y2qTe7lc/ynVILLKxFLGPWaWxZ3hPZVXtNMRsjjBsFPu74+xIN+MO t+FlWVKhHT2e4gVVbvMz09/XojLd6z5WnrZ7kvL0Urq7sbJtLky29lYBlAs19exK+oblIIZmcBnE eDzfQmY4WW8kpSpiQOo0yf35fedpKmH/AAS2lgXkzOjnOxoqe6WYS6k7UrQAAzUWNFGFk2oLrIHJ 1fD8IEBkh+h1oGpAMrchQjGziFp2cdvDLkEx5v1qCj8yIbQ6RAJSCxljbZE/18//U5g4y9wWAnSY Ox6rz1mos41FTqbPIozUArc7BsjOoGOEQ8UX9UkoeTM5NPWhBotV78EwNp1hK+/qpvYJO6TqpmDv gmQjk1T8i1SSorPEwHARWK9zW/RBR3Q8S8mbJKXd3HVvYogsOut4iRCITp0DdStBDwOfMY49PcEi RDqnXLFsFmAgBKijdkRyyWxmb3CwuEPyv6e9o9aRRPvryuiH4bx2yBVQ4Z2H+XBKpJC2Itn1lruF Y5iJONe3slQkxkP+xbUQHcYMS0yKpoRDC6bQ6MsseJw9HH9zlWWNecuwG/vePk4mPfdaLaFOytcJ mkFL55aFP1JnUwbd2ygKrdQm2E9I8z3bVVdQkpg+CaP+3KR+H/7ve20aPr6OWtp3M4Hkb0by0x+5 BIGBIGzbDphjHHGQ2uw4uOCGlT0n+v40aBHr8fhtG6/DCe89L4pGMF2MXLP+ixxqT6xHzoGXDbsK 6sFWnTz7QCTyDMiwisgsj95F0TxYbCUPYEHmIDlROCoMgc88gKxrSO1msvkg/f/C0tufhDVSLbuB 8oYo8JxAToNwafWINhmMAIJtf4AhbWjpO8lYPfHF5N/N5DahG5YL9cKudDH8OBPXYX5KS4nZw3Qn 0K6bwGxVeM3UJVH66vUVjpfxCac976aSPd9gaBm/98W8XJSt+tB7Ys/mFxYPGf/DWHA7Lt2bESjO n7JCMYDV1LF6aCasJU1er9VnEvT3Bp6MYagY0sqf7cKlkuJiiuN1zEMrITpe6unFKzczM7IFiuBw 9PwvfFH4UY1og8i6kxEZUh2xum3UMfOpnpWOtklr3AItKMYB7QAjoQ2dHSS58tRaaBbtrfO2G4ZI cCMmyIep8oEM+a5CcItrgiYIRhKqIhm1L0ihys37PfJ/1CNKSmwKScSVlCHb5qtH1mxIOruh25zK JUPlEj+tkxo0alpB2LNU4uaX0sfUmFbT/0+8z4k7fKWKcOKwyrfP3DNo2G7DKy3cOq5cuU+6c0uY JJsCdpDoN1E/2PJO7qMCUQDni9YsnUDs2WWHKFsMmbCwOIwIysU74artFyhLvdIL2SQl7iwRQPUD uTFxvC3nSVQ/LA97U0zv1cBIadyia2fmc01afcFSwIGAIYntEHglRMLdtYWhvruDHddiZ9D9N/z5 pjBqVTmSF3ehthEcGIcBkIbuAYbZ8wdROrwySrKGo5xGVhs9cf3NsC9N50SkYhXs2zvhKhTJ5Cm/ r7kdZHUX9OVrLBf+Ol52IIOsD59Zeonj5KYTfLuscZW5MkSB0L+NCt/sPIo24QhkJol3RsdytoBu 1gHzp5RrSc1yX5h1HtpSnyrDNan/w7CfpepqSmI5GrD9pxCSeg0hAEV5sr+3c0r7XkbuwSn2pc+L au0KrYC/NzNS6mSmFsqn3qxI4AL0K2Nrl0wEvuAjxmSOCyvUMq325IqWRT9Sq6bXv3nA1vP9z7ti 4XnTzt7XDrHEmwE8UrNuU4Q5d1WsCWA6GShcr2MUXF7875soucdnBo+iBLoaPR8q6pvm1F0vW3A8 0BwHwA4VSKupAqLJx+nthy/pA1rUWmDYu67G/wbKyjJiZBwbnZcjJWRMEIj0aDh4hXPxSnbRaWGn F9xmZcjjnkBVAPo2i6/A1HaXcTbfBQfiYb9caxoPaoGYZZeb7Hks+NdvZARkJCGEFKhxS9IsfNHY RszWu7vPSS+Dc1jcaX9rsIDM7UfWTIiukCJ4r9pUU+8tOuhIhIUf08iz0CanHVVXPiDJtTXiKyfB 15zFK8KoWSi8Vio7qrUYl2jGmg3WRpUj4qA8k/TBj4Yt57zDKey0XyP/C4xV1qQ5Kry7hVegK+S/ WaDNosz/s0RH0s9ZQ3Ee6q/81uZKY/lFQuPFOE6HuR1Ey5UysfcD/wsD1z9Dcon2886rRc0y62rl 4BI9bIOCgagsxJ/rHv65uLeraOYug+aT6FZbFIvHjkj8eqDyx4NVZIGWDOn/q/N2JI3a58u7t2dp tB/Pqa1JL8EMuRG5HdHA9sxnRStj/4WjQInyCL0dA9katv15y1R9gKzuabUPzlUxVuN0J3yuY5OB 4s4ZOigwb42/FxUrNfQpNnNDfun3YcJ9lGoZLcblsBMWsaCgCCWLd35FlTBkAwuHlDsGc7mRUkru lgdk+AmOZswV6oseb9VxC0nL31/VeLePLF4E1/ORudvoqQuYCWsxWobx3ZgxN1ng/TaR6OOIRjjE vGtgdcIPx71MJl3ipo7m+6YuClWXL2yBlIlbtkfMiG021vfuiUhU5EeSAX0CHyRoTBf9i1HSpolY ASAnzc66+5n6zGH0iZBfUyZXqiYz4Grm1jx6L+VtlaoGZnR17od+RI/A9dZ0i1ffEy7PUJRD8yWs RCBRlA4uOP6zs3NSirDooi+PYkVbht5qOGwZnlcraN65lf8+sSFkkkG/8R+FsIzG7w5PKfXZadUp MWBes/oDUJvwzxskaTU0DZ/tA0zO5Tr75obW0Rs4L6pvofLYpe4PgkkxM5H1ACJk9SJbyPGeW8L2 QizkrSzAAXjALZ0pWdJzplP6sSm693SpzFD/yIxuxtMbHf/B5I4a26wMeQR8hHaePFWkz+yfJ18C FVTJS0ur+5Nnzl9RfdG69UaAQtpaoRVIzT65exyxHG8sO5rfXmDdK4g5cfXRSiQm5CBdx5AqgF5D nsejPFvRjhjl68AH36ftx4caDTMbMaZM25PW4m7wo2LP2mqReQzUS+cRgSZqY0xiFRb/OegYcPY5 IiDxmQIfsMIDtHe4Gj+lD1PVdIWn5FQl9867wF+WNMToIwNgMCVYfA93tDxLSpq+gJIQYbeeiWOR 2kJaWaz3mkzA76mBF1iM5yShJiJ8+NzdL0LMY/b6rKKPmswVRlOMKhZ+8VS0SoDEAL94BwLg05qc KWSxSZpl8yLIbGM5jwmlaPivFnMkXd+RvJ76JnZhF+qW9msuGvnNJSdjDDGtLrv2Oeb1ttgk4Ame /Ixyn2hV32Q06I2qWAHy9KJNZowUJkJbUfqzKnuqevvVy9kWrWuOhh9zzTlCuffXyRre5Uh8ghUD 5gaUemo7TtbQAQFNZHMZNnN5wyYn+t6YXT9CIh40L+EzVQq/x0qfxe+J+n2cYFw9betz2NYqx3MD ULSHfM9i19bkTVNPmYQfFtH0ZQ+pE3FVD0zIJRRHgfyGRGDyQhXw6WBGvL9wtarHyh8RzjIE3e5v mwnxiRc3b5R9Bfx9mBg8ghiaHvT5yoTrLfOoo/PH0CVOl7TuoAcqUPM3zH+7DBvC1ZQDVvubY1cy 7yoJFcwPpo7lNV8Cm2KDP5h7eItzlF6mOseGIn1vKwf4IiwhY8+/UnS283ONAMsWUUpDKq9ZN7N2 eFTeBLH8HemnXm6n0k0Pa0D6zsYsorNACLMkpmnmGJVshnu7h+Ui4s04TzabaY70wcId4xbsKGvH BJeRJTclk1JUhpBuqUdKN+EU96YQg062qgsClPfnE0E9oJuGLo4WoHIJRQfzLG7KPQ/6A625VNJ2 I+OByu2nuWh8gSXmBCXyXJf92SGoQjJECugTw+6R7A3xQQlL0NTVBFCV/rW0slktSWzJiTQMMZnm nCRJ9P8h/FkmRYIhty6PvnUZYt9Jzxybmv1oQLO5VHy1S1M0WxU8tjq+K+w3Dsucb1F3o232FUSX IqpC/Z+lKtKRd1Zd6iCh18ZBLwi6XwerqI7YZpeeFDB2XEeTRlxkUZEO8PbQqAvhjL6Dr0FpDDfh zND2H2Uo06jDkKUfLOla5Ow8kOGQZT7aNOb0f/9UVgtY1DO25nl89fUYqeI3AhHb4ywh8XgfHVb3 Ur+BM4kJrRL5+/7Vw3vwh7cc5yIz8cTa2WxtBXog8f/kIWhhCJkbWG2fajFrpvHJHUTqSNuXfoTk qeItoaQ4BkQbOEOD8XiKLSRkDHsfW7OYWbfg5IKzI6dWHkwbMRE3W/m7zOrwHDtInH2uLcQFyWes MJdZRolhOYxWByC1qIXDAbLwUl6VkCkk/pZkztkhffc7g+nLe/TVSTge0FVxtGJ7fY5LlZBTl6Tv kN2qx40e/aRH7d4m8DlcuHtq6cIzateg/xT7W8Zm6FpyjaY6kRBSq5xbWC2kawtbk8i9OJKjSNny cWhDc9iSvL1rCMWZ4f8ce33A+IWWNBCe1cPnW1K898F1ZkXk+YAw92pFnXbVJhGicOgAG4DcIr1A /2oO53RKXavf7DnSTR82JzcsLMBC+eTDHtN7M0HMojqPHKPC6EGxdB9DhJ3gJn9d+jXQCTk6uZDl KHK1Wi0mLCXKpLKJU7m6/Swy9KE+t+00K9h/X232BhOGzU2frWfQnEwjrqrsdUu/J2oTzPTwJ1gA 4KBMFnCENBA9ab2bkL2ah2DwLQjwfX+5UPfgfWxOTXZmuBPaTYF75TN5+MKMaDXeRID7oChbaGMi oYXFzvqM1D5ptA3dAK1wDc/4lcWu2U37z0lAQpVQa08suDS8yKVrb/TaCKINigES9OGHhW85Qhx5 v456LxkumTupj0bHcbs8/PoRw21dJAWQWptgzOmXs0rF5EMZ1GKSaArroSLmnc6/DgjQsqHTuEXZ q7pjVFRnkeSF114Tb/perNUO9gjXRO52Y/xpzQLevpBnQbXeoPLvJmvbHxbyCpZTMCXA5jl6KZtc NQRsSKY4QcRu7PoYgZxcG0+hloJYjjMBObe9qv9v8CZwB0L4K06eO60omP7Oh5FDwIg374GxD2Zx D/MAOBditZLH0ZGqEuEoZHNPkhqkPlEN3tJwjWHbL9aC83oZuuHAoasa3lE8dg6WTITk1LKVOeFv llcVB9ROr9zkOlr8uBnpB5bJQWLkgIwFCCfZiKk2Eu8dzYrHFUBa+8m5uo9GnppQygQ9lEGr6W5J 4nqkC5pmOUGLtrF82ZK0luf7f20gkYiUQBhf/CGtDXH7dBkzQIx4IeOG8oCUbuMj9Tyvl27MaCqw FAWXqnyifWu3Dj5lE07uIEXb8wKsylQxUCmy+fNXHBI0eXPK8hUfPHNz1emFV40I1G0Z7kr09NGt Dqd9tCxMVWl1+V5oPQ== `protect end_protected
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity assume1 is port (clk, rst: std_logic; cnt : out unsigned(3 downto 0)); end assume1; architecture behav of assume1 is signal val : unsigned (3 downto 0); begin process(clk) begin if rising_edge(clk) then if rst = '1' then val <= (others => '0'); else val <= val + 1; end if; end if; end process; cnt <= val; --psl default clock is rising_edge(clk); --psl assume always val < 50; end behav;
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------- -- Description : See library quick reference (under 'doc') and README-file(s) --------------------------------------------------------------------------------------------- context vvc_context is library bitvis_vip_ethernet; use bitvis_vip_ethernet.support_pkg.all; use bitvis_vip_ethernet.transaction_pkg.all; use bitvis_vip_ethernet.vvc_methods_pkg.all; use bitvis_vip_ethernet.td_vvc_framework_common_methods_pkg.all; end context;
use std.textio.all; package broken_module is type prot_t is protected procedure proc; end protected; end package; package body broken_module is type prot_t is protected body variable var : natural := 0; procedure file_proc is file fwrite : text; variable s : string(1 to 3); begin file_open(fwrite, "tmp", WRITE_MODE); file_close(fwrite); write(fwrite, s); -- Write to closed file end procedure; procedure proc is begin file_proc; var := 0; -- Comment out this and it will not fail end procedure; end protected body; end package body; ------------------------------------------------------------------------------- entity debug3 is end entity; use work.broken_module.all; architecture test of debug3 is shared variable p : prot_t; begin process is begin p.proc; wait; end process; end architecture;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: user.org:user:inverter:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_inverter_1_0 IS PORT ( x : IN STD_LOGIC; x_not : OUT STD_LOGIC ); END system_inverter_1_0; ARCHITECTURE system_inverter_1_0_arch OF system_inverter_1_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_inverter_1_0_arch: ARCHITECTURE IS "yes"; COMPONENT inverter IS PORT ( x : IN STD_LOGIC; x_not : OUT STD_LOGIC ); END COMPONENT inverter; BEGIN U0 : inverter PORT MAP ( x => x, x_not => x_not ); END system_inverter_1_0_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: user.org:user:inverter:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_inverter_1_0 IS PORT ( x : IN STD_LOGIC; x_not : OUT STD_LOGIC ); END system_inverter_1_0; ARCHITECTURE system_inverter_1_0_arch OF system_inverter_1_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_inverter_1_0_arch: ARCHITECTURE IS "yes"; COMPONENT inverter IS PORT ( x : IN STD_LOGIC; x_not : OUT STD_LOGIC ); END COMPONENT inverter; BEGIN U0 : inverter PORT MAP ( x => x, x_not => x_not ); END system_inverter_1_0_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: user.org:user:inverter:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_inverter_1_0 IS PORT ( x : IN STD_LOGIC; x_not : OUT STD_LOGIC ); END system_inverter_1_0; ARCHITECTURE system_inverter_1_0_arch OF system_inverter_1_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_inverter_1_0_arch: ARCHITECTURE IS "yes"; COMPONENT inverter IS PORT ( x : IN STD_LOGIC; x_not : OUT STD_LOGIC ); END COMPONENT inverter; BEGIN U0 : inverter PORT MAP ( x => x, x_not => x_not ); END system_inverter_1_0_arch;
------------------------------------------------------------------------------- --! @file sefi_detector.vhd --! @author Johannes Walter <[email protected]> --! @copyright CERN TE-EPC-CCE --! @date 2014-11-19 --! @brief Detect SEFI on external inputs. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.lfsr_pkg.all; --! @brief Entity declaration of sefi_detector --! @details --! Detect a Single Event Functional Interrupt (SEFI) on an external input. entity sefi_detector is generic ( --! Number of stages to check num_g : positive := 10); port ( --! @name Clock and resets --! @{ --! System clock clk_i : in std_ulogic; --! Asynchronous active-low reset rst_asy_n_i : in std_ulogic; --! Synchronous active-high reset rst_syn_i : in std_ulogic; --! @} --! @name SEFI signals --! @{ --! Enable en_i : in std_ulogic; --! Input sig_i : in std_ulogic; --! Output sefi_o : out std_ulogic); --! @} end entity sefi_detector; --! RTL implementation of sefi_detector architecture rtl of sefi_detector is --------------------------------------------------------------------------- -- Types and Constants --------------------------------------------------------------------------- -- LFSR counter bit length constant len_c : natural := lfsr_length(num_g); -- LFSR counter initial value constant seed_c : std_ulogic_vector(len_c - 1 downto 0) := lfsr_seed(len_c); -- LFSR counter max value constant max_c : std_ulogic_vector(len_c - 1 downto 0) := lfsr_shift(seed_c, num_g - 1); --------------------------------------------------------------------------- --! @name Internal Registers --------------------------------------------------------------------------- --! @{ signal count : std_ulogic_vector(len_c - 1 downto 0); signal sig : std_ulogic; signal sefi : std_ulogic; --! @} begin -- architecture rtl --------------------------------------------------------------------------- -- Outputs --------------------------------------------------------------------------- sefi_o <= sefi; --------------------------------------------------------------------------- -- Registers --------------------------------------------------------------------------- regs : process (clk_i, rst_asy_n_i) is procedure reset is begin count <= seed_c; sig <= '0'; sefi <= '0'; end procedure reset; begin -- process regs if rst_asy_n_i = '0' then reset; elsif rising_edge(clk_i) then if rst_syn_i = '1' then reset; else if en_i = '1' then sig <= sig_i; if sig_i = sig then count <= lfsr_shift(count); else count <= seed_c; end if; end if; if count = max_c then sefi <= '1'; count <= seed_c; end if; end if; end if; end process regs; end architecture rtl;
entity if3 is end; architecture behav of if3 is begin process variable i : natural := 0; begin report "hello"; loop if i = 10 then exit; else report "hello2"; end if; wait for 1 ns; i := i + 1; end loop; report "SUCCESS"; wait; end process; end behav;
entity if3 is end; architecture behav of if3 is begin process variable i : natural := 0; begin report "hello"; loop if i = 10 then exit; else report "hello2"; end if; wait for 1 ns; i := i + 1; end loop; report "SUCCESS"; wait; end process; end behav;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity bcd_counter is port ( clk: in std_logic; rst: in std_logic; ena: in std_logic; counter_out: out std_logic_vector(3 downto 0); carry_out: out std_logic ); end; architecture bcd_counter_arq of bcd_counter is begin --el comportamiento se puede hacer de forma logica o por diagrama karnaugh. process(clk,rst) variable count: integer range 0 to 10; begin if rst = '1' then counter_out <= (others => '0'); carry_out <= '0'; count := 0; elsif rising_edge(clk) then if ena = '1' then count:=count + 1; if count = 9 then carry_out <= '1'; elsif count = 10 then count := 0; carry_out <= '0'; else carry_out <= '0'; end if; end if; end if; counter_out <= std_logic_vector(to_unsigned(count,4)); end process; end;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block n/+jH5jNeMYdfs5VlavPXJCorMXKwrco31svCqKyHrgtOcBbQiM4+UHrCFQ1UhLjaX2B6163K9Ru BT36QO9pbg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block n/34jvAUZ9YI1N10c55vgO/US3NAtwbpHxdB3Q2qf63mbA6+TvlWybnPtIRppmZXGqhak68CVObB PI1njhEel+ylHgH4jJ5ZYlrwKT7+ROeVkb/CPgO8u4oipMbfPyZMybe+3RyMjsiBSGHnjUxmCIzE mfz8OLDFeXdyGCim1tM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qbyO3oZTJZaUwlgD7b4OwO1dZRdlhnOylioOZwxFA3l9ILKgwrFGAgBHsuorJf/N/Q+o0mSJKZjE q44hPpxVmqpt7+tLgVMUgNpyvrqE29fUItZ7JS9Ot1LBqrMpvJm4ZIEQunDMHp3Una/RY21UfX8t WTooNj0frE+HDW4BQwXm5wd3vOCaPbj9Y7Hf9FvRiOEBe558f81Eqy9UN3FBqEBktVfERjBfRIpD UUVV/5NslHFfETywQbzt5L+DcYqC7LHSiQpQZB1n4UtaLUl/6x7RFmIg7kVexTEWrv2juTL9KSY+ iuGXtO7Pwpp00K7r3H/aIYv4Ao+7nrCOin1X7Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block azJwPq+l2aUhmKWCLEdWxhEmhQyycGkkkxTiXkR04vHVhT8JyphVDXELUvEtUwV4mEo+T/JNqORR mflOizSKKo5uPirGxyckPU/xIFr2AJckwfIxzQWpVc6T6QtOcQbJ+HGkbg2CghxTmNi9Ma4H0/0T PSgoeiajsOb06UIxiKY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tJ0o7HeRtR4siHWacAgwgu73btfRANTllxO3pxHohgLqjGqv86CfNNL5XH0US3Cv8h46v7SNIhyX oPeYGDfGge3r6TfMmMu48qLE5KSVN5r9DRh6z5mrMB4lUiRIcoyyRyQgmEH1En5G3TqoR7LQhna+ an6mpE97eUbfuvCaXdSJzaZQgt7KQs18SHA+nA/9tA4eLVOsz5vZwXzkIJ0YZIOuO6oIythtFddE xQBJ2r8gLw3FmGEji/KCYtB3qsSc3jQGMo3ZWUgypbAc6fuBWmJEISpwxfmczE8MJZdpAo1ynJP9 IYHeizOdTaZMtC3oO0+HjxqsuL6PJSX/8V4Eow== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 23584) `protect data_block IpWDPdiPJdXDzFiJdiVX593/ko9FisDGfa+6ebgHvVtinbaHGcXaOk0pVgnUeiJjG7zNgV1eb8Nv HuJrBjuUFRomjSzUEsJnmuc4d1t3uNaHgF0kysf4731D+TI/9dCVArvWeaxRVh3w30A9qecqpak6 I+hzGsTVC/cVJTG3PTrkpfsV7qHr2N1dR9qnmsCA8WImKW8TyAh/JWMQ2dowJm429aDj8PQ/vW8w Hs+UeEASJgJNQnvtKqVBYqfjBT8QoVmTwOEv5Z7CsoWXrJK6YdlnKnyBf+269vjlAslcdVuMBjN2 RTpKppwlA4+GVYlv2bGn77x41DXz/IcnCvMHkrfDrGTEtHWT4KLgFMblr2oSIalRDFjoK5SzypPf 1Qf2Ri9bDe1Ya6U7c8g22Eovief0CmR+QEot/2qbqm+Q1FTNjsg2UJDU1BD9p+z4tsSvYHMUDmlN KAfjIFdmElMG2Sol3wO3dk/0julNvrzlys+VkKyeXwslcnhsRRhvLzkS7RXb1ZOJnEFhvRJFadoM VkCXExXCQuQlVl9RQB/L8wtqIxEB31E+52q3yFNFha7FjSDjSBdjnjZHzGkV/RNsOg7Y9kGjAd9l o5Jq+N3QZPbOGTkuaqhHdOvUgTGtzV7u33H/qqT3Ko0XLz5qUXeetowkE7LSDtewQdJS7OyTrDnW KZUv+8b3t7bPAP/Ss+c4QqS9zl1zywo/K8jmg+AhnmsNdkICjz3p+6UMs2zXmf2OzCGTO0lGoFrC AOe3eSmJkTEOunz84thyQlAwFuQiBPHG+90nywNWbGorZZxGtd4na1ibC6/tKBA6jtgnMRyNnt/+ CvfvXOPf81Z5/Ck92MJw0KK9KlglOjOIYh+mLYGp6mtrC1iyIDO2Wo+wC4GO7YYcjvLZE3Y1989O FKiy/ybY6iInIK5BEZpJakM5VmishdAlUkvSX7bZrYEw9YHzvkpQsWKLbnQqlPPxwCEMpdHY02Vu fJhHjM4lmuzAbthikCXfDto35T+2bocbtOYfnvl3l1tlf7tjJLAiCOjiKsdrJJMmzldmSac2vS9q 2CEZAkFtQk3qGZD3SF9w8xz41HfBqGdQILBL1MMtWFGOpxzqDBxZUaKq0eEONGPQvNpoNO62L3+i IYsaEHe5h7IF4NB001jWXU0YQJrjOS/Fjae7jNJ5AF3GW9bod3d4NQUmsOUtEpldQcAGLUkr/xvN PS2WOgsAdDhbCvcHi0hyuCDG1ARpZbTRqgRPuxBJi59x+fw0nIAl8z78+vYOMrHcSyOcFETr+QAd AwfOTRaG4R2vDn/0tKWtyzKcUKFp7xkRybUKGh44u+uxloG2hb2hLEULMvWEoWY8DvqB6P6V1Uhy 60ujaARz1rRNbjVEFVEwh92HO4fl7N27th+KQsK+9sqJJkPWp0zgH8huwljNKmnXTEhl6EEYgEBk gTOdeAezAgnbKpEKlr2gZmkdmN7wJ90syVVDOx74Cda4Uss67Rxdiu9M2Be/7+8fBXXygqf6s//b n/CXu+krEegpJZwMYdv7+jYCGf7Wu+/olqUUxKeyBU9LwrQde3bc1AF/J6O6B1ZrjaYEA4nVVHet ulw7z+20efBWz4oR4+9j1dNI8ASWXCMLmmeEwBbCxOfAZMzJzLd4shc8nFttEhat6QyMnuDsOLLU awyPGzZU+epXdtYvygvL6VfMsrmG5FD7xcXA+LLGfYQM0eFU1Cqp6rBVAn0dMFEgYC4koRoBWhwY dM0qRhWf5qxm/Lq44qs/fkzSrRqbyKYOKhAJvnCwWsC+y+ydMcibzxaj1NTF/dZTUkJTA/WC90o+ KseqmgjiRbhAk4YriGvIsIqFWEJ6b3dIyU6hVsfjWTpgQ0KYMLyZlsPFNMukBQuM586ZgqBnoAWH SXbTWNkvpTuEbZg0dpCzxGLY7wdtBUoIdftHI9LU0nbzwUf9UcA4s+1E3cNolIXwSFvUlR5QY442 yGsaR0PNaLJ5rd0XquYPkEGxEScmxkCWo2O3nq7VieP6CfqzIVarID2jyxcIl3z8egx1e6CNd4Ir 2ActBwFBKDPmmoMZUArhHc1nPXWkYM7fWoythjnfDGFFUFl/yWUIntlllBBpLOdTzPlarm0lyBH7 QGoz7rt5ZAULxs6qQnsANrs2TUyYZrjpHpn3B8/ZhI9NUrwzYMxYXcO/vPk1uig0H8WFnCzOv6kT Kas5s7LfPGPlfH0X4C8IpqzZZrT0FZTXV+vqvE5TiJv0HdOx4BNgTdq0Idgl5RjEwV6XkJ336gHu DBXdK5loCn9wpL1uPaE6fyYB8wmr0ILVmSjekUdg+LVZDArXhzC9BHHalGoHMen/zU4II1s4krdw 68cQGW7VF8fR4ozy1WXuDNohoi+druU/C0peUQPDe490uPHFERvrI7Z5Amf6482SQHWyzSQy1Noh KSzu7WbrY3nUnasGoiu6HxwylnEt47dNpuJ0gjW3zEd0wJvDEAiavCG+9C0iHLNjTd1RpNs5FCHc aj2LvS9wuq2AWISWj+s/squ2W/TziSAFfZ3WjzUv5YEDPZy+z6NJhhYJkuFKJgpdupE1zPsuTluw WH6vDwArhILZFU5sEYf/NjkSXSaVlLKOYuRS4aBoM+SrnaoE8I6iVkawYfhtQKpO1c2mPnQwXxi2 XXL6mR91TypPu9rSkPw2vfHKXczONPsGB5q7RlSIfP7FDCMIRY4HKaM8nb2rnjY6OG1bhu7yIzDD kStCeVNk8CKuUGcleWAljZOThQNE5gHc0MJ+ePV0VZlJuWPbTFuWqoIleAa8xXwON0ocagvWHpN3 gOkxWrsWd3R2fjWqN2Nk6QwCCYh14fCyofc/J+MT2egvdoj1rdJE0qV9fjuoNTkayYxGjJUThQOG jOOiSmXee6JcGiX6AGdNdTxnHFp0bO0hgH0ujCkgG/ztAyX5IJByxk1L79hbhaYH4iVy4zO0SsX1 p9bfUZHX9U+Vwe65vXs7TkSqNEh+PnNhskicxjZaNI0CyL77bdWgmL7WQvnyrtq1VeP1lDjLXuZY TipRSrAT/QKo4TIf7/16eRsSGw+t24ty8ChbJWFytOblpVshD7S4t2nE3bcQLJA5IG12zyBjCPyt s6dJkC9988oDewSgXNwCjvj00XZZMUfCravj1wpOry+OqpOT5iTn/MGzR+PVWNrTb3f8uwtquYZU /inH5LIIKCY8JHNSZcSMwI3eEPVaX2uGMo2SGVadgLhi/IAg0C6iOiDuiKlapDDLii14z4nYD6e4 CBCbHJWicu3o06QG+JPdzw3CgoUoSM7Re8K8fqA2J2Lf0eYeE2NTPAPBIvU3RFSMPwc9l1WYI8P4 2KyvYlPZ58/vxU8zjI46k4jzIMgS8efgo/NZ8qc8CR/BJT0N1DrPeGipV1wjxjkNdmtPdIOMacV6 skFl3MkDAO0COimeetpmVTK1LPMpgxpsM/EO9zymsnAT6j5CXIEGD6LoG3wK00oEaZd136l0VYTU JoTcsH8427qM4AxLz2D9fFy9ohcGcZnLN9Iy1TDWGx7jcCmwnes7i5SUWxN4GK2q1jcgLS7gBHu8 CuY1fbkl5/TgZQTdMiuQYUw/5Upy9fWgW4LAwnbPSCcmxjBnIlaxvouKwF74PCPg1jRhtenHKmAB cXDCAyEhZcz43WdptQ55ykBjql0BEwI3JHBz85ell+P+5QmljOL/5ZoLoe4J93yXZwD1bw9mmXRt Ewwob3Nk284pLZxdpgGIhjg06Etv5xI8ivCZZjaaxep2qLPXByVBV8G8eBXKYveMR3tth8yIP8Xi Br33PgHjiojs9M2c5W90eZ9zhaeTEUrTDwTJZzIXjv5pGC0tkzwPtklJoIpXNlwOoLIpLhTK8V1m aQcrkjnt52BnhTdMP3dVFCfrVt9Oof4xn9uRRyN5IBIymk0MAbEvweAN21LeIHPiFIr0UKq1Gwrd OdiCNZZDgZM4fPVb/MemksKSCRJgtqmU5f63h98sT0d1bBX0I7235gOFH0bw5ATxNiu+vRAt4FIJ 1rEfjNSeLDKS5j/aOkvsHYHDMcHOqKM3lv2NcEIBCtUsiIbZ43iWdg58DKVV80zCDxsVKOKUSTwn se7AGOi/5WLd7OjVtwD6AP0LNRTzied8YRmiPGH28DCt7bEeNdul3Un57TqcDbfIVDLxnLe97LwN cCISyJyq97LpqnVv+Bciy6Qeef8Ws6kfnk29S91yS3gyjWwoYo5MYenuUoGv0Bf43oOQYGfgjMcz chFvoLaaacdx5TjT9SsWubOLv5iHA5u5UfR/RAxIF4igcGbG/R4Z5D5/NlJDp8h2cMYuvZhIXxeW HLcuk8Tc5aOU29ypx0Bb/anxjZdRcpTYhfWtMh0rMTtUM4nETJfOb3sk+Eqxmhg4ooO3wlHkZdwl Bgsqv3sMhSThe/6eGKLjnM1J5KcMNpVR3onHPveXCOJt6BbUigwVI02EJiConRTZcYgORMsuQKfz /BkpsWdxNQs+Hktbc7qJZ2E2Ml47GV7gd6+THUqlUXVnn8Kr/tgFoweEzx2V/Z0vLIpiZpOx5OWR jXWpAxuwcuv+cfKKd3i3aDHOzN1Zje1JBLyWiKdmY0z3g5jFo/3Xn15DNyy3228/G1Sh0WK3PPft Tt9Osj4cSEd+bjcfHunMFiD2o0ZXqqdr+IfSgXvfJvw8yR/lsntl/3Qngcq+GmUOXCVlgVjVcfbR wTV3KXgsvV3/9odxBH3szuW0jmlbiXmx7GA82URNUBHt1QiKJxL/f+KQMW6Kx9gmrYFxHpfV7i0r 83IAWupvj8B8kVyhlXaEr5NeyI8+SMN9A6jFWrnvIBZjbtf05qupG3Rgck/QftGZ3UEiDeONQXEo eA5AuR3dadD4XO0gLMFyZ4Z10IFpm88gVICudotLy0bt438aVl+VyGPwjPrVBOv3Gd7sdRdLpXPs 04odU7dHSE4As49ira4ZScdjHEAu9neRVjr+99aSRvTGLSb863eDaV2AICfOLGyrdVNdCO8RamcQ 7gmGn1/+tI+YAubm9Z7DvAuzbcSkpqDDMFAIhmUUMDUPGurzp9SZc0j7qVW0kH7XgSKPu3W56Kl+ a2yZZBxypzsmt8yVlXBnb4WU0ZBm9zPALrZVEHIRNgEDPeYRKVg2+1cMoRW+dAsJIQ3kUhySQjK6 nwNZ5vMlwDja+QCSVHCM9t+n4BDANFCszPnRzPPghoMX1CSNk3BxHS3aQKl8JzPM5w6Q9Q92sgRK UNJOB9CJwov88VxKpKqfiXMCBS21o4FrRm8SXUUOvDstb6tDjvauXFaY/8MxOdeq1dQVKDTwUiF8 Xv7wgVXsguMt+KTg0yQwFBrHxBb0D2pNXUMx5nSNKG+9OXQpvhZIsyXF75YSVGhk4hIgyCmIJL0m LApQjN+QrGtvJMNvhOp+dibBDl4h/++dcPdFoP2BQHetPUl+P7R2I9iDdXivShPHrERsIrMJkpKM SPCiI72RPC7jX6/9pDXf4Uhg0T4n2y03iOLjQdZSjrnlD9KY6l/TAHXYpQpHohuxK/d95xPFq9cP PyvqO7UPlwyY7eY0I+/hsUOs7RC9J8UqQe3xCGeuzaw1PBXPFxT1BE92MkSU9uHeVGKyi8RbWu5R DAooHersXfy7k4t++CipRg4DendL4F0xrTswiNV2aBTnf/618hk9lu+MbcKLha4WKLBgGgKxiNSQ fpGRmIIsqQaKu17U6nhAN4EVmCIpD2VNZV/Ppii8GS4crga2jTVEZ15fGA0/XHFPDD0Wpv/Ky6Vf egf1Yp7nbKZwrzB8MxszePcT+OUuHz8LIjm6nPg6H8PkdamlYaXVh8sJwfxU+jM+tr4eKPSmc0GX xTfsJDo9Wonuff1K60sDEARIZy1tjr8SWpAHD1djutWLBsPAvQ7ZuadDh90ScI1d3f9YrqimQTAb tbjJ0FcyEfENrnM/u/fWdSypB9yPOYcF0ptPTxZjdJ8yH4dB5iSZNq6mB4Nfi+QLvLuD5SLp1s2F b7QwWdtvlOfxwU7+6+sFcWBYM6BgVMiZ+j7Wiu/UL/X9WsTPql4LDgbzgKeHX8okGVD0GSHCaWxf QG7y5TFUeKoOMLGByPmzsujPGiSvtmTk7wj76We9ZSbpcgV5XeVhIVP5YuGvKrujdiHHpZGUmfla 2LXB9oaCs2MX7BoxVHLfXgGmzJLFHdv18p1uyHkLVA3e+vWKjsCwKbrnCfpFJTsNyoP8CEFxOtHx BpV/wQrC4UXqsFUj9x4SoxyMOYxfnvLOrN7F4m6jetTqC3XEyL3fnrRXN/EWafpED04b67KtE0pO ES7e2d1kxGhIgDecE74DNagHIG53il+3bvf867lX4Ywp6QoVYaiL4JR43jQcK5eGc8JpqJoDxTm7 kNah7huv9ib+2PyMEC/wvtqU3S4NEV1oTjhWJy2UQjVDmD6/ezITOq+yGzlkLgS9NE8R/VC9EeNS W1BiDg3mSZpIKoRydN1ZCIImO1P9pCVaX2/IT9vGxqaz9EgNmLq5lXf24Y4lQFgtj8zeY2GLBuhJ pNUGyiECgHsXbdL/M+gIiKTcmCOGmSZ2p2nI2hUm9wLu7TFsHWo9ZVLhwpRUo5sR8UXxDVhuDxL3 0GagM9YsNdQWO9GfskkACZkMDFHE0kT0UM3IAnNzRSzLnsNbYfKeuiiAlyhd2nd4vSNietxnm4ZU T1Kts44/cm2qqqFd6EO3EVAaRQ1QJANc/4ElpR2JALEPfC4JFm/8A/n+OdLdX1m+ueOhKCNafEy6 6QZVlK1vY1BMhkjNCGYsyQxp61AJOY4HdJ4gWmFmvDOzFPpG4Zs3GNV7ZJx18N+HUhc5lIJvic7g hnooUg7WykhTQYhO2vIfGK+WVgWow1NmwGqXLkPT1lMIhhxffuvTg3mV2hX8VxQuzq6i89QBQtVN nmw9aG9TUTsHlaTF7jZRIsRU3bZg072Ssppp9Ow/odHJgytRWF8MqFs9M6PZoTTb1FjxCa2DZ7Qk Uf40Hr8E0GW8WXlJZVCFusxtrGqsGXTIoINXZQz1nJewVK6NATsXTKKLtjjfzDTUz59mTtkdhURf uFIzeRqGMGxIlMuuXwTFeFWIk69PZdd3MEALNIRBUVWNIcyhyZSlqDyrmNYzGdQdxXjk77SrUpkC vFzFNaRjr/Le9fz7uAWWSN3IciPkiqSFYQavQJXCX/PePf+/ITMi56Ba3qleH+BVZyM72xgkqJOT 5fvTD4AhcACuyeLn5vQUlfo9ygnsCGp+QpouXadShBrStUe9Jm2PBarRiW8YTsiNb9QitrPUsf7e 4sxAGT7QfkficthEgy7Svfx8+bqbPWY4BYh0LJK8imE54lsCng5GMuz9SRkZFs0gAyZAdhIfSkzH lyQFWUR1sgENKH1A/5TF+Som868XNKsIqNpupN+A5f4tGeRJh9PCwJzAECDBem9zypX4z471jCXM ZsVQTYmoO/kLjr9NFXiPNNcDgPFFy8Hj/9n/jGYgkFBkMzUi2ab/WKgx1BM2ljV8wXJ+g3NtwD1v gJNAqAwSYZW0gTxn/DGkBPXd7Rmf1hIP2B5Jyrsdhhu00Bv/JmlYHHTFH+Hq/FObZgX3208g0flA mEPpSiQtQ4HbJRNRAvj+YLRu5GBhxU1M8X7NCMmudDFaSspZeSLuw0CiEnLfrPY5b4Y+1ToepU/k Ck7+ItLsQn7/RjOKkGMGxe3bRFjHQGUnjHd/P/JuOPDWL8fZgS47J/kYXuOpKBPFqYdPVYoQDOVo i+6C38vd86SK/ZdEscFN0+ZlF6xrnLimOp98/Wa/OWrlxs799Vg5yO+wUvuhpNZGrlSMd65oZT0J J72B/9yVHiff1B2toB/FqsoKbvpobOCvVQl4vli8a5V3H9xK2zhKd6lQ6+3M1lgMSuicLJAqPOFg MB2JY5upI20DhTKlyt3/Hw/YfkbF7lJ0tQgoOnLKVfMugvt6vBWaK7SlAxHKI5NJTZaHeV49qzLe fQMY3tbnKGB77mb1oZnyBcY+jfN3DJreXBm61i9JV56FnJ4RYQT4gule9BKkD4waTAf9KumRLg5S xocJnIz1tta8Mt1qEhUTBvrayIwsne5/Jrqwt0SsuWnes7NsbMS7BxVJg8FF6lv3BWAdVB+RFfc5 xEV4eddIPCpXqqJtfRweUAebH60qy2OhIniRr8bLP+t5B+AeZHrzf8vUYFwO9+BXyfaqTRpVFxOE eHTohBdHNRXe2jM/8r30P8hMrXNYC1bsP2YTXHPGgXVbr+81uXuPldvFXbASJC+OraJWjK4DsIN4 +EqCEoPXlUTjfeRghkBjfXJNzobqeNZ9ukXZ0GZYXBd0ZLh0nslF2KfzckZ6ijEavpzqyQvpT3dS xidHaNSRcK8So+9KCS4Dxn7dXq14i3f4iUmpB+Mb1Ws8QGqn0VqGHCJKX1IFyomOc/olz4SY431I tifE0dWdTvu/o3/8BDxthT6iCgzg5Z4ejXG1EujGeeVLOySnbSbaSs7h3IlAapnpzZnvh7Lzywry GdzyvERUilOeSPIFw/DN3trnbZ/KWQky3S7GyOE5AQMP/UEQF9s6EVKRxiWsaA8lQ5y1OaT/QICB AG7AIgNISDd6Bc83UPTwaZ3xCP/WEXIUNLEnQL6X359Tm9oiHrngWzU9KOqw3V67DzkIYsirSxTx eSZK4OVom+DhVTSPfn1KXU1DPsLZl0AuasrIjUBHIqPkdmBQDv7Jt5Sm5tgRhYHT7gjfA6+aYLVe LZOLiaWZESGNqBiIO4jb0pBjhawtSxiRT2N+d+AWYlmeGtI6vg6PeBBWWgaIZpCu8GjjyCV4Pt6R S3FFRAEPLvCjszTRan5lNywK1zZaNrJUY+0PHwQqEd3ISmUw/22YMzLjKTOhNrGp1FWi16BKW4lE ENoryueb0aBwARzhuX+k2luHHYtK3moTDHJfJ5Yq8X5pxERnem38HcPjevhzmcdDXtR67CNgkq+v AID/2HFUW6b67SSi1M2UgOgqgsBe7zyTTOOHIuRwbPfuFlIAZsdBZyFg4kzm0JyWc4DN2JNQSbZn CIITmQiWH91RIB01loKv/Nvj/pAczlRnX8KMePFt8wvs2+ssbOPcRsTKs9rl07mrtdeOgSOE4kX4 S+HBvB/IIlkLZIEDgvrbtOcbI8ytQCQdl6/oNkCXBD3V93oETuqdrIpz2s4zY47024p8+Gsnj3rA gumc2a6Mf/rNFKWzqUVYqnxI13aBu/bCIwXlr7bHEcDsj9bqn/bIoerrGIFBNd5lL4kB6ExzM7mq E/U3Sk3IhedUZ9ngDcGAplQEryFbtx064TEIo8GDHe48mHyX21CyouIuTGqNcZRdD+2XSMxvWInK PARL6LVNsH96kCKKfs+G2VejglJJN3mWyEP/avFUoPyTyFElGVwOGIJTp9xc/cH2pAeqdEZ6Uz0Z TBfIRCLsDhAKeQj+DwM3c9JfZSR91Lpwnt4UHGdTb/Km48+gPlD1MnBMAgjcNa9805JVwk1F9U1m HYbsMvLK6hbodxpCMBqPjkvgmXgarix8BK4NqOg4oTO6tt4imvm/Un7wDyq6fKw9ZvfTLkQ4LDJo 6PXxTQOqCuCVlosheGuQ90dGmGoh0J+NzIlfWcb3cjkBFSA2ibuabHgakwGqEnaweBQteu4l8uGm 2ynIdZ47/ZPqraEl+SPNeqJBV+g0843gU4XFPuFYqkxomdjKTk37fHDsx/L6phHqebIerMi7ct6r T+a+xcco0KZUv4U7LHnExWjTy0vXKrc2MtzNiXjv7tSACC+OYWfGzkCAOaPypQ/goVLR6yGM2mr/ xZZdQliS/+iCfsfbWHWONUCVjDp/xuQkMs/we4L2s4H28Nj/YKUUQYf+LziIv+B2g6ZSdhB0LiH6 r0VxbC6ouYAAs0E//GTBS3VA7IX58ZtTOfwZeF/m81IKvzsrS06G4dkS24X4+grjSPQPsLv99bHa Im8qYFC7vtS8XGsLQKbESRvv96Q/oFroO9NTM3G+Pm7bI5eFnNf6VUYasftTOHBHgiYBLNr8FzGs L7c8mf2gP7HzbQgrQ7nvphbsFF0mQb658btnHnj7P8mCMhEp/slyJiE3elvwvs7uVc+qzExoBPjc NLQ86YfUno2YT9oon9qkkq+4qgBEhwki9yLYQDWr8GRKSZdaxqzh9Mg/hFuIcrjx1dC2lcwxqPWy dUdO7a093KSzipIMeWAuGFUoDS+Jxz/P+v0GaBCVpoVw+7A8wmSk7SFIBOf5c7mtGm2y5WskKKls emhyu+RAKnVR6fQkopKe8N/xqgpylNR5vC08gRvY5AiW1mpA1u89ZO+jOBq28MSUqvW6MqdqmRPq cV9haA0Wh2e8N76pGmDrcQsxjXQI/tj1gK+9pGOA0MnWeiPjB/W6orIepg4H47FKpPVgbV07Jegf qs7+RdcwbzP6QBTgqp8NTgg15mWwPEuPdIO1p98OmNOt8iLFfRbfTNXEcrQlv903ZdhIMVjPNo1U wkt0tEFmKfLqrE+B9+M7u0W+dug5GGS4AAFVkinsfeBj4JzB2alNU8irZawrveiNZofSQcf3oOzu rzfmxCPbnNStKLT539SSZYTJ94z4oCc9AsPT8+MNLwWa4fowFkPtXUUvBwpXWJ7H6SmN7Shh+pHD /6/d4pkxXQrwMkhlYuLod+IlDYmnCBMJWBk/+NjujX1gM8jOclBv6EmBAhIFRAQ0dN7WD5aYomTx 7ztiue7kwUqUji9xJRoZ2NLqjv4MwVMYssAsm+Rq1QfCHRJ5y3FeKgXFw0iLbatQ7/zEW5PB6cvH kOrbSd+gM+RFVPnYb5b3KLnn0cEDDZCWbtLEUh3SC+zmjrr9xmii2E5MSOWGVmUiN6eokEKrXw1D eqOQP8UbteVCNbCy5eYRzub5jrDPk5s+H4LB8+x4B8RopUGSBPkv6Q7c1sLl+sTVlzZIMu5mWSCH 5+5ZpMtIAawGmbkwb1fVj2Z7XVKvZ+ylYI7jhquydto3R9N4hEdkgzaihnd0uXgy8MLYHELitNW+ Vszw1Mmr3xWr8J6sgrtW86bVdZDHk5WjKe3mnXGqk4vYQUZYLVVwhRy2I7SNwN0OBKcyd5SVMSRz JiWCmTGG9o+8HRFCQ+xMIhvWgp9kCAuMHz8yNNEz5lwPsaAN4PtIl1Yv8YKmR1DorPmgc9pzyuDX U4yfiYFX90DQlUMmLNtNrk0acvc0mAmAMBgKo7z8fblvccvW/2xeFQHMiuc7/HeiC7FNNNmtaVKy FnAjIcVvfPHIRZyOlbZarJ4n0b6koRjWX+A9E89INYM+nDpqYOLrkVukrpm4YqwmAisXCPSB5JSP wDF/qI1647hYTnkQWnIcUXNDQRNkOzs8lDdKGJ2NtoYLPBawSQY6J5eXd/b4xRjGWoVFbjDzQyg5 E/wED92hjx67FCyvPGo47KPmpAT2WvQLWiQTFueGUy1vZtOxSq5NgZwKSaP6MWvSr57iFXCReA9V ZaRE1yveIWnEJ8kpNFkRDnclC2o5y7bZeVrjaWebiLjqoe9JCkyd8SHj9ziqywSXUfula1wZCr8H 961xraLeTDSsOuCbZkOMdRiawUOOPr5/U1SgAfpYffh/OHlMBCU50/6F3fi3R1IT0Mqogs5EtAjN geJisruH87mWP90HKc2F0PiJOyi5fquxtFqIuxPrja/ZVbAEfun/4haXKstzR6ma/kCn8xODbjmY sOgIFp4OIOSxiBTgx7Aik3Dh0eXzgKIt5usjllnZqGjxLe3ATm9PlaLj14T4v0Jt7oRanniBPTbM 0JugNKA5LmuzPj1czYtuWwz8t0xv1IxQCQ+Y7eNZ5nJuNNuk+rsbT5jnhfy8ECVwxviVZwjqVXTL oQj58TezmcqIrG400PBfq20AlL2GK6IWYu9ePiKGWOloKYc8lIp6r5/PBWVxJ6WbA1/ZdpahEcsj wfMlXbFZ0wPi/sJFmWDVtF6B4r82Zx2nDIDLr3EaBKKXbEcvJXlFrzFLrFtkYNyCf8ivUnWGDmhV AY6+4At7QXiiRtj35raMwTPX+Q9WEBOScRqeraK9mkIym/HkTlKT/VQ/BzvQJrE3TxJViizaRgjG 090l8bVAwGRN9rDfVcuxl/AgMAOe0bRXqjJlmQBNuDJ6ANHc53eULjI7drOUxoCADrwP6KcDzGG7 l8XiQ4ZbJS29qa3FByeZubUXyRHeXRNkHN2+UrhXAa5y/cUowPVGs0hb+XdCp/cR09JdlXJ6dK6M rZB1lDWC4SClN5xxaFyMB1SdQYKwvAv1tHC2JlXbsJ9ZqsgxyBjTuHKPexPy6IypgxFO1QZBeD48 EilCbgl4i/EDpvlENOD5G9XlAuD0DMISAxpJIWn+zm/lplaHOPCSAFo/XdpbBQmPChXcQEK5nBgE mBoGcCPpMM9aa0hHD4OFxSGBBKGrBWzeHDfurztEcfCzviZdDne0b8j1parOM8ed1D1rSBhOXUSR zsAhO48ttunLDRCDT/u3kp826qC06cQFqkY5A085qN09zVIwi1kXlbyTDnfzkNX4WyHzGJuqMOz7 apGly8zpSKaUPTlLwN49HiZuC8fvmO3uIXuYWNlyLoCYmzrbbp4ieKBQquAV79ulL8t9WSVEPSai +xkmQCaC4k1ny/dQOsQIauPXDiNIEoNPrYOZlW/FjHw1QjiuoOLvulj2/qty6E6LI0yBTPQJGb6G xZYXyeQXltMHpswrKwSeKtH9MaoVgOIev2H437cpMTnduihzkjyK9H3wxjDZcjsu/HhMEb2SoQhq XlAa5DopDuMSf9tkHXPXDbW/umJK/ofzTx7xoYjoFKxMVUifBu2c8wnO9+8j2ihj0LdWHp3o84HY l6+Awje+QODJBWqDnYxt9qL0tIic6xeQMnvouKar0rATQkWzPsacK+UGuxCp7taoyru02K89wErN 7sv+g83X/Gj/Mg7PTZniAucBzoLT95nyuoHttJ8YpykwpjHBXUjBp6t064OypW2yxwkSoolIcBQQ 9x8rYnVpywvqarCGyZg+h9MhqRKC2vIC8THIWgpPNCxP+uYDwoDIjFpyGSqq9s8elDJhSkngVK2Z 7DnX7lj2vwSFlNxQEb/whPMMgTTRYlfIR0xV4o/UivpcoGXughNh74puzCEfE21XUCe79pMNf87G ZvxZp7Wa7pAzN2srQIAe4rSvWAMO6VINaam/nLEQXnBFfdepOhAm7q0Y5IRzVSgO2j2EFNJWhF4w 2P3mB0ftg64taBils0KCybqITl5pzJ3sP0+Tz2IQhW1LBtunnOMSGiXG59uVdb8ZR7WlrEPoywyc odTw9hejwNIHyQ6VLeDbYbFdc+myNCtQSzs43Nw5im/HJPTSi1hGlx8ibL5Ylpg7SsEnEo5Z7AxH JM5pcS+CEryYmD0VGdyJNxZw8qQkCjdAC95WdcGT7Z/FIJsCpAkL0GMd6BzvJx3miI4GChAVnRMS thn5Pc3kRZsQ0HMyUoCg71kM4md+5dQwZYQWVo72oyKjlxqpunYXJOzNqEcq7Dvh1HdF+E6UPhFJ k2r5bSeP2EiqA/H3yvAegGCHXJd0kx+CDSKohk4uMT+JX4M9h8B8u17pPpKPNLh1U1WHBOyD8dYR h8xfddBXiF/qAzK1Xu6dfNYdflcFOz0Yq0RYFVy/UiOjwH8zC5mDwzMjFhSsXGa2KDV7+vLUCkIJ pCwxi22/NgIFL/yqlAVarwn389ohsSpZGorC7e6j7mm9o95h4wQg6fo3pTdqHaoOzrIMSd2R3Xzt u0UN1oc7FbpndjWsLLIR1fnIYt6ORFFnaXvLafJaLHtuX2ni7KNEVh3NzDh+7MEGkrCIBPnFbvEo yaR2UKzAQcqlydoaobbgtKmX/T8e1dZDR4XZHwiw4Y91ii0r7fxCBrtGE2QywFcZdHYhl+JhLqDx 0BK7JWtHwd+kIrqvqqWvgT9rocyjkbRjSn14LImXrljqQzPTi/cMy3sFMZj1P7sXOal8NaYM3aJP xd69dIcJX+tU6E10S8p70WmILLEOQ2b3LIWkVLYNQ7ZA1fSciGMNzV94r1/Hvz3EChsxKsVJNIXm 0vgZidLy8NAjAt7sPBFHrsG970ncEe4GKoinqEiU9xaX8oD6t8ZaeC89XxnSDbmzBpPUwRT2kya6 wBANITwW2ip7sDgy6fy23mvRO5WMKhyTFf3vP293uxDdvI6xjjq5ALD/wzkfSfSTZUjrV3hsr8Bs dADqnI4BRaOQqDg2Wn+7rImRV1tzW1Qt/Y1IErpNII/n7Kx7sacL7aKEw3K6WX6nJG4K7TmQlXA5 +i6Ok5i4XVOR4R8+VTdhUhc8qSBtUhrx4B5t3J1+Yf3Rrc2Z65zURkgqVnrfHubheh/bCGIWXiCq upocbrlkMXj2rCUdm3RVP+xK3ixpibs90YvgU5nUwMFm0BCnfJOhj1SKPuiF9drQUe5X9jniSOxW 4dX5+BHPHMvssah5EeCgFapuLNKbjNSSGLwE23wqs5hMmYFucBa9ZisGFmMld+UryUAVhQbp7k9e oC5ktqxWhLvlaV91WdYUxv/ynCVVXJDmw2jyCRCa5r7x7TIgHC6dYIBc7q8yG+hpERyVK38hNLIl MCSQzZ8o92QjKwD/2L77yUpNi7Se2WFmvHDarfXGDAcPrmteA5+HKDApfi4SSTZJa/ej8/ITP61w xrxUXQgHTR6jr61zAPzrMDIFsfShc5OI71XsuSyo+/K8UXI5I4D1tfhkit460VJF14jL67a+reiI 7kgk8qJSf0Mmr6VdL7tITYFQtMKXVXHOoMp5TS6yYbqTpYzzdzkkmSsfLoV6iIrGpUJa70gntpNF fpW2+Kp9QtRT5ELbrqLM91RDlY5yq/ag2j2mnF0x4kXj+wm7O9P4D18AaZO3iO4CZ12KfQE/3wKj KrUyMzrypBJ/3zLcVeCui4MYvN1HvlYJrGxrgCsuhlGttVtzGHibIetkHc0Hyx18+zK41/VeYZr0 KUNsv45MZ+rJI/9as+wG+KxOwQw9qJO8iB9nzVo2DUgNXgTszUN9tH1/cTetzyjExaUfKUww2WH5 AplbvjNicxcrWuXOBgSn6ABWBAIou3r1EnpXPfcAxi/hQi9eATUXas/7Gd5iO5duhSIm8svQxrIn 6puBF3prdUaRwicVqhuyub/8POl/7GDsR/6IsokKdeRguBbEWlHfswZDwQM0zP6WGGFEVYZ/nZwl 4tNk2+xCTXmG+4vQMYUYb7pN8xvqjTlJ9FBuP/3M724qbuWwhTmtsmimbh0WaIf//fIj+CrUxSs6 2Vw572cOSpuVJjXQy7nvPWkvE99U3SxbiQ8IYjaHK1/27TGPbrW9dbGeGiBuGTbEIevVV/BvjByZ 3JspiRw6Wuc48OU0oV4InbVumX7V+HTocCLzB3rVNv8QmoZ8FpmaxjGdXMNSVG2IKy7tj/Thk+hE CgCf+DYa7AoEhPnyDF1Ve8q6T3tKTY/A9qvmu8ujqbfaxqeU5B6YhMMVqJ3tImLMMLRPuH+bCB+M 2r5jUPoWmRX24Z1rATagppUBf+E38jNxjLptUxLS55H0alCnrnIJhpd7KipcHU1JkERHbyWQLa0P MRrq1330AlAfw6ljCj50QkRdyMLmpKAG4ufT7QyeYPfWxUdf9d+cM3dvpaNoXtxpvAHn0RJC9E+N aZQwIlWUjfCf9rMg0xcmVqn1F7rD6V0fqyyvhSe8VVHn9XqZoQErXptx7Et/lhxs/rw8Insl4ev+ uTP9hckwpS6KmP4Alz6rFmZj4gEuQ3nZplCvPX9gS5YdfsBOGIiwJonaVvHnrw/U7HNKcJjgFYsQ 72Vn0RMmoMtMO3l3l0et3LiQctPmGYRDFvO/wJzQ8fXVFeITVfyWoFISyLgj+50+O1461e7gDEEH dt/02ffLpaC47SWNcF10kNsxZci9IQjMy7eAD9UNR/CQ0orL/6B0DxCFJsGqcFeoNwKG0FEVQDqK 4C2aH8TlAXPfd0niQ/0DtrXhWG2M8d9E7+luT6IzADQxfbrM1PugKN92vxUKhhb08sy1ikreHRvh Vgyr7EGg1u8oSElRuqRT6rp2U1ngL2Kh6sG6kfoGNxw7QGXn3gEtAhR9yU7/3gW4MPR0jRFAaSMl RK+PPkpEVsopo/0FwW1EHjS75AD0SvTRtXDn7o8ncagh+Zmg/a09MglH4aU6GPjyK/mQIfcgNbGv 3D3DIXHGs2VwPTeQhQbaB+X7s13tR1rpfuyFMvt6NQFYUMvzkDxMWwP4nsEuMkYBA5AbPxotSzq2 Xq6fER25ncH4tjMIbIIjbk3uyMuAL0UPRl7202kR3/9b6eucrh2L9JrwZZv4yRvGsD68qKW/MNlh 0VW7meG0IPJUHxmPtx+LlTE70sm7lNnLA8r/xUO2mAnmStsIxCdmyY1sXAvtvRU+WWw9RuZQCj9G Ov4b6o6tr9CDnOCp3cS7Kg+frL9rjWqF62sGcyLInGjq9etqmFU0qsCTZIdlVZTJ3YVRZpMTuXuq Jga+n3GU/foPcNobBIYUiG80bt1qqolvG1TgVfeZbM0v4o0VkVPo2c7+g87kd7W6gUhOq/X4KDYd pv/chlVTr2ceNV6jVR1QQZg/bSUNVwE6I1eErZJEVIHmU5MJ91/y+1Y5zGC+HkaG/q1PO6YWIg1e fvlyuYwf1Nka5uTihj498h3osBhq1ovG2JLlkVt4R/s7Kb7P5mJSHEH45hkengsfZMIr/2nfigrT JMs135YJohN/tOZWKWf70A0gkdfHuNyzkjV27EmGkANlggt5F4hUVrc6sbUS1MSXlqhXSNxnG+V3 MS+s2IhwIBAlM4c+mqYenaSCQVJCNY19JgmUxjpEjPqyvGEDpv8RCs7FqvYOMFpvv0vfHe16ljiG Ma1MnLyiZTazw1ZVJKkfAyClaRIZ+0aIcm1YlDqn8SNdanXFEmUoJbjx4+5pdcU9l2NNzTE96ML6 tS4EEE/tsIonEioaRoYf4ovsNgRH0bfeaq3JS1toAESQoJDNqLxHElWpR4WJZdq3vOUSEEJaSkKv wz5DkEzCjm/q7wfwOUK1VcAZnCAQBS7oznJFyberwuUhtP5Mz97hrF00g58qjCIGRFBfYpV0nq1r NmHS4vbeE3CkTzIi+gQ5cCKmIe2DWOp6Ub+D0w8a5JhbHSql8ystknJOIJ0PNhRqO9noouXTSDnX wpDaCT4eGezasmevfZYVLMms4+vqQAHMBp+uCT6bSSMl9p9epP4S2dRlzigQ5BtxGxG2xnlPiYWE LxYB6lDS5KqytJjri4wa19QmTsTBGUl46R31j/wwrwhV2aJ2C/Pxt9NQuTD5qs9o+ORzUrk2CRL6 TaSwumny3WmR/a5Q0O0fTM58crD5SIQHm2b0tZVTreudNBpk+9I8y3udLMwywhGwfZo7GywfkKe/ FizhVrG338KwCQVUNCROv2IpPgaY3hAeeMI2Aaty9Y4ACuhA6nlzRFjKZJLP2XHqFtnRLqhaJPZ5 VmlHOiRq65rbV/ivgWd+xxVnkKajm1kawYhdad9YwxGOmlFMyPXIYYAKHWyf995kVzflbZY6QtWr nip7zDCEmpeQzpYJeRP1g8ewXi0ZopQR6FpfL5YOx3QZlnx1Zlw/18rzQd15xecIaSSm5G6fG/bM Huvfda75IX+9fJ0bfIotHF8kzkJBnetxGwufGq7VIA0qwu1Or37+JF5odZK2/ZXMF1U8xyl79C0y Fomv0sTuSCXd1I4JJQWPmQ7S+e+Td9uy7pDauCKMijCGD+mm9qbqividVM7C0DuamLlP9GB2Vfop ZIIec9MX1JdY5ZSjLwAiw5uGHMpFLtL9kEj05Dz7sfq2LTg5mDgBXPTDdq5/OKG1bdjYFbuXv/Je 4jPxLPpUYgUZEgBQEg8a8fNoARSCeXan5LO61PzIVCErl/EEJocXw1hRUGBr4VSx1EfiKnvqTvCO RxPi4BhuUEdP305Cenv+ggGrzLgq6RdVbVAOYKddT2JAmp0PXQ0hBJ2PRHgzqpknD7fEnbyMkLYo dmoNTsKpXfMJ5GGb74yAS9ats71EFwJ7YTuD6n7TgOM0A54gQxC2evb2sVsYqrB74auKv84b5Fg2 K9UIHbh1bx6DWtB4QZ0IrsJjtPYju61L54OQ3rpMVO9H11wWalRiSVSr2DOm+5gT1/c4FNtSKRlI qA8PSwfZkDPUZvLlsbhk53gv7eBCUsZ5QwQBaO4N0YMJhgInEn67ZpN4GMVxu3+FloIrKBlhodht 26MD+vmNB5khTJfMYLdBAJI7YnRy6KHGkA+SfvDUaMTvGbg6H+2gEyBuMt+8/O1UcZKaCeqZj5+B 0LC1olobllSGSbRjUAbvt1z9iXaH60sPxr4N3jfuwZJemOcXElP2eyLSThAenY5+ALC+GMGtXwzp qO+hXyDG1omoNJj8gnRIYoPetUC3kk/dHVLwbacAkWF3hE9rKkzGRjQhTN3LV003Yd5FEeGR5Zr/ e58doGSd+3aip+iDQkwqZvdzGAdCkJsjlTzGnCp2+N/O9/WAGlsvk39ig+9CxvbAVKXvItinnVK/ h1NEESq6p70vNAjcdX9EqItcIof1Hn2pFBrFixJ7IGoDVGw6qMLwX/iGPWwpBl534XCboj3B6Fys oBECYuuITcWM7YOCRy3Btrufmk7plPfKR3iRpOGlsINSspFtVYk2J2trT+7aFdpGKwTq05PXJuvQ dwrMKzHZynPjiJn1cWMY1Yz7VxYscnoxgJj/a4mNdI0uIWBBOjZiHEWKmEis7yiefyvOo1+7Cb7v aZ52ciI8Qzptlq5Dnz0H1dcAn8doQ4aEsdNxM5TBahngduU5Tm3bfwnBOB8wd4tOUFWYyOlhJiJe l6N3RphTa8gQ+5c3bJ+4f3QYi2an1PjineN0ljHV2et+TvD6wItbiOpQvKJcepzhWfbhYCN8IP02 nF9F+dHFEAM2KdNgxaGAh5X5uZrlZ0yxKTnR1RuaWLHSK9v6bfa6xtf5sbB1C7Tz5n+CPZEe+dy4 wWym6ETlm1LhnF6jkXkN7zK5lkLOXfKEmEk4NciFz/3KValIMITCqD4+ieT6vySO5FAyUL2Lvm7Y wGSS3r5LL6jkGnO2/mInGaIqbwnywa/vSqHPrcZOy+RSnk42DkQteeqlMjWNliJh83ahldeZr9A4 5F+ADzcnv/guRtZx+qGbWwcfhZ9LyiNUhLzSRBQSdes8kxBMfxSMph037T7y0l1tugeojYLr77+b uoyvtF/We8iUzliIJpnySVOUKFgTsIeaVv506iCmhA9eARwvErfzoCCgq2ANaCduevUzampuh9OK Lrx+pNVv41KQbC1SH1oVrfXx5jYLztulKhVNcYXAFk77BKcU7JwY27ZsTIz7Dk/po7bEQys78Kh7 kNEHZsuLdMnFo3CGlV0rqcWQtFcMe8+hKgnsCoxSQqU+qBkhrHZPeqLARS25gwZ4ksf34YHf5F1x SNAU0uFH54id2DIsh8VjgfpU1m1J31LrSLzqvx64SMOcg74G4Q2t501Oo7XoW08I5yOVf/pNnC0S iBsSA2a26/Dl3CwJfdGCVXTAhTX2gnMwMztKlBtE8rWWkcE2MfAfsXa3i9yjDQkP/geqGfb9ZcM3 MMHLK0z1LNxehP5YG0hw6EWO59ilhKMfLHHeRxWYdrtUUJQ4GXeysBdzrzdE2dEGWcIQNHKkJZkL 4LbX5Nmsf0gH0U+tgV8lHWnSb15lAX9O7qQmuVyvRwp9tdv7t5o7v/1RTedWWsVG4bi9kMkzmbhv u9AIxrMmp9oQgGhe7+pzc+TtSV69yV4I0gKy1k8HmIP6k3n9m9p1bTJqpoBDdedbUHv5fC2SIV/o DSTuMO5xLzE1ZKXI5SV4YCIfYzJw4RTmF3cvu9NMeAvz/eiWFE+4DZQon58aYZBolVWViQ4DkPwN K9lmffAKlBFYLX9hnjqXnQPUbfssZrvt0Ovjr6XBtBDEHyWfvXHxq45fDSie1XddO7rXRsZ3wPol K3L7OjTHBsPKcY+zM1to0tuHrvL4qyO3IGmKddgDer+gGWMCYEI1FvRTxhyhEaq3wX/oouMXCk7D oSFXtAHlV7GDr7Nl/GH/85fvPnSLU1YIPiuXqm+T4YlcH93qP+nAOBOfpQeKfEPnDF9Jkqx+pz7E 2Mbcy2jMGzAmYW04QjEZ4ql5QpxWe4/T3FzeCBPujA4HfYaexn9dUtu78jgoiHAT3PHRDtABU3tG weNgLOPuVc0eH8GnjZ5qROsXV6OZsfj52OCjCnSBMV2VWcNKnxJWus2oC5wKA5c9zy9yj0VCWW46 m8aSvXFuLY/p39QL4Ed0ldetYF/VVJTECI2/ucIMVqg5dqSqu/JRTZbrnZj9b8MWVNjKab1v/tyd wHoQcYxQRrlq6reh1FeTTpO+ZyKYSsCQMkoyBvfYNIFG8cBFD2tg06ajxS8ndam1IWtRID7+2xYD 7x7i9+eEFjHS7l4QWR9SQI5WWuyB36ycQQlxSqoe3MPCGHSKw+omieQtGXA5bG6KNFxiZ475JX0B 7lVfgFuenvaRH9Ev/6lmMzP31KY84sOyqqDoA56ukdhcU9Q7lweHoKY7DJCzlZbTX9xhuwHuj/+L WmkPNQO0RykaCuaGj+IKVhqYPUWKJslABJOj/xJzjV/iY5nYyEFYRUFksTYzQLPZhz7FUofL2awW NDf5ubFerYGOUlKvU7RwUJm+kb5vNeIp0pVgk1FkwcxIG4Bo0Ekuj/Xfu2l7C5E5JpgAdBcFKaJX v7MKJrfH3uTRqNB98YKKLMDYqR9+aWF6Eml6wNSlCvUasakOIoUN/I8iqpVHRA/iQf+hYu7wFuiP 6U07I5hJl7HEywc5pMc7Gngm1KEo+p3nf5VIOakA7rOziTN7JMs7bWy7nebVV150zPT/htUCBG0A kQMS8PySwHUP+NCONoTPto9CBWgDR89PeV3F/zwi+2XSNhLiUSoDn06lr3vDnK5iAFmWCxsDwR+J vGUj9p+6NMScHbYaoyTgGU/OmW2GHWQacQzok0WdzHkgqHCXyrFbXMDqMcp4u5yYYgHk/YnWjsRT 5zmdcQtMpZlNCCbSmYUajVt+M1YFMJ98CbQtZpJ3EcUo/QdwLm7dz9ELsjfpvaNJMA3ZbOCBQQHT dijKGYoiDN0wJug6+Cy24DmHXPMsgGYDZyGLmCpFLJLX0Apsyi7SEkZik0CI2zoBBDPcABqwQP81 Xhhngkq3uABiCE1W8PweafLK1jqkJTDCdMfFzBsb82hTbiMMyntpOV3eX7A+kpBKrG2oO5PtxA3z FHV0oOIeiK7ogsxDawBjvUey0gCudXLaMrejWBAdSRl+WqpLgyvy1TpmuGws4CRlGzfPKDCwMhF6 wPwoQ1Hdpesmxtc8BBw740Xl+zZePnsO2hQd1Bh6r5DA+0H51Hv0PfV12Zg/bVJRVMzEx5633YQP JDHfx9lyiKopT3e73C1NAILXlGl/1tvj7BRJnI7ZG20OEwtM3peKWlVlVu8U7ZsYXS3BM9PMmMiH QxShTM3lgZ1QIhCQp7RLd/MXaBOkbwdEAxh+h/4RfrtkNkkNCPUlkiTt+gv4jbPrTP1jD+xKTeVk mlRGf9mUS5DkeYK7tSmoEHNtJLjm4YbI846/PruucAiXzSu/+R8Y3j1lG7E0uJrlhXFf71yWHbLZ zmY7D0YHhwLBMbAdbDlqiO7Iy7/arxFX1mLXe0K1QfotmtYlS4mBpAn2cPuLJyMgjKzphRXH/P03 FUbmMWs0HD7yI37nOB4A4aw4tgeFK7hqOLcz/L/mWOsHKwxZrfBNQa9XfTSNpWBE4xRl0ekHc+Cs nkQJOGE0aJ1XN0hAMpVSKE5IyeqUggdYFw9iaKiqsW+upPI5rKa5MkXUoKRVvPk/aAI6eZ+5gIg2 jNlS04l05/L/VR5SFR11ol219MfmqgvJunJgggPyKPuSZi45jmpbNfT6kSUpu2HDvd8+rPVv3TIV k75yfhyLLFjgIE42E9lMoFcZapAsIKHb3ZULsMCtzBOMGTGTnfrp4ogd+4OWXHH0S/mRo6RaFfY1 T29tLuPhvPERCRLi5m3fPXZAu4a+8XvDY+wNZgFuacOBFoOuDsQb+93LNBK77VG+qtpzti+M6pqy dSlDYQQf57bf6cZ995lz+BSwx4RdXpArNqWCpfUpQHElP3EvYuETfrNm9R7RvaBvbOwx6pga+eR9 YUS5ASR4576wmOq/dU8UJkap2WTMYizG3G8r5laZTYoUvyM7sWJnQOYzijG/I8nwW+nx5lX8bCPf DBmtBNbYiN3TWHsvtkvx70oK/lLAdOh0B2DEv4CyrBsS8C3obN4Lu7VNChmLfy7T6V/X2j3EOjjM WlAyYx9lhX+QVHlENFhF0oHGadV8DePvlhk70Br+L9a3tx+mRUq+Wr3XWmz8zv0IiIh6skI7b3Jt XsCV295bLydu0b1HNYlDTmgm+2QUMKVShlFwsMT/Uot2ijLhFNRhEBLnP++1Lw56eAZIySinCJiB EVLJ7aCYi0fcKpF0eqqO+K9gyMUQqLRAvYL2vTnP35dph9b3viPjjCnkE/n5Mfis28IW1xqetUDL +f7aFPYyCtald0dyw7ZTvSNb739WP35eTUjbu5J9wB66txap0LO3Fz77bmKQpWBAz6wM6XskYDTB AL2RtJcC2sm2e87qTspT2dzuN0CzvWxgRRNgD6ue8P17yJNsM6zzGyiHIs+f2DGGNt785+Xkv7zP y/0AiiHlHMTfOA4p6fo1gufWoadXZ+/JJMo1pSrH7cyzwT1G4VlpOqNJV9SlYDGqEfM02Ea95ODt uzix8ZpDIM8s4+qB6zKWdDhnaPZv1hAQNuz1ggnWXoiXfNYXIiGSTjjVBddtYSbjdH63fNDA7Mdp nssas2rzigK2+UNVG8Qq95tIvaLE5T2SImam6bcZKRAkVEAqGWuzP05ZPZBlZbHKINsCVCuD6akh yzc7EfpB8OozRyE/ASvh94R6W/IIf9iJZgXUZz7URKE9TJxMLqE6apuR+DU62qTjCWWUQRNumsDo 4K2BYk/N4m2DMV46Yn9066KP24PEop9GpsAkHVi/VBoPa9urWZQFAoQT6pnJYTxs06tbcHycGk52 wZAn78uX+hikY1AIszS+mStD0+FK3l8/fHwbLADqZaI7u9kKktGzp8bHwyZDSWg2aL+hLXGR9VDC penI3bEPKKtdTTWzmy2Qq3fXUrpp9n469Tzj1DzpW3sZKu9kpuPVKoc4BDtZ+ELFa1iv+9yoFFLV oEgmf+GC5CUm5ME+WCH+suYNGLrNqxCkpu5yMyLe5VD2/k7araR+AHQw97qy+4Z3VT771bifcNb5 6zP7jKDd5Qm3RoRquUEe4FT7F6NkSOB8Zs+ClJMU8Wx/WFoi58wNknLcNltRoNI2lGUcK4L8iQOz JPqrN0Ark9OPpWzHoEhZumevLs1RuBVojjINSMaEAX665GanwIf102sGlTapPwpld9PxiyriAUmy U+woaK88XjBM67Yl4tCIlWLk7zpM4cUZFBvfY3xZeZi9CHZGzXLGQ5fk8o0OVzCpOjAiGqmQjF+E 57ADIfVPotx8CVdUVbfb1hw3ta0QNrIXcvcQ3gFlPSaTLr68rYrXk/nrreCwxs2P53J0Yi4fR1uq 9j2erimFSBlKqY0r5hbosz2tr6uQKO9PpRbD2EZJ9iAFksOwK2v34P1d/gWpMzUX4GBL8AEdvWf+ PIlhl9cgWTNs9Tz5WLEynWZ+uPO7JZG1hKpk7XMqY6PpucNWgppHaxU14KeB5xRhiOtyea4DilOA TzuJbvI1qhe2VevmLP6hDFADlJ4RJa3sWkArnMkBDO0LbhLjKJFsGo50yzddZk8R48fh/CHosDsD Njqi8PH0GraVZr0s7+gGjG/WZzGuhs46YYMPt14HaR8YY7rHycax/vj5rnVI7MatXU//IiQ/5vU3 zEpIvc4dV5k9Tu/TJZGuW4LpAvj+NGvk3idmG/areOpcDAxW6rLOeOn6MlupenhrQ9hFN7rNMbgh ZZJuKu2BRZnCYDkAzb10GpINYrXrz6TRMFNOmPZrcxYicruMddn6QOopt1Lwd+SL+/l/shgwGY4u zgzCtr3mNAmso3bCz61dYt/Nn78FG+/W4sN9LPCsdQ0cVmABjD8Zbnmxt2k7F+XDiH7daMTmg1vJ mn46EfAhd4Fwyn6ONIcr2dIE9zUbxL6SzM0GU1YjuhOCjkfBsCXmmIXUHk3/A+QjjgjKtlppWbVo K1BewkerYH3ppFAJq7w051WaMQr7okHWEEJ8NWrsGZKFTo0zA46pl2JubPohPk7o7YKssRmEeMo9 D2bVyN8fGsn9EZNeCQt4ljmX2LFqF/u0y15Pl0HlBzeKidFrYwQJdkDPrgpaIrrCepea8GZ3y/vb 7AdEudAwvlPVO9TtC6QnLEGm+DY5K+WrhtQ8wWEp/bbVRUUdin9ct8SiYWBoKJZ3gAW55CF5jjo4 DS3vcnoOJFPwPV/jN2trXW0p4gt6QT3HgPPjvPIrKBat1Diha7E42xIt2SN5A/tOCK7dqZ67WpnX xhEWQb0A2uxLG00cnJ4gLQgEoDV8kVmi3L1lVuGHoX5zhNuRIZzrjt4yMc3aW1a3JQ5g/oLP9STQ Y6ukQCxAp0S4fuCNRaPwipHANPav7Lf1nP6TSxQax3T3+Ke0AZrs37VaMjBsqdOECm3KVjTUacDx NWHbmE7Hg2VKP0294z/pgR5Sr4DIp1c3l2yN/TUXDBOtZN+PNDw5qdwkoIV03g0usos5v9Zb0ZuZ OX6h9jUbHXVhHjvzUCJM43jOEk8tNXix8dTcmmnem+fDH9YiJs0UNSl5v5nKmyZLDUii4m1GjrwA lXAdBJnMiQKrZxZCSH3O77MpldS8Ivx08YZ/mzXCVskKoKw9a0uQhp/yw0XIkEN/VUKoYsjCRNHC IKe+88/b2/SuBzUZW3lxq/Lljs9YSkwFsYX2kqkhr7dUZRADY8/236e3Fz1ejO4OeIFyFRJV7n0W XzeyliR759hRtZzTcNkEpVLpj6N2IGt2a+6mdVGTfoKp/HHABMI1Efx/OIfXDvNdzXlgq0nGcEWt RHlquGM4k5alQ6Q38rQ8YjWvm/30dLTXJKuFaNhbg+spJxyWYIkLtX2MTo97/Df9EJ0dZjknp/ly 5Z3nPhuQLaPwXPE3nIRVyLs1jxYef/X37ky8OhxZBB1gJYrFndMhGW6/V1RbTimjNMf+TSFzbVb/ Y3qsCzGQQCtNL43KsFc4pfydkOydKmd7AgXn7e2+uad+9NeSaF/IHd+zFiABZwPIThZwWKlSSm2n UZgqIq1KwoRxJuYwnhLpDwjegmhjJSXRokqjL61sBsYtfJX5lC8TLs/KDyGUTCJwQQG85vw2tHwz igKNTiUpSf+2XWwQ/ziBAcXPWwUk1d+/YCypf6RsH9hQgT2jFuorkF6xLPyCXHGUCSfEcJOD1jPr gqiyMweej2FWaYxQ/pb4qylWsz5sOXb62V8I8+8FNxY1H3rMOHidT0i9R07qwSIvGrNu+XZp9cL8 HtMd/QTWwsPQKgJnGoYSX91/nhLFahWpPlztCa1/lDIX0JaZH4xfuxTW/1rks+7L19kvEGI9pauk /SHO1SPkypEzGpkM/+6xfhgF6E6mhJRpQ0vMQubS3RxZqyw8fe7g8r7TlN1MHaDF7JnZMG5gIB9E PH5hVAAevhz4GZcpw03o0RKHHz3Q+h/hGlkYJ5a1EF+zf7WdhKTdSKFJXtEXn1oxE0fOI3hyB6FQ s1lmlMpfqUnMEDcZTJ7RIc241vJNvvlMTD607FcuDwKULyYCgXo9TSpioTQjytqqk6MUN620VMqD WT2KpHNPuMij91ap02ULJIfv0xzUB4TdkAtwkFyTrL115p+SVdAkHfFYQcwMwVYu8R3yIgZyWnmY zqc4yo7HAJmq8rlXEPx4vBzwV+6dASjWRsNwgmPvFLPbsrcIhGhp5Ad836GtGWQ1K0WoSSlhKWhg k+IXk1W7MGei1DlP0hIQnSs910K1E5JDR7ljqn3pCuiQt+Zhm4k58MXHbiNiZY3729bWVrJuD0eM bIsH0O2V1FuIDSn+iLhe1PcgQWM9qSdm1/AyLLp97Lf0ysXSd/QPOlWJWvXFR/Sg87hLj+rRptfM l8OjIHWiuhi9VZ5aWYL3Bw8XOxHyRcDXJWH60UlkiLCcG6zzTmn7lFwj5nwCDJe1vCGzB1LIGkB4 WMmnX/yZwlVFSnAhWk0EoV9OEgMPK0Wb+ff0/5y8VwH43OC/1kWvJVe4LhHBjM/y7gPgd0CQBKMI Kygz9QYzZCt6sn0vASZp/wMUZtFxD9QuvO1yCtL2Cxvyxo5N9FB5qBCY++ckVsc/vbXUHneaQtqN fA3mAhCvBy3q9+7PnSRecHDK5FNaBokneLz5Zkc4OMFcD2elKAuQpyikkj6v+uMUUpboCjVQRnDv JeU9ClkNk4C3iZotL4np5oef4as89kWldRlYsXpzOQ3O/8klZncK+AkXKUDf0ChKyRpqMY86OtMO QE/wmvhfXeaVRmsHVIu0lwdl5TmTmyVFv8Tlnq48MF0lvjbwpQZg+WGtilZkhWVBhpokFxTDpZL6 yVw3WZNMSFLedsFQC+OIePekToeqiXzi3KpdHEF+8Z+sQ8RDxbX7x+eC5KsODkdEy0U6BuMVSqdD mOiBzUc6NAYMdDvBfgvRDYp2hlVmCKkWGKzUuGcYLGN9jeAuessuRrhqifomk3AdPl6ypRiKt4NN hVbs+wlSqNNCB9q15My7QrVri8nOB6xozL8OzZIVR7joT4ewu2k/4SFVy9oUKMm0eHdDVuIoE5qk wbOO9jz7ZPX8prN6Nt/c0XtUpNE8KAS5ggmxzPLLkWpVpgfAmmBuTSI1MKSYc60RxyWNfMmSYV/r 3nnGUJzbWdXva7wqO0v5fMXGbY3aTE16HHAlF63UKTQb5F16/W+zRVNneswQbINHpZoNTJNee+n7 a1aXVrXMpwU/i88OiYVg86PHmFF8I9vESdxriYzR9h8cxIZAswvnjutINY/ARcee33KLXmaDVawv ocylI1nw2RF2WBUB0g+9OHUiQj9KarMqNQRIP7+roY/hvl5tQNfE7YGnjc7MZLAG5xUsmieZozSV aHLWL5G1k4ckSsqOb7G9AC9ApVOuv1akGQzEDga8TFE3M6y1ny0H+RYRcuaqmg/NSxB9etUl9U8A hv/I6XEfZq/7xMrJ65n6cP9b5LWuwVOJA2gIl/5wy1+EtvyPWTSco4/mwgTwY+nlsX4Il38SLWaY tyELEvmSUDZWL/AEWutebNrpvK1mjcVTRs4Nf4pzAB3Kd5BiS+1Ni4EUe1qoG3U9CT8Ehk9Fqk75 2npw46ybHAJqXLQ6WVBZgiE5TpqkWDacOmwCKjuplkSgpmt+RH4VPbYemk035swvCZpA2DI08agY 7mTW7KXBJ1ED8FwOSJ5FIKJ2gqSYix1pbiVXHubFdSc7hEidahbnev+b9Rg4RDx7imwtpqMCMTKA JKr5Urt3DIzDj/yua7oC/nDypclGgGq40+NlDuFvAKmHz1Y1FaG6yWh4lfR/kT/0uYtQM0ej5ut7 AdTuaR+4lyE7JK0ES48lMSc6PIniBSdMD6ujqJaqoS40VkxCuiJZOL/oYR8iJjmmj95wZajcEikG Bj+JZCzfktJ3NdlHrDgqyR756oEj2f8+yQTp39VDxPJEYQ9taRBuOk0oim3yMSA71vkABzSNFC+7 mwzFzXHWZdaggyUtgNasW6JvHoEzRfpiUdWlyX1Ydp4qcGwfrnHb//1exdtLfJpTl6FyBMvzYrZM M+Usa/8Idqt0K2Wk5cu/doXB99lxQ/yCdKvblcV7n9KuskiLq8khyr/BfOHYZGuhEeVtXbOLdcNu o74J3ECdEemrSuH+dCuBmN2XHaHEIaUzDwAM/VQLGYP9CuhOgjEyJqooZoJW3E4za8QxrgTAU0QB 54k8Y7S707EDwU6QN3BFLFD4oKNMrJpAgDO2Q9Tyl5z3mxR6g0KvXlEISMb6FO1Od5TXk+V1M5iT 8MB4HBYD6I1tFkOg8gqFbfpIHkZzYy+64FX3eP2py9XELcn/mKHY/67E7cFAHnuDRvK/vxGeORTi +tYJna/PuGY3MvN1MthDPE5r4FFWhiPGudo4pLVaAGJz8miKDZ8+6ONqAzwCVUCxdNJGTvSJTzIF sy2SMipW+DfhZmUH0I4vMpdXToj1TKnVeyYzqr/CblQmyLfNDSsV5CD5N9XqIVrByUPrfUp49AYD eiylLxvu6RrcH9cs9AOwXZbogsTHOYDBWwx+QQCsYzvlzOqT1NpcSiCkWImN0rmOUiS52tE6sitx WQbIBVB0c4y/Ibaz7eYCTIRIU5p0m8Wpim2NLQ6y/bwsozvS0Nue783BxzR3U41+sFmU/W9+g2q/ /+kUlihxui4rnsuvUYUJEV5xeVjvrsAJ31YIs6MUUUgN5UBdddd0EHd6D41xtOHfMNmAzs9pyN22 q0mlcczz0AqUyOp7/BKBJztnNulyikrizeV8bxB/pCiiJJ8qJiyh3NL1ESzNqSIAV8jXwSC53neK U6XwWFi57lVd0eGHKqifTqvQRP3rzRq5ffPLvvpSyiNBQUoLcP+R2NAgREjSXOUCOvI6Squa/REQ WVSupxG0NN0mmCMpfLNeMhkAfryVESEvcZZ2hoRz4P61SiMuUhjROiQlIGkS3osTnMSHMBrVNwbY SYMBLxDNWB9NubsLlPVCdibMxJvKBaTZQ7BZLsV3LpgLsoCJ53tWKWLVdgPw6dxR2GCX0FD3NL9g HIKMZQSWK/U8+Ua7T8ONrcF4hW0gC9Suim9YM0mCP7mLWy8goqhClOsRQT5bGo+q96Kpukx0BqJM qku9nrUX7zD1BkoJZRg3UDGFzopLZh8KHu9DaRQIMzM/ojll7b9I5YXFia1PZre+zoTrW3X60jdn y0TYLuw6WPIIO7zb7QjO3OHB4Vas9yzZuxy+hTV7Msl9U/xT5Ec+abflKvSReV8sIhA3ulVARZCk elHF5tdjkOSPCNL4oZ1a0PAkEz7WinQl7fZIRRM1beyrApF/T7PwlLyhEBKIw4YdKgQc1Wh8p2Cp zglcUJbRqhsXxaRs/A6FWzv/487xHwDwyM2uOxv3mC7m/TrwaVeqU55r5Bzltlgq7NBlQzwXSEKi tG2ynawBChA5etywVk7VeixJV9bhyEdGdOA50ZLq8KRII/wL/dLSxe0ZmtptTC0+Coky/Xxayk93 kX0k6gRr0DKCMvfcfGeoa09JkR3UX3YBDUXNBXOTvKdg3tn5EhyS935l+VvEK/+PVEWsdHT/4Yid E2Sz7SKZ/1/Oh/TkOIFwjUS3WpNxtzNZseNyi/W4T+DmCnVj2S3ia/QhiyicBDus7EXXZcpAfO2p iB4uDkLIG2iCLMzKid86vd39cAv+7+uc/H4giyDaLUtOlnJaSLhvJS4bQmiK+RMwnXJel4Jnwcd+ JeYMNlDIOwyu3XAx4Ygdk8fSNK4wCT40UK3PI/UELY4b8eA8q+ixDL89RqTwBBKqxx2YjlmGl3hs aclprtGe81CrF6hL8ey9UjkElD7nIf6DAWcIELi77/fmyExfSVqM21rn2OnS7eTfEBDKMENnjsy4 oKc+PeGY8u77k2goHhknf7087RMo66lFgQHxxBRK0UYdtR9w3i9DHkOaJUi6KmQc185jtyTgU3Bf KFE18IXCXf2HEwRq1BHX2U2nHrlV+llHVE/ysrI/G6TJciJOIglDwxeu5/zLt0Z2Vn95YcLfhRpb fievXo2iftkPX95Qn/dkNDTUTAtm8HHhLbmtKIEeGVEowM6ZwimPxWPqjonkLKRZWhMpyMHRJm/h HY5pw0dbVlHZJvvDJ6Bbzzv68tT0XUaT88p9XNVHkHsTzw4Cz8DZdqvlb3WF3eJmI4iDbDlev6pq YSqkfpSP7Au/W5LV0Nqg1RjnfR8A1VCUISgj5oqJE6Kgkiq/5tDCmsiWxYtwc2S9a7uaaVT/F0QS zmfY2GIJkBlPbUUzZPOlUkTVsVKMXoUohauJXYKcynGNOO11OyTLpvCgXvsgsZZ7ScZwzoNIP+SZ 6N3dCJFLoHuuJGqDZJQabQ4Ey54qYWHUyi4a84ksdtX/cLB1Ox7otfPYd75eSLV5zf8rBZxJjRQD ef2W8OKTV0lOEDCF7w6UBq1ajfyDAsOaildWp+/IWJP76sTebnaMCvQM7tJaxTbgYI/ffpYOL2Cz zcW1bMPUbVQeMBCLoQSMXuCFVSeFO8/0ElzdeQKRHoyO8Mt+H0HJsqGje8mov6cgU9c4D5UuGjH4 xx8TQ1/ePgD9lgsthYiMtPJ5yJPLCxnWelImMDgP94Tb3kXrmK2711i/l2KYnbOIw5dsEwebH8pb hulqMs0Z4bUm255YOWxj/Z9xZJ7sSKjlCJYs048Ffmk9M5g4WX0uK5r+AIE5ZqbCZzHa816WCVYc SNnYUYugsq3lg69ng2VI4SEMpmg7TH4q+Nn/Okospa6/V6ayKGk6zjSX943rQTWrn3+sPdNn65u1 3akqN5kIjxkTGyvjPq2gdWYDAoC20glQCLFQz3rwH40gM6doF/G59kafM7+qAxLD8Afc8BxTUrMk zLKRb5YwfS2pinVpot3sKcp2kqFx8+qTplY7nqTZzu9VgTwncgk8ujD4ZoZGRa1DLZOHKAZT0l3+ w5FMfOBeFuKCX3/wB9LB2/k0dzJZbDOMYxnn4wkS7jqQqKBtqYfdW8LGZKbTOI+Y1f41P7TZQrF0 Ow3d5E476Zu1X+pZzPObGdqcoNd2M07o7wfrtmxTG/K/oG3Vs2jWucMgA6pGTkTp/+HPip0Im+/N ywSqWDX5ijwBa1T7mUAxqpzwlTlMMNSUYUtKo/cloHA1WWqMp+SkdvKrDn2z7B2O0GhJfEoElJmh KmvOLeDVkldV0ywpzeRFDwCTFqcnvX8PBvQgG3r8MCYCOY5aZKJMgsULGfb0D52rZgg7bPLCazbk 1GF+AzKX8rjFOZ5xI0sGKtfZq1TJOLZd5c8eacWSSr0xajowlDCvz2r6CkwkYsLQW9Lkt2NY7fYc ttH8P74VDXpgNRq5We6d42MCEaCLqq28NNkITCJCL/tMscLt7We23nG2ReK7YIdVqkJDUlcRNFup RQ/m4LPwtfOlhOfWU7nrLtALf+XEaQkUdf13R11Xoq/UBxB4VhaW1Vo4h/f4RL+FMTLL7kujnLgH UrTImzPOwu3IB3JzJ90/jE6NJx2sla4GsMJedOd4frucxGAuMei4/1y3xMzYy6ZaOwrrUjR6xE2v C3qeHs2kWZAxwd3OE4Urdwqf8q1BWIZnJCujBot7WWcgln6AFu4RYBU4eHIxZj2jvGCUaqb2iuqX Ey3wCSa/3+yZjoASjSP88Eqf0Q5xh5tpw/2Xy64Myac8XCaFpHltf5Xfow== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block n/+jH5jNeMYdfs5VlavPXJCorMXKwrco31svCqKyHrgtOcBbQiM4+UHrCFQ1UhLjaX2B6163K9Ru BT36QO9pbg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block n/34jvAUZ9YI1N10c55vgO/US3NAtwbpHxdB3Q2qf63mbA6+TvlWybnPtIRppmZXGqhak68CVObB PI1njhEel+ylHgH4jJ5ZYlrwKT7+ROeVkb/CPgO8u4oipMbfPyZMybe+3RyMjsiBSGHnjUxmCIzE mfz8OLDFeXdyGCim1tM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qbyO3oZTJZaUwlgD7b4OwO1dZRdlhnOylioOZwxFA3l9ILKgwrFGAgBHsuorJf/N/Q+o0mSJKZjE q44hPpxVmqpt7+tLgVMUgNpyvrqE29fUItZ7JS9Ot1LBqrMpvJm4ZIEQunDMHp3Una/RY21UfX8t WTooNj0frE+HDW4BQwXm5wd3vOCaPbj9Y7Hf9FvRiOEBe558f81Eqy9UN3FBqEBktVfERjBfRIpD UUVV/5NslHFfETywQbzt5L+DcYqC7LHSiQpQZB1n4UtaLUl/6x7RFmIg7kVexTEWrv2juTL9KSY+ iuGXtO7Pwpp00K7r3H/aIYv4Ao+7nrCOin1X7Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block azJwPq+l2aUhmKWCLEdWxhEmhQyycGkkkxTiXkR04vHVhT8JyphVDXELUvEtUwV4mEo+T/JNqORR mflOizSKKo5uPirGxyckPU/xIFr2AJckwfIxzQWpVc6T6QtOcQbJ+HGkbg2CghxTmNi9Ma4H0/0T PSgoeiajsOb06UIxiKY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tJ0o7HeRtR4siHWacAgwgu73btfRANTllxO3pxHohgLqjGqv86CfNNL5XH0US3Cv8h46v7SNIhyX oPeYGDfGge3r6TfMmMu48qLE5KSVN5r9DRh6z5mrMB4lUiRIcoyyRyQgmEH1En5G3TqoR7LQhna+ an6mpE97eUbfuvCaXdSJzaZQgt7KQs18SHA+nA/9tA4eLVOsz5vZwXzkIJ0YZIOuO6oIythtFddE xQBJ2r8gLw3FmGEji/KCYtB3qsSc3jQGMo3ZWUgypbAc6fuBWmJEISpwxfmczE8MJZdpAo1ynJP9 IYHeizOdTaZMtC3oO0+HjxqsuL6PJSX/8V4Eow== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 23584) `protect data_block IpWDPdiPJdXDzFiJdiVX593/ko9FisDGfa+6ebgHvVtinbaHGcXaOk0pVgnUeiJjG7zNgV1eb8Nv HuJrBjuUFRomjSzUEsJnmuc4d1t3uNaHgF0kysf4731D+TI/9dCVArvWeaxRVh3w30A9qecqpak6 I+hzGsTVC/cVJTG3PTrkpfsV7qHr2N1dR9qnmsCA8WImKW8TyAh/JWMQ2dowJm429aDj8PQ/vW8w Hs+UeEASJgJNQnvtKqVBYqfjBT8QoVmTwOEv5Z7CsoWXrJK6YdlnKnyBf+269vjlAslcdVuMBjN2 RTpKppwlA4+GVYlv2bGn77x41DXz/IcnCvMHkrfDrGTEtHWT4KLgFMblr2oSIalRDFjoK5SzypPf 1Qf2Ri9bDe1Ya6U7c8g22Eovief0CmR+QEot/2qbqm+Q1FTNjsg2UJDU1BD9p+z4tsSvYHMUDmlN KAfjIFdmElMG2Sol3wO3dk/0julNvrzlys+VkKyeXwslcnhsRRhvLzkS7RXb1ZOJnEFhvRJFadoM VkCXExXCQuQlVl9RQB/L8wtqIxEB31E+52q3yFNFha7FjSDjSBdjnjZHzGkV/RNsOg7Y9kGjAd9l o5Jq+N3QZPbOGTkuaqhHdOvUgTGtzV7u33H/qqT3Ko0XLz5qUXeetowkE7LSDtewQdJS7OyTrDnW KZUv+8b3t7bPAP/Ss+c4QqS9zl1zywo/K8jmg+AhnmsNdkICjz3p+6UMs2zXmf2OzCGTO0lGoFrC AOe3eSmJkTEOunz84thyQlAwFuQiBPHG+90nywNWbGorZZxGtd4na1ibC6/tKBA6jtgnMRyNnt/+ CvfvXOPf81Z5/Ck92MJw0KK9KlglOjOIYh+mLYGp6mtrC1iyIDO2Wo+wC4GO7YYcjvLZE3Y1989O FKiy/ybY6iInIK5BEZpJakM5VmishdAlUkvSX7bZrYEw9YHzvkpQsWKLbnQqlPPxwCEMpdHY02Vu fJhHjM4lmuzAbthikCXfDto35T+2bocbtOYfnvl3l1tlf7tjJLAiCOjiKsdrJJMmzldmSac2vS9q 2CEZAkFtQk3qGZD3SF9w8xz41HfBqGdQILBL1MMtWFGOpxzqDBxZUaKq0eEONGPQvNpoNO62L3+i IYsaEHe5h7IF4NB001jWXU0YQJrjOS/Fjae7jNJ5AF3GW9bod3d4NQUmsOUtEpldQcAGLUkr/xvN PS2WOgsAdDhbCvcHi0hyuCDG1ARpZbTRqgRPuxBJi59x+fw0nIAl8z78+vYOMrHcSyOcFETr+QAd AwfOTRaG4R2vDn/0tKWtyzKcUKFp7xkRybUKGh44u+uxloG2hb2hLEULMvWEoWY8DvqB6P6V1Uhy 60ujaARz1rRNbjVEFVEwh92HO4fl7N27th+KQsK+9sqJJkPWp0zgH8huwljNKmnXTEhl6EEYgEBk gTOdeAezAgnbKpEKlr2gZmkdmN7wJ90syVVDOx74Cda4Uss67Rxdiu9M2Be/7+8fBXXygqf6s//b n/CXu+krEegpJZwMYdv7+jYCGf7Wu+/olqUUxKeyBU9LwrQde3bc1AF/J6O6B1ZrjaYEA4nVVHet ulw7z+20efBWz4oR4+9j1dNI8ASWXCMLmmeEwBbCxOfAZMzJzLd4shc8nFttEhat6QyMnuDsOLLU awyPGzZU+epXdtYvygvL6VfMsrmG5FD7xcXA+LLGfYQM0eFU1Cqp6rBVAn0dMFEgYC4koRoBWhwY dM0qRhWf5qxm/Lq44qs/fkzSrRqbyKYOKhAJvnCwWsC+y+ydMcibzxaj1NTF/dZTUkJTA/WC90o+ KseqmgjiRbhAk4YriGvIsIqFWEJ6b3dIyU6hVsfjWTpgQ0KYMLyZlsPFNMukBQuM586ZgqBnoAWH SXbTWNkvpTuEbZg0dpCzxGLY7wdtBUoIdftHI9LU0nbzwUf9UcA4s+1E3cNolIXwSFvUlR5QY442 yGsaR0PNaLJ5rd0XquYPkEGxEScmxkCWo2O3nq7VieP6CfqzIVarID2jyxcIl3z8egx1e6CNd4Ir 2ActBwFBKDPmmoMZUArhHc1nPXWkYM7fWoythjnfDGFFUFl/yWUIntlllBBpLOdTzPlarm0lyBH7 QGoz7rt5ZAULxs6qQnsANrs2TUyYZrjpHpn3B8/ZhI9NUrwzYMxYXcO/vPk1uig0H8WFnCzOv6kT Kas5s7LfPGPlfH0X4C8IpqzZZrT0FZTXV+vqvE5TiJv0HdOx4BNgTdq0Idgl5RjEwV6XkJ336gHu DBXdK5loCn9wpL1uPaE6fyYB8wmr0ILVmSjekUdg+LVZDArXhzC9BHHalGoHMen/zU4II1s4krdw 68cQGW7VF8fR4ozy1WXuDNohoi+druU/C0peUQPDe490uPHFERvrI7Z5Amf6482SQHWyzSQy1Noh KSzu7WbrY3nUnasGoiu6HxwylnEt47dNpuJ0gjW3zEd0wJvDEAiavCG+9C0iHLNjTd1RpNs5FCHc aj2LvS9wuq2AWISWj+s/squ2W/TziSAFfZ3WjzUv5YEDPZy+z6NJhhYJkuFKJgpdupE1zPsuTluw WH6vDwArhILZFU5sEYf/NjkSXSaVlLKOYuRS4aBoM+SrnaoE8I6iVkawYfhtQKpO1c2mPnQwXxi2 XXL6mR91TypPu9rSkPw2vfHKXczONPsGB5q7RlSIfP7FDCMIRY4HKaM8nb2rnjY6OG1bhu7yIzDD kStCeVNk8CKuUGcleWAljZOThQNE5gHc0MJ+ePV0VZlJuWPbTFuWqoIleAa8xXwON0ocagvWHpN3 gOkxWrsWd3R2fjWqN2Nk6QwCCYh14fCyofc/J+MT2egvdoj1rdJE0qV9fjuoNTkayYxGjJUThQOG jOOiSmXee6JcGiX6AGdNdTxnHFp0bO0hgH0ujCkgG/ztAyX5IJByxk1L79hbhaYH4iVy4zO0SsX1 p9bfUZHX9U+Vwe65vXs7TkSqNEh+PnNhskicxjZaNI0CyL77bdWgmL7WQvnyrtq1VeP1lDjLXuZY TipRSrAT/QKo4TIf7/16eRsSGw+t24ty8ChbJWFytOblpVshD7S4t2nE3bcQLJA5IG12zyBjCPyt s6dJkC9988oDewSgXNwCjvj00XZZMUfCravj1wpOry+OqpOT5iTn/MGzR+PVWNrTb3f8uwtquYZU /inH5LIIKCY8JHNSZcSMwI3eEPVaX2uGMo2SGVadgLhi/IAg0C6iOiDuiKlapDDLii14z4nYD6e4 CBCbHJWicu3o06QG+JPdzw3CgoUoSM7Re8K8fqA2J2Lf0eYeE2NTPAPBIvU3RFSMPwc9l1WYI8P4 2KyvYlPZ58/vxU8zjI46k4jzIMgS8efgo/NZ8qc8CR/BJT0N1DrPeGipV1wjxjkNdmtPdIOMacV6 skFl3MkDAO0COimeetpmVTK1LPMpgxpsM/EO9zymsnAT6j5CXIEGD6LoG3wK00oEaZd136l0VYTU JoTcsH8427qM4AxLz2D9fFy9ohcGcZnLN9Iy1TDWGx7jcCmwnes7i5SUWxN4GK2q1jcgLS7gBHu8 CuY1fbkl5/TgZQTdMiuQYUw/5Upy9fWgW4LAwnbPSCcmxjBnIlaxvouKwF74PCPg1jRhtenHKmAB cXDCAyEhZcz43WdptQ55ykBjql0BEwI3JHBz85ell+P+5QmljOL/5ZoLoe4J93yXZwD1bw9mmXRt Ewwob3Nk284pLZxdpgGIhjg06Etv5xI8ivCZZjaaxep2qLPXByVBV8G8eBXKYveMR3tth8yIP8Xi Br33PgHjiojs9M2c5W90eZ9zhaeTEUrTDwTJZzIXjv5pGC0tkzwPtklJoIpXNlwOoLIpLhTK8V1m aQcrkjnt52BnhTdMP3dVFCfrVt9Oof4xn9uRRyN5IBIymk0MAbEvweAN21LeIHPiFIr0UKq1Gwrd OdiCNZZDgZM4fPVb/MemksKSCRJgtqmU5f63h98sT0d1bBX0I7235gOFH0bw5ATxNiu+vRAt4FIJ 1rEfjNSeLDKS5j/aOkvsHYHDMcHOqKM3lv2NcEIBCtUsiIbZ43iWdg58DKVV80zCDxsVKOKUSTwn se7AGOi/5WLd7OjVtwD6AP0LNRTzied8YRmiPGH28DCt7bEeNdul3Un57TqcDbfIVDLxnLe97LwN cCISyJyq97LpqnVv+Bciy6Qeef8Ws6kfnk29S91yS3gyjWwoYo5MYenuUoGv0Bf43oOQYGfgjMcz chFvoLaaacdx5TjT9SsWubOLv5iHA5u5UfR/RAxIF4igcGbG/R4Z5D5/NlJDp8h2cMYuvZhIXxeW HLcuk8Tc5aOU29ypx0Bb/anxjZdRcpTYhfWtMh0rMTtUM4nETJfOb3sk+Eqxmhg4ooO3wlHkZdwl Bgsqv3sMhSThe/6eGKLjnM1J5KcMNpVR3onHPveXCOJt6BbUigwVI02EJiConRTZcYgORMsuQKfz /BkpsWdxNQs+Hktbc7qJZ2E2Ml47GV7gd6+THUqlUXVnn8Kr/tgFoweEzx2V/Z0vLIpiZpOx5OWR jXWpAxuwcuv+cfKKd3i3aDHOzN1Zje1JBLyWiKdmY0z3g5jFo/3Xn15DNyy3228/G1Sh0WK3PPft Tt9Osj4cSEd+bjcfHunMFiD2o0ZXqqdr+IfSgXvfJvw8yR/lsntl/3Qngcq+GmUOXCVlgVjVcfbR wTV3KXgsvV3/9odxBH3szuW0jmlbiXmx7GA82URNUBHt1QiKJxL/f+KQMW6Kx9gmrYFxHpfV7i0r 83IAWupvj8B8kVyhlXaEr5NeyI8+SMN9A6jFWrnvIBZjbtf05qupG3Rgck/QftGZ3UEiDeONQXEo eA5AuR3dadD4XO0gLMFyZ4Z10IFpm88gVICudotLy0bt438aVl+VyGPwjPrVBOv3Gd7sdRdLpXPs 04odU7dHSE4As49ira4ZScdjHEAu9neRVjr+99aSRvTGLSb863eDaV2AICfOLGyrdVNdCO8RamcQ 7gmGn1/+tI+YAubm9Z7DvAuzbcSkpqDDMFAIhmUUMDUPGurzp9SZc0j7qVW0kH7XgSKPu3W56Kl+ a2yZZBxypzsmt8yVlXBnb4WU0ZBm9zPALrZVEHIRNgEDPeYRKVg2+1cMoRW+dAsJIQ3kUhySQjK6 nwNZ5vMlwDja+QCSVHCM9t+n4BDANFCszPnRzPPghoMX1CSNk3BxHS3aQKl8JzPM5w6Q9Q92sgRK UNJOB9CJwov88VxKpKqfiXMCBS21o4FrRm8SXUUOvDstb6tDjvauXFaY/8MxOdeq1dQVKDTwUiF8 Xv7wgVXsguMt+KTg0yQwFBrHxBb0D2pNXUMx5nSNKG+9OXQpvhZIsyXF75YSVGhk4hIgyCmIJL0m LApQjN+QrGtvJMNvhOp+dibBDl4h/++dcPdFoP2BQHetPUl+P7R2I9iDdXivShPHrERsIrMJkpKM SPCiI72RPC7jX6/9pDXf4Uhg0T4n2y03iOLjQdZSjrnlD9KY6l/TAHXYpQpHohuxK/d95xPFq9cP PyvqO7UPlwyY7eY0I+/hsUOs7RC9J8UqQe3xCGeuzaw1PBXPFxT1BE92MkSU9uHeVGKyi8RbWu5R DAooHersXfy7k4t++CipRg4DendL4F0xrTswiNV2aBTnf/618hk9lu+MbcKLha4WKLBgGgKxiNSQ fpGRmIIsqQaKu17U6nhAN4EVmCIpD2VNZV/Ppii8GS4crga2jTVEZ15fGA0/XHFPDD0Wpv/Ky6Vf egf1Yp7nbKZwrzB8MxszePcT+OUuHz8LIjm6nPg6H8PkdamlYaXVh8sJwfxU+jM+tr4eKPSmc0GX xTfsJDo9Wonuff1K60sDEARIZy1tjr8SWpAHD1djutWLBsPAvQ7ZuadDh90ScI1d3f9YrqimQTAb tbjJ0FcyEfENrnM/u/fWdSypB9yPOYcF0ptPTxZjdJ8yH4dB5iSZNq6mB4Nfi+QLvLuD5SLp1s2F b7QwWdtvlOfxwU7+6+sFcWBYM6BgVMiZ+j7Wiu/UL/X9WsTPql4LDgbzgKeHX8okGVD0GSHCaWxf QG7y5TFUeKoOMLGByPmzsujPGiSvtmTk7wj76We9ZSbpcgV5XeVhIVP5YuGvKrujdiHHpZGUmfla 2LXB9oaCs2MX7BoxVHLfXgGmzJLFHdv18p1uyHkLVA3e+vWKjsCwKbrnCfpFJTsNyoP8CEFxOtHx BpV/wQrC4UXqsFUj9x4SoxyMOYxfnvLOrN7F4m6jetTqC3XEyL3fnrRXN/EWafpED04b67KtE0pO ES7e2d1kxGhIgDecE74DNagHIG53il+3bvf867lX4Ywp6QoVYaiL4JR43jQcK5eGc8JpqJoDxTm7 kNah7huv9ib+2PyMEC/wvtqU3S4NEV1oTjhWJy2UQjVDmD6/ezITOq+yGzlkLgS9NE8R/VC9EeNS W1BiDg3mSZpIKoRydN1ZCIImO1P9pCVaX2/IT9vGxqaz9EgNmLq5lXf24Y4lQFgtj8zeY2GLBuhJ pNUGyiECgHsXbdL/M+gIiKTcmCOGmSZ2p2nI2hUm9wLu7TFsHWo9ZVLhwpRUo5sR8UXxDVhuDxL3 0GagM9YsNdQWO9GfskkACZkMDFHE0kT0UM3IAnNzRSzLnsNbYfKeuiiAlyhd2nd4vSNietxnm4ZU T1Kts44/cm2qqqFd6EO3EVAaRQ1QJANc/4ElpR2JALEPfC4JFm/8A/n+OdLdX1m+ueOhKCNafEy6 6QZVlK1vY1BMhkjNCGYsyQxp61AJOY4HdJ4gWmFmvDOzFPpG4Zs3GNV7ZJx18N+HUhc5lIJvic7g hnooUg7WykhTQYhO2vIfGK+WVgWow1NmwGqXLkPT1lMIhhxffuvTg3mV2hX8VxQuzq6i89QBQtVN nmw9aG9TUTsHlaTF7jZRIsRU3bZg072Ssppp9Ow/odHJgytRWF8MqFs9M6PZoTTb1FjxCa2DZ7Qk Uf40Hr8E0GW8WXlJZVCFusxtrGqsGXTIoINXZQz1nJewVK6NATsXTKKLtjjfzDTUz59mTtkdhURf uFIzeRqGMGxIlMuuXwTFeFWIk69PZdd3MEALNIRBUVWNIcyhyZSlqDyrmNYzGdQdxXjk77SrUpkC vFzFNaRjr/Le9fz7uAWWSN3IciPkiqSFYQavQJXCX/PePf+/ITMi56Ba3qleH+BVZyM72xgkqJOT 5fvTD4AhcACuyeLn5vQUlfo9ygnsCGp+QpouXadShBrStUe9Jm2PBarRiW8YTsiNb9QitrPUsf7e 4sxAGT7QfkficthEgy7Svfx8+bqbPWY4BYh0LJK8imE54lsCng5GMuz9SRkZFs0gAyZAdhIfSkzH lyQFWUR1sgENKH1A/5TF+Som868XNKsIqNpupN+A5f4tGeRJh9PCwJzAECDBem9zypX4z471jCXM ZsVQTYmoO/kLjr9NFXiPNNcDgPFFy8Hj/9n/jGYgkFBkMzUi2ab/WKgx1BM2ljV8wXJ+g3NtwD1v gJNAqAwSYZW0gTxn/DGkBPXd7Rmf1hIP2B5Jyrsdhhu00Bv/JmlYHHTFH+Hq/FObZgX3208g0flA mEPpSiQtQ4HbJRNRAvj+YLRu5GBhxU1M8X7NCMmudDFaSspZeSLuw0CiEnLfrPY5b4Y+1ToepU/k Ck7+ItLsQn7/RjOKkGMGxe3bRFjHQGUnjHd/P/JuOPDWL8fZgS47J/kYXuOpKBPFqYdPVYoQDOVo i+6C38vd86SK/ZdEscFN0+ZlF6xrnLimOp98/Wa/OWrlxs799Vg5yO+wUvuhpNZGrlSMd65oZT0J J72B/9yVHiff1B2toB/FqsoKbvpobOCvVQl4vli8a5V3H9xK2zhKd6lQ6+3M1lgMSuicLJAqPOFg MB2JY5upI20DhTKlyt3/Hw/YfkbF7lJ0tQgoOnLKVfMugvt6vBWaK7SlAxHKI5NJTZaHeV49qzLe fQMY3tbnKGB77mb1oZnyBcY+jfN3DJreXBm61i9JV56FnJ4RYQT4gule9BKkD4waTAf9KumRLg5S xocJnIz1tta8Mt1qEhUTBvrayIwsne5/Jrqwt0SsuWnes7NsbMS7BxVJg8FF6lv3BWAdVB+RFfc5 xEV4eddIPCpXqqJtfRweUAebH60qy2OhIniRr8bLP+t5B+AeZHrzf8vUYFwO9+BXyfaqTRpVFxOE eHTohBdHNRXe2jM/8r30P8hMrXNYC1bsP2YTXHPGgXVbr+81uXuPldvFXbASJC+OraJWjK4DsIN4 +EqCEoPXlUTjfeRghkBjfXJNzobqeNZ9ukXZ0GZYXBd0ZLh0nslF2KfzckZ6ijEavpzqyQvpT3dS xidHaNSRcK8So+9KCS4Dxn7dXq14i3f4iUmpB+Mb1Ws8QGqn0VqGHCJKX1IFyomOc/olz4SY431I tifE0dWdTvu/o3/8BDxthT6iCgzg5Z4ejXG1EujGeeVLOySnbSbaSs7h3IlAapnpzZnvh7Lzywry GdzyvERUilOeSPIFw/DN3trnbZ/KWQky3S7GyOE5AQMP/UEQF9s6EVKRxiWsaA8lQ5y1OaT/QICB AG7AIgNISDd6Bc83UPTwaZ3xCP/WEXIUNLEnQL6X359Tm9oiHrngWzU9KOqw3V67DzkIYsirSxTx eSZK4OVom+DhVTSPfn1KXU1DPsLZl0AuasrIjUBHIqPkdmBQDv7Jt5Sm5tgRhYHT7gjfA6+aYLVe LZOLiaWZESGNqBiIO4jb0pBjhawtSxiRT2N+d+AWYlmeGtI6vg6PeBBWWgaIZpCu8GjjyCV4Pt6R S3FFRAEPLvCjszTRan5lNywK1zZaNrJUY+0PHwQqEd3ISmUw/22YMzLjKTOhNrGp1FWi16BKW4lE ENoryueb0aBwARzhuX+k2luHHYtK3moTDHJfJ5Yq8X5pxERnem38HcPjevhzmcdDXtR67CNgkq+v AID/2HFUW6b67SSi1M2UgOgqgsBe7zyTTOOHIuRwbPfuFlIAZsdBZyFg4kzm0JyWc4DN2JNQSbZn CIITmQiWH91RIB01loKv/Nvj/pAczlRnX8KMePFt8wvs2+ssbOPcRsTKs9rl07mrtdeOgSOE4kX4 S+HBvB/IIlkLZIEDgvrbtOcbI8ytQCQdl6/oNkCXBD3V93oETuqdrIpz2s4zY47024p8+Gsnj3rA gumc2a6Mf/rNFKWzqUVYqnxI13aBu/bCIwXlr7bHEcDsj9bqn/bIoerrGIFBNd5lL4kB6ExzM7mq E/U3Sk3IhedUZ9ngDcGAplQEryFbtx064TEIo8GDHe48mHyX21CyouIuTGqNcZRdD+2XSMxvWInK PARL6LVNsH96kCKKfs+G2VejglJJN3mWyEP/avFUoPyTyFElGVwOGIJTp9xc/cH2pAeqdEZ6Uz0Z TBfIRCLsDhAKeQj+DwM3c9JfZSR91Lpwnt4UHGdTb/Km48+gPlD1MnBMAgjcNa9805JVwk1F9U1m HYbsMvLK6hbodxpCMBqPjkvgmXgarix8BK4NqOg4oTO6tt4imvm/Un7wDyq6fKw9ZvfTLkQ4LDJo 6PXxTQOqCuCVlosheGuQ90dGmGoh0J+NzIlfWcb3cjkBFSA2ibuabHgakwGqEnaweBQteu4l8uGm 2ynIdZ47/ZPqraEl+SPNeqJBV+g0843gU4XFPuFYqkxomdjKTk37fHDsx/L6phHqebIerMi7ct6r T+a+xcco0KZUv4U7LHnExWjTy0vXKrc2MtzNiXjv7tSACC+OYWfGzkCAOaPypQ/goVLR6yGM2mr/ xZZdQliS/+iCfsfbWHWONUCVjDp/xuQkMs/we4L2s4H28Nj/YKUUQYf+LziIv+B2g6ZSdhB0LiH6 r0VxbC6ouYAAs0E//GTBS3VA7IX58ZtTOfwZeF/m81IKvzsrS06G4dkS24X4+grjSPQPsLv99bHa Im8qYFC7vtS8XGsLQKbESRvv96Q/oFroO9NTM3G+Pm7bI5eFnNf6VUYasftTOHBHgiYBLNr8FzGs L7c8mf2gP7HzbQgrQ7nvphbsFF0mQb658btnHnj7P8mCMhEp/slyJiE3elvwvs7uVc+qzExoBPjc NLQ86YfUno2YT9oon9qkkq+4qgBEhwki9yLYQDWr8GRKSZdaxqzh9Mg/hFuIcrjx1dC2lcwxqPWy dUdO7a093KSzipIMeWAuGFUoDS+Jxz/P+v0GaBCVpoVw+7A8wmSk7SFIBOf5c7mtGm2y5WskKKls emhyu+RAKnVR6fQkopKe8N/xqgpylNR5vC08gRvY5AiW1mpA1u89ZO+jOBq28MSUqvW6MqdqmRPq cV9haA0Wh2e8N76pGmDrcQsxjXQI/tj1gK+9pGOA0MnWeiPjB/W6orIepg4H47FKpPVgbV07Jegf qs7+RdcwbzP6QBTgqp8NTgg15mWwPEuPdIO1p98OmNOt8iLFfRbfTNXEcrQlv903ZdhIMVjPNo1U wkt0tEFmKfLqrE+B9+M7u0W+dug5GGS4AAFVkinsfeBj4JzB2alNU8irZawrveiNZofSQcf3oOzu rzfmxCPbnNStKLT539SSZYTJ94z4oCc9AsPT8+MNLwWa4fowFkPtXUUvBwpXWJ7H6SmN7Shh+pHD /6/d4pkxXQrwMkhlYuLod+IlDYmnCBMJWBk/+NjujX1gM8jOclBv6EmBAhIFRAQ0dN7WD5aYomTx 7ztiue7kwUqUji9xJRoZ2NLqjv4MwVMYssAsm+Rq1QfCHRJ5y3FeKgXFw0iLbatQ7/zEW5PB6cvH kOrbSd+gM+RFVPnYb5b3KLnn0cEDDZCWbtLEUh3SC+zmjrr9xmii2E5MSOWGVmUiN6eokEKrXw1D eqOQP8UbteVCNbCy5eYRzub5jrDPk5s+H4LB8+x4B8RopUGSBPkv6Q7c1sLl+sTVlzZIMu5mWSCH 5+5ZpMtIAawGmbkwb1fVj2Z7XVKvZ+ylYI7jhquydto3R9N4hEdkgzaihnd0uXgy8MLYHELitNW+ Vszw1Mmr3xWr8J6sgrtW86bVdZDHk5WjKe3mnXGqk4vYQUZYLVVwhRy2I7SNwN0OBKcyd5SVMSRz JiWCmTGG9o+8HRFCQ+xMIhvWgp9kCAuMHz8yNNEz5lwPsaAN4PtIl1Yv8YKmR1DorPmgc9pzyuDX U4yfiYFX90DQlUMmLNtNrk0acvc0mAmAMBgKo7z8fblvccvW/2xeFQHMiuc7/HeiC7FNNNmtaVKy FnAjIcVvfPHIRZyOlbZarJ4n0b6koRjWX+A9E89INYM+nDpqYOLrkVukrpm4YqwmAisXCPSB5JSP wDF/qI1647hYTnkQWnIcUXNDQRNkOzs8lDdKGJ2NtoYLPBawSQY6J5eXd/b4xRjGWoVFbjDzQyg5 E/wED92hjx67FCyvPGo47KPmpAT2WvQLWiQTFueGUy1vZtOxSq5NgZwKSaP6MWvSr57iFXCReA9V ZaRE1yveIWnEJ8kpNFkRDnclC2o5y7bZeVrjaWebiLjqoe9JCkyd8SHj9ziqywSXUfula1wZCr8H 961xraLeTDSsOuCbZkOMdRiawUOOPr5/U1SgAfpYffh/OHlMBCU50/6F3fi3R1IT0Mqogs5EtAjN geJisruH87mWP90HKc2F0PiJOyi5fquxtFqIuxPrja/ZVbAEfun/4haXKstzR6ma/kCn8xODbjmY sOgIFp4OIOSxiBTgx7Aik3Dh0eXzgKIt5usjllnZqGjxLe3ATm9PlaLj14T4v0Jt7oRanniBPTbM 0JugNKA5LmuzPj1czYtuWwz8t0xv1IxQCQ+Y7eNZ5nJuNNuk+rsbT5jnhfy8ECVwxviVZwjqVXTL oQj58TezmcqIrG400PBfq20AlL2GK6IWYu9ePiKGWOloKYc8lIp6r5/PBWVxJ6WbA1/ZdpahEcsj wfMlXbFZ0wPi/sJFmWDVtF6B4r82Zx2nDIDLr3EaBKKXbEcvJXlFrzFLrFtkYNyCf8ivUnWGDmhV AY6+4At7QXiiRtj35raMwTPX+Q9WEBOScRqeraK9mkIym/HkTlKT/VQ/BzvQJrE3TxJViizaRgjG 090l8bVAwGRN9rDfVcuxl/AgMAOe0bRXqjJlmQBNuDJ6ANHc53eULjI7drOUxoCADrwP6KcDzGG7 l8XiQ4ZbJS29qa3FByeZubUXyRHeXRNkHN2+UrhXAa5y/cUowPVGs0hb+XdCp/cR09JdlXJ6dK6M rZB1lDWC4SClN5xxaFyMB1SdQYKwvAv1tHC2JlXbsJ9ZqsgxyBjTuHKPexPy6IypgxFO1QZBeD48 EilCbgl4i/EDpvlENOD5G9XlAuD0DMISAxpJIWn+zm/lplaHOPCSAFo/XdpbBQmPChXcQEK5nBgE mBoGcCPpMM9aa0hHD4OFxSGBBKGrBWzeHDfurztEcfCzviZdDne0b8j1parOM8ed1D1rSBhOXUSR zsAhO48ttunLDRCDT/u3kp826qC06cQFqkY5A085qN09zVIwi1kXlbyTDnfzkNX4WyHzGJuqMOz7 apGly8zpSKaUPTlLwN49HiZuC8fvmO3uIXuYWNlyLoCYmzrbbp4ieKBQquAV79ulL8t9WSVEPSai +xkmQCaC4k1ny/dQOsQIauPXDiNIEoNPrYOZlW/FjHw1QjiuoOLvulj2/qty6E6LI0yBTPQJGb6G xZYXyeQXltMHpswrKwSeKtH9MaoVgOIev2H437cpMTnduihzkjyK9H3wxjDZcjsu/HhMEb2SoQhq XlAa5DopDuMSf9tkHXPXDbW/umJK/ofzTx7xoYjoFKxMVUifBu2c8wnO9+8j2ihj0LdWHp3o84HY l6+Awje+QODJBWqDnYxt9qL0tIic6xeQMnvouKar0rATQkWzPsacK+UGuxCp7taoyru02K89wErN 7sv+g83X/Gj/Mg7PTZniAucBzoLT95nyuoHttJ8YpykwpjHBXUjBp6t064OypW2yxwkSoolIcBQQ 9x8rYnVpywvqarCGyZg+h9MhqRKC2vIC8THIWgpPNCxP+uYDwoDIjFpyGSqq9s8elDJhSkngVK2Z 7DnX7lj2vwSFlNxQEb/whPMMgTTRYlfIR0xV4o/UivpcoGXughNh74puzCEfE21XUCe79pMNf87G ZvxZp7Wa7pAzN2srQIAe4rSvWAMO6VINaam/nLEQXnBFfdepOhAm7q0Y5IRzVSgO2j2EFNJWhF4w 2P3mB0ftg64taBils0KCybqITl5pzJ3sP0+Tz2IQhW1LBtunnOMSGiXG59uVdb8ZR7WlrEPoywyc odTw9hejwNIHyQ6VLeDbYbFdc+myNCtQSzs43Nw5im/HJPTSi1hGlx8ibL5Ylpg7SsEnEo5Z7AxH JM5pcS+CEryYmD0VGdyJNxZw8qQkCjdAC95WdcGT7Z/FIJsCpAkL0GMd6BzvJx3miI4GChAVnRMS thn5Pc3kRZsQ0HMyUoCg71kM4md+5dQwZYQWVo72oyKjlxqpunYXJOzNqEcq7Dvh1HdF+E6UPhFJ k2r5bSeP2EiqA/H3yvAegGCHXJd0kx+CDSKohk4uMT+JX4M9h8B8u17pPpKPNLh1U1WHBOyD8dYR h8xfddBXiF/qAzK1Xu6dfNYdflcFOz0Yq0RYFVy/UiOjwH8zC5mDwzMjFhSsXGa2KDV7+vLUCkIJ pCwxi22/NgIFL/yqlAVarwn389ohsSpZGorC7e6j7mm9o95h4wQg6fo3pTdqHaoOzrIMSd2R3Xzt u0UN1oc7FbpndjWsLLIR1fnIYt6ORFFnaXvLafJaLHtuX2ni7KNEVh3NzDh+7MEGkrCIBPnFbvEo yaR2UKzAQcqlydoaobbgtKmX/T8e1dZDR4XZHwiw4Y91ii0r7fxCBrtGE2QywFcZdHYhl+JhLqDx 0BK7JWtHwd+kIrqvqqWvgT9rocyjkbRjSn14LImXrljqQzPTi/cMy3sFMZj1P7sXOal8NaYM3aJP xd69dIcJX+tU6E10S8p70WmILLEOQ2b3LIWkVLYNQ7ZA1fSciGMNzV94r1/Hvz3EChsxKsVJNIXm 0vgZidLy8NAjAt7sPBFHrsG970ncEe4GKoinqEiU9xaX8oD6t8ZaeC89XxnSDbmzBpPUwRT2kya6 wBANITwW2ip7sDgy6fy23mvRO5WMKhyTFf3vP293uxDdvI6xjjq5ALD/wzkfSfSTZUjrV3hsr8Bs dADqnI4BRaOQqDg2Wn+7rImRV1tzW1Qt/Y1IErpNII/n7Kx7sacL7aKEw3K6WX6nJG4K7TmQlXA5 +i6Ok5i4XVOR4R8+VTdhUhc8qSBtUhrx4B5t3J1+Yf3Rrc2Z65zURkgqVnrfHubheh/bCGIWXiCq upocbrlkMXj2rCUdm3RVP+xK3ixpibs90YvgU5nUwMFm0BCnfJOhj1SKPuiF9drQUe5X9jniSOxW 4dX5+BHPHMvssah5EeCgFapuLNKbjNSSGLwE23wqs5hMmYFucBa9ZisGFmMld+UryUAVhQbp7k9e oC5ktqxWhLvlaV91WdYUxv/ynCVVXJDmw2jyCRCa5r7x7TIgHC6dYIBc7q8yG+hpERyVK38hNLIl MCSQzZ8o92QjKwD/2L77yUpNi7Se2WFmvHDarfXGDAcPrmteA5+HKDApfi4SSTZJa/ej8/ITP61w xrxUXQgHTR6jr61zAPzrMDIFsfShc5OI71XsuSyo+/K8UXI5I4D1tfhkit460VJF14jL67a+reiI 7kgk8qJSf0Mmr6VdL7tITYFQtMKXVXHOoMp5TS6yYbqTpYzzdzkkmSsfLoV6iIrGpUJa70gntpNF fpW2+Kp9QtRT5ELbrqLM91RDlY5yq/ag2j2mnF0x4kXj+wm7O9P4D18AaZO3iO4CZ12KfQE/3wKj KrUyMzrypBJ/3zLcVeCui4MYvN1HvlYJrGxrgCsuhlGttVtzGHibIetkHc0Hyx18+zK41/VeYZr0 KUNsv45MZ+rJI/9as+wG+KxOwQw9qJO8iB9nzVo2DUgNXgTszUN9tH1/cTetzyjExaUfKUww2WH5 AplbvjNicxcrWuXOBgSn6ABWBAIou3r1EnpXPfcAxi/hQi9eATUXas/7Gd5iO5duhSIm8svQxrIn 6puBF3prdUaRwicVqhuyub/8POl/7GDsR/6IsokKdeRguBbEWlHfswZDwQM0zP6WGGFEVYZ/nZwl 4tNk2+xCTXmG+4vQMYUYb7pN8xvqjTlJ9FBuP/3M724qbuWwhTmtsmimbh0WaIf//fIj+CrUxSs6 2Vw572cOSpuVJjXQy7nvPWkvE99U3SxbiQ8IYjaHK1/27TGPbrW9dbGeGiBuGTbEIevVV/BvjByZ 3JspiRw6Wuc48OU0oV4InbVumX7V+HTocCLzB3rVNv8QmoZ8FpmaxjGdXMNSVG2IKy7tj/Thk+hE CgCf+DYa7AoEhPnyDF1Ve8q6T3tKTY/A9qvmu8ujqbfaxqeU5B6YhMMVqJ3tImLMMLRPuH+bCB+M 2r5jUPoWmRX24Z1rATagppUBf+E38jNxjLptUxLS55H0alCnrnIJhpd7KipcHU1JkERHbyWQLa0P MRrq1330AlAfw6ljCj50QkRdyMLmpKAG4ufT7QyeYPfWxUdf9d+cM3dvpaNoXtxpvAHn0RJC9E+N aZQwIlWUjfCf9rMg0xcmVqn1F7rD6V0fqyyvhSe8VVHn9XqZoQErXptx7Et/lhxs/rw8Insl4ev+ uTP9hckwpS6KmP4Alz6rFmZj4gEuQ3nZplCvPX9gS5YdfsBOGIiwJonaVvHnrw/U7HNKcJjgFYsQ 72Vn0RMmoMtMO3l3l0et3LiQctPmGYRDFvO/wJzQ8fXVFeITVfyWoFISyLgj+50+O1461e7gDEEH dt/02ffLpaC47SWNcF10kNsxZci9IQjMy7eAD9UNR/CQ0orL/6B0DxCFJsGqcFeoNwKG0FEVQDqK 4C2aH8TlAXPfd0niQ/0DtrXhWG2M8d9E7+luT6IzADQxfbrM1PugKN92vxUKhhb08sy1ikreHRvh Vgyr7EGg1u8oSElRuqRT6rp2U1ngL2Kh6sG6kfoGNxw7QGXn3gEtAhR9yU7/3gW4MPR0jRFAaSMl RK+PPkpEVsopo/0FwW1EHjS75AD0SvTRtXDn7o8ncagh+Zmg/a09MglH4aU6GPjyK/mQIfcgNbGv 3D3DIXHGs2VwPTeQhQbaB+X7s13tR1rpfuyFMvt6NQFYUMvzkDxMWwP4nsEuMkYBA5AbPxotSzq2 Xq6fER25ncH4tjMIbIIjbk3uyMuAL0UPRl7202kR3/9b6eucrh2L9JrwZZv4yRvGsD68qKW/MNlh 0VW7meG0IPJUHxmPtx+LlTE70sm7lNnLA8r/xUO2mAnmStsIxCdmyY1sXAvtvRU+WWw9RuZQCj9G Ov4b6o6tr9CDnOCp3cS7Kg+frL9rjWqF62sGcyLInGjq9etqmFU0qsCTZIdlVZTJ3YVRZpMTuXuq Jga+n3GU/foPcNobBIYUiG80bt1qqolvG1TgVfeZbM0v4o0VkVPo2c7+g87kd7W6gUhOq/X4KDYd pv/chlVTr2ceNV6jVR1QQZg/bSUNVwE6I1eErZJEVIHmU5MJ91/y+1Y5zGC+HkaG/q1PO6YWIg1e fvlyuYwf1Nka5uTihj498h3osBhq1ovG2JLlkVt4R/s7Kb7P5mJSHEH45hkengsfZMIr/2nfigrT JMs135YJohN/tOZWKWf70A0gkdfHuNyzkjV27EmGkANlggt5F4hUVrc6sbUS1MSXlqhXSNxnG+V3 MS+s2IhwIBAlM4c+mqYenaSCQVJCNY19JgmUxjpEjPqyvGEDpv8RCs7FqvYOMFpvv0vfHe16ljiG Ma1MnLyiZTazw1ZVJKkfAyClaRIZ+0aIcm1YlDqn8SNdanXFEmUoJbjx4+5pdcU9l2NNzTE96ML6 tS4EEE/tsIonEioaRoYf4ovsNgRH0bfeaq3JS1toAESQoJDNqLxHElWpR4WJZdq3vOUSEEJaSkKv wz5DkEzCjm/q7wfwOUK1VcAZnCAQBS7oznJFyberwuUhtP5Mz97hrF00g58qjCIGRFBfYpV0nq1r NmHS4vbeE3CkTzIi+gQ5cCKmIe2DWOp6Ub+D0w8a5JhbHSql8ystknJOIJ0PNhRqO9noouXTSDnX wpDaCT4eGezasmevfZYVLMms4+vqQAHMBp+uCT6bSSMl9p9epP4S2dRlzigQ5BtxGxG2xnlPiYWE LxYB6lDS5KqytJjri4wa19QmTsTBGUl46R31j/wwrwhV2aJ2C/Pxt9NQuTD5qs9o+ORzUrk2CRL6 TaSwumny3WmR/a5Q0O0fTM58crD5SIQHm2b0tZVTreudNBpk+9I8y3udLMwywhGwfZo7GywfkKe/ FizhVrG338KwCQVUNCROv2IpPgaY3hAeeMI2Aaty9Y4ACuhA6nlzRFjKZJLP2XHqFtnRLqhaJPZ5 VmlHOiRq65rbV/ivgWd+xxVnkKajm1kawYhdad9YwxGOmlFMyPXIYYAKHWyf995kVzflbZY6QtWr nip7zDCEmpeQzpYJeRP1g8ewXi0ZopQR6FpfL5YOx3QZlnx1Zlw/18rzQd15xecIaSSm5G6fG/bM Huvfda75IX+9fJ0bfIotHF8kzkJBnetxGwufGq7VIA0qwu1Or37+JF5odZK2/ZXMF1U8xyl79C0y Fomv0sTuSCXd1I4JJQWPmQ7S+e+Td9uy7pDauCKMijCGD+mm9qbqividVM7C0DuamLlP9GB2Vfop ZIIec9MX1JdY5ZSjLwAiw5uGHMpFLtL9kEj05Dz7sfq2LTg5mDgBXPTDdq5/OKG1bdjYFbuXv/Je 4jPxLPpUYgUZEgBQEg8a8fNoARSCeXan5LO61PzIVCErl/EEJocXw1hRUGBr4VSx1EfiKnvqTvCO RxPi4BhuUEdP305Cenv+ggGrzLgq6RdVbVAOYKddT2JAmp0PXQ0hBJ2PRHgzqpknD7fEnbyMkLYo dmoNTsKpXfMJ5GGb74yAS9ats71EFwJ7YTuD6n7TgOM0A54gQxC2evb2sVsYqrB74auKv84b5Fg2 K9UIHbh1bx6DWtB4QZ0IrsJjtPYju61L54OQ3rpMVO9H11wWalRiSVSr2DOm+5gT1/c4FNtSKRlI qA8PSwfZkDPUZvLlsbhk53gv7eBCUsZ5QwQBaO4N0YMJhgInEn67ZpN4GMVxu3+FloIrKBlhodht 26MD+vmNB5khTJfMYLdBAJI7YnRy6KHGkA+SfvDUaMTvGbg6H+2gEyBuMt+8/O1UcZKaCeqZj5+B 0LC1olobllSGSbRjUAbvt1z9iXaH60sPxr4N3jfuwZJemOcXElP2eyLSThAenY5+ALC+GMGtXwzp qO+hXyDG1omoNJj8gnRIYoPetUC3kk/dHVLwbacAkWF3hE9rKkzGRjQhTN3LV003Yd5FEeGR5Zr/ e58doGSd+3aip+iDQkwqZvdzGAdCkJsjlTzGnCp2+N/O9/WAGlsvk39ig+9CxvbAVKXvItinnVK/ h1NEESq6p70vNAjcdX9EqItcIof1Hn2pFBrFixJ7IGoDVGw6qMLwX/iGPWwpBl534XCboj3B6Fys oBECYuuITcWM7YOCRy3Btrufmk7plPfKR3iRpOGlsINSspFtVYk2J2trT+7aFdpGKwTq05PXJuvQ dwrMKzHZynPjiJn1cWMY1Yz7VxYscnoxgJj/a4mNdI0uIWBBOjZiHEWKmEis7yiefyvOo1+7Cb7v aZ52ciI8Qzptlq5Dnz0H1dcAn8doQ4aEsdNxM5TBahngduU5Tm3bfwnBOB8wd4tOUFWYyOlhJiJe l6N3RphTa8gQ+5c3bJ+4f3QYi2an1PjineN0ljHV2et+TvD6wItbiOpQvKJcepzhWfbhYCN8IP02 nF9F+dHFEAM2KdNgxaGAh5X5uZrlZ0yxKTnR1RuaWLHSK9v6bfa6xtf5sbB1C7Tz5n+CPZEe+dy4 wWym6ETlm1LhnF6jkXkN7zK5lkLOXfKEmEk4NciFz/3KValIMITCqD4+ieT6vySO5FAyUL2Lvm7Y wGSS3r5LL6jkGnO2/mInGaIqbwnywa/vSqHPrcZOy+RSnk42DkQteeqlMjWNliJh83ahldeZr9A4 5F+ADzcnv/guRtZx+qGbWwcfhZ9LyiNUhLzSRBQSdes8kxBMfxSMph037T7y0l1tugeojYLr77+b uoyvtF/We8iUzliIJpnySVOUKFgTsIeaVv506iCmhA9eARwvErfzoCCgq2ANaCduevUzampuh9OK Lrx+pNVv41KQbC1SH1oVrfXx5jYLztulKhVNcYXAFk77BKcU7JwY27ZsTIz7Dk/po7bEQys78Kh7 kNEHZsuLdMnFo3CGlV0rqcWQtFcMe8+hKgnsCoxSQqU+qBkhrHZPeqLARS25gwZ4ksf34YHf5F1x SNAU0uFH54id2DIsh8VjgfpU1m1J31LrSLzqvx64SMOcg74G4Q2t501Oo7XoW08I5yOVf/pNnC0S iBsSA2a26/Dl3CwJfdGCVXTAhTX2gnMwMztKlBtE8rWWkcE2MfAfsXa3i9yjDQkP/geqGfb9ZcM3 MMHLK0z1LNxehP5YG0hw6EWO59ilhKMfLHHeRxWYdrtUUJQ4GXeysBdzrzdE2dEGWcIQNHKkJZkL 4LbX5Nmsf0gH0U+tgV8lHWnSb15lAX9O7qQmuVyvRwp9tdv7t5o7v/1RTedWWsVG4bi9kMkzmbhv u9AIxrMmp9oQgGhe7+pzc+TtSV69yV4I0gKy1k8HmIP6k3n9m9p1bTJqpoBDdedbUHv5fC2SIV/o DSTuMO5xLzE1ZKXI5SV4YCIfYzJw4RTmF3cvu9NMeAvz/eiWFE+4DZQon58aYZBolVWViQ4DkPwN K9lmffAKlBFYLX9hnjqXnQPUbfssZrvt0Ovjr6XBtBDEHyWfvXHxq45fDSie1XddO7rXRsZ3wPol K3L7OjTHBsPKcY+zM1to0tuHrvL4qyO3IGmKddgDer+gGWMCYEI1FvRTxhyhEaq3wX/oouMXCk7D oSFXtAHlV7GDr7Nl/GH/85fvPnSLU1YIPiuXqm+T4YlcH93qP+nAOBOfpQeKfEPnDF9Jkqx+pz7E 2Mbcy2jMGzAmYW04QjEZ4ql5QpxWe4/T3FzeCBPujA4HfYaexn9dUtu78jgoiHAT3PHRDtABU3tG weNgLOPuVc0eH8GnjZ5qROsXV6OZsfj52OCjCnSBMV2VWcNKnxJWus2oC5wKA5c9zy9yj0VCWW46 m8aSvXFuLY/p39QL4Ed0ldetYF/VVJTECI2/ucIMVqg5dqSqu/JRTZbrnZj9b8MWVNjKab1v/tyd wHoQcYxQRrlq6reh1FeTTpO+ZyKYSsCQMkoyBvfYNIFG8cBFD2tg06ajxS8ndam1IWtRID7+2xYD 7x7i9+eEFjHS7l4QWR9SQI5WWuyB36ycQQlxSqoe3MPCGHSKw+omieQtGXA5bG6KNFxiZ475JX0B 7lVfgFuenvaRH9Ev/6lmMzP31KY84sOyqqDoA56ukdhcU9Q7lweHoKY7DJCzlZbTX9xhuwHuj/+L WmkPNQO0RykaCuaGj+IKVhqYPUWKJslABJOj/xJzjV/iY5nYyEFYRUFksTYzQLPZhz7FUofL2awW NDf5ubFerYGOUlKvU7RwUJm+kb5vNeIp0pVgk1FkwcxIG4Bo0Ekuj/Xfu2l7C5E5JpgAdBcFKaJX v7MKJrfH3uTRqNB98YKKLMDYqR9+aWF6Eml6wNSlCvUasakOIoUN/I8iqpVHRA/iQf+hYu7wFuiP 6U07I5hJl7HEywc5pMc7Gngm1KEo+p3nf5VIOakA7rOziTN7JMs7bWy7nebVV150zPT/htUCBG0A kQMS8PySwHUP+NCONoTPto9CBWgDR89PeV3F/zwi+2XSNhLiUSoDn06lr3vDnK5iAFmWCxsDwR+J vGUj9p+6NMScHbYaoyTgGU/OmW2GHWQacQzok0WdzHkgqHCXyrFbXMDqMcp4u5yYYgHk/YnWjsRT 5zmdcQtMpZlNCCbSmYUajVt+M1YFMJ98CbQtZpJ3EcUo/QdwLm7dz9ELsjfpvaNJMA3ZbOCBQQHT dijKGYoiDN0wJug6+Cy24DmHXPMsgGYDZyGLmCpFLJLX0Apsyi7SEkZik0CI2zoBBDPcABqwQP81 Xhhngkq3uABiCE1W8PweafLK1jqkJTDCdMfFzBsb82hTbiMMyntpOV3eX7A+kpBKrG2oO5PtxA3z FHV0oOIeiK7ogsxDawBjvUey0gCudXLaMrejWBAdSRl+WqpLgyvy1TpmuGws4CRlGzfPKDCwMhF6 wPwoQ1Hdpesmxtc8BBw740Xl+zZePnsO2hQd1Bh6r5DA+0H51Hv0PfV12Zg/bVJRVMzEx5633YQP JDHfx9lyiKopT3e73C1NAILXlGl/1tvj7BRJnI7ZG20OEwtM3peKWlVlVu8U7ZsYXS3BM9PMmMiH QxShTM3lgZ1QIhCQp7RLd/MXaBOkbwdEAxh+h/4RfrtkNkkNCPUlkiTt+gv4jbPrTP1jD+xKTeVk mlRGf9mUS5DkeYK7tSmoEHNtJLjm4YbI846/PruucAiXzSu/+R8Y3j1lG7E0uJrlhXFf71yWHbLZ zmY7D0YHhwLBMbAdbDlqiO7Iy7/arxFX1mLXe0K1QfotmtYlS4mBpAn2cPuLJyMgjKzphRXH/P03 FUbmMWs0HD7yI37nOB4A4aw4tgeFK7hqOLcz/L/mWOsHKwxZrfBNQa9XfTSNpWBE4xRl0ekHc+Cs nkQJOGE0aJ1XN0hAMpVSKE5IyeqUggdYFw9iaKiqsW+upPI5rKa5MkXUoKRVvPk/aAI6eZ+5gIg2 jNlS04l05/L/VR5SFR11ol219MfmqgvJunJgggPyKPuSZi45jmpbNfT6kSUpu2HDvd8+rPVv3TIV k75yfhyLLFjgIE42E9lMoFcZapAsIKHb3ZULsMCtzBOMGTGTnfrp4ogd+4OWXHH0S/mRo6RaFfY1 T29tLuPhvPERCRLi5m3fPXZAu4a+8XvDY+wNZgFuacOBFoOuDsQb+93LNBK77VG+qtpzti+M6pqy dSlDYQQf57bf6cZ995lz+BSwx4RdXpArNqWCpfUpQHElP3EvYuETfrNm9R7RvaBvbOwx6pga+eR9 YUS5ASR4576wmOq/dU8UJkap2WTMYizG3G8r5laZTYoUvyM7sWJnQOYzijG/I8nwW+nx5lX8bCPf DBmtBNbYiN3TWHsvtkvx70oK/lLAdOh0B2DEv4CyrBsS8C3obN4Lu7VNChmLfy7T6V/X2j3EOjjM WlAyYx9lhX+QVHlENFhF0oHGadV8DePvlhk70Br+L9a3tx+mRUq+Wr3XWmz8zv0IiIh6skI7b3Jt XsCV295bLydu0b1HNYlDTmgm+2QUMKVShlFwsMT/Uot2ijLhFNRhEBLnP++1Lw56eAZIySinCJiB EVLJ7aCYi0fcKpF0eqqO+K9gyMUQqLRAvYL2vTnP35dph9b3viPjjCnkE/n5Mfis28IW1xqetUDL +f7aFPYyCtald0dyw7ZTvSNb739WP35eTUjbu5J9wB66txap0LO3Fz77bmKQpWBAz6wM6XskYDTB AL2RtJcC2sm2e87qTspT2dzuN0CzvWxgRRNgD6ue8P17yJNsM6zzGyiHIs+f2DGGNt785+Xkv7zP y/0AiiHlHMTfOA4p6fo1gufWoadXZ+/JJMo1pSrH7cyzwT1G4VlpOqNJV9SlYDGqEfM02Ea95ODt uzix8ZpDIM8s4+qB6zKWdDhnaPZv1hAQNuz1ggnWXoiXfNYXIiGSTjjVBddtYSbjdH63fNDA7Mdp nssas2rzigK2+UNVG8Qq95tIvaLE5T2SImam6bcZKRAkVEAqGWuzP05ZPZBlZbHKINsCVCuD6akh yzc7EfpB8OozRyE/ASvh94R6W/IIf9iJZgXUZz7URKE9TJxMLqE6apuR+DU62qTjCWWUQRNumsDo 4K2BYk/N4m2DMV46Yn9066KP24PEop9GpsAkHVi/VBoPa9urWZQFAoQT6pnJYTxs06tbcHycGk52 wZAn78uX+hikY1AIszS+mStD0+FK3l8/fHwbLADqZaI7u9kKktGzp8bHwyZDSWg2aL+hLXGR9VDC penI3bEPKKtdTTWzmy2Qq3fXUrpp9n469Tzj1DzpW3sZKu9kpuPVKoc4BDtZ+ELFa1iv+9yoFFLV oEgmf+GC5CUm5ME+WCH+suYNGLrNqxCkpu5yMyLe5VD2/k7araR+AHQw97qy+4Z3VT771bifcNb5 6zP7jKDd5Qm3RoRquUEe4FT7F6NkSOB8Zs+ClJMU8Wx/WFoi58wNknLcNltRoNI2lGUcK4L8iQOz JPqrN0Ark9OPpWzHoEhZumevLs1RuBVojjINSMaEAX665GanwIf102sGlTapPwpld9PxiyriAUmy U+woaK88XjBM67Yl4tCIlWLk7zpM4cUZFBvfY3xZeZi9CHZGzXLGQ5fk8o0OVzCpOjAiGqmQjF+E 57ADIfVPotx8CVdUVbfb1hw3ta0QNrIXcvcQ3gFlPSaTLr68rYrXk/nrreCwxs2P53J0Yi4fR1uq 9j2erimFSBlKqY0r5hbosz2tr6uQKO9PpRbD2EZJ9iAFksOwK2v34P1d/gWpMzUX4GBL8AEdvWf+ PIlhl9cgWTNs9Tz5WLEynWZ+uPO7JZG1hKpk7XMqY6PpucNWgppHaxU14KeB5xRhiOtyea4DilOA TzuJbvI1qhe2VevmLP6hDFADlJ4RJa3sWkArnMkBDO0LbhLjKJFsGo50yzddZk8R48fh/CHosDsD Njqi8PH0GraVZr0s7+gGjG/WZzGuhs46YYMPt14HaR8YY7rHycax/vj5rnVI7MatXU//IiQ/5vU3 zEpIvc4dV5k9Tu/TJZGuW4LpAvj+NGvk3idmG/areOpcDAxW6rLOeOn6MlupenhrQ9hFN7rNMbgh ZZJuKu2BRZnCYDkAzb10GpINYrXrz6TRMFNOmPZrcxYicruMddn6QOopt1Lwd+SL+/l/shgwGY4u zgzCtr3mNAmso3bCz61dYt/Nn78FG+/W4sN9LPCsdQ0cVmABjD8Zbnmxt2k7F+XDiH7daMTmg1vJ mn46EfAhd4Fwyn6ONIcr2dIE9zUbxL6SzM0GU1YjuhOCjkfBsCXmmIXUHk3/A+QjjgjKtlppWbVo K1BewkerYH3ppFAJq7w051WaMQr7okHWEEJ8NWrsGZKFTo0zA46pl2JubPohPk7o7YKssRmEeMo9 D2bVyN8fGsn9EZNeCQt4ljmX2LFqF/u0y15Pl0HlBzeKidFrYwQJdkDPrgpaIrrCepea8GZ3y/vb 7AdEudAwvlPVO9TtC6QnLEGm+DY5K+WrhtQ8wWEp/bbVRUUdin9ct8SiYWBoKJZ3gAW55CF5jjo4 DS3vcnoOJFPwPV/jN2trXW0p4gt6QT3HgPPjvPIrKBat1Diha7E42xIt2SN5A/tOCK7dqZ67WpnX xhEWQb0A2uxLG00cnJ4gLQgEoDV8kVmi3L1lVuGHoX5zhNuRIZzrjt4yMc3aW1a3JQ5g/oLP9STQ Y6ukQCxAp0S4fuCNRaPwipHANPav7Lf1nP6TSxQax3T3+Ke0AZrs37VaMjBsqdOECm3KVjTUacDx NWHbmE7Hg2VKP0294z/pgR5Sr4DIp1c3l2yN/TUXDBOtZN+PNDw5qdwkoIV03g0usos5v9Zb0ZuZ OX6h9jUbHXVhHjvzUCJM43jOEk8tNXix8dTcmmnem+fDH9YiJs0UNSl5v5nKmyZLDUii4m1GjrwA lXAdBJnMiQKrZxZCSH3O77MpldS8Ivx08YZ/mzXCVskKoKw9a0uQhp/yw0XIkEN/VUKoYsjCRNHC IKe+88/b2/SuBzUZW3lxq/Lljs9YSkwFsYX2kqkhr7dUZRADY8/236e3Fz1ejO4OeIFyFRJV7n0W XzeyliR759hRtZzTcNkEpVLpj6N2IGt2a+6mdVGTfoKp/HHABMI1Efx/OIfXDvNdzXlgq0nGcEWt RHlquGM4k5alQ6Q38rQ8YjWvm/30dLTXJKuFaNhbg+spJxyWYIkLtX2MTo97/Df9EJ0dZjknp/ly 5Z3nPhuQLaPwXPE3nIRVyLs1jxYef/X37ky8OhxZBB1gJYrFndMhGW6/V1RbTimjNMf+TSFzbVb/ Y3qsCzGQQCtNL43KsFc4pfydkOydKmd7AgXn7e2+uad+9NeSaF/IHd+zFiABZwPIThZwWKlSSm2n UZgqIq1KwoRxJuYwnhLpDwjegmhjJSXRokqjL61sBsYtfJX5lC8TLs/KDyGUTCJwQQG85vw2tHwz igKNTiUpSf+2XWwQ/ziBAcXPWwUk1d+/YCypf6RsH9hQgT2jFuorkF6xLPyCXHGUCSfEcJOD1jPr gqiyMweej2FWaYxQ/pb4qylWsz5sOXb62V8I8+8FNxY1H3rMOHidT0i9R07qwSIvGrNu+XZp9cL8 HtMd/QTWwsPQKgJnGoYSX91/nhLFahWpPlztCa1/lDIX0JaZH4xfuxTW/1rks+7L19kvEGI9pauk /SHO1SPkypEzGpkM/+6xfhgF6E6mhJRpQ0vMQubS3RxZqyw8fe7g8r7TlN1MHaDF7JnZMG5gIB9E PH5hVAAevhz4GZcpw03o0RKHHz3Q+h/hGlkYJ5a1EF+zf7WdhKTdSKFJXtEXn1oxE0fOI3hyB6FQ s1lmlMpfqUnMEDcZTJ7RIc241vJNvvlMTD607FcuDwKULyYCgXo9TSpioTQjytqqk6MUN620VMqD WT2KpHNPuMij91ap02ULJIfv0xzUB4TdkAtwkFyTrL115p+SVdAkHfFYQcwMwVYu8R3yIgZyWnmY zqc4yo7HAJmq8rlXEPx4vBzwV+6dASjWRsNwgmPvFLPbsrcIhGhp5Ad836GtGWQ1K0WoSSlhKWhg k+IXk1W7MGei1DlP0hIQnSs910K1E5JDR7ljqn3pCuiQt+Zhm4k58MXHbiNiZY3729bWVrJuD0eM bIsH0O2V1FuIDSn+iLhe1PcgQWM9qSdm1/AyLLp97Lf0ysXSd/QPOlWJWvXFR/Sg87hLj+rRptfM l8OjIHWiuhi9VZ5aWYL3Bw8XOxHyRcDXJWH60UlkiLCcG6zzTmn7lFwj5nwCDJe1vCGzB1LIGkB4 WMmnX/yZwlVFSnAhWk0EoV9OEgMPK0Wb+ff0/5y8VwH43OC/1kWvJVe4LhHBjM/y7gPgd0CQBKMI Kygz9QYzZCt6sn0vASZp/wMUZtFxD9QuvO1yCtL2Cxvyxo5N9FB5qBCY++ckVsc/vbXUHneaQtqN fA3mAhCvBy3q9+7PnSRecHDK5FNaBokneLz5Zkc4OMFcD2elKAuQpyikkj6v+uMUUpboCjVQRnDv JeU9ClkNk4C3iZotL4np5oef4as89kWldRlYsXpzOQ3O/8klZncK+AkXKUDf0ChKyRpqMY86OtMO QE/wmvhfXeaVRmsHVIu0lwdl5TmTmyVFv8Tlnq48MF0lvjbwpQZg+WGtilZkhWVBhpokFxTDpZL6 yVw3WZNMSFLedsFQC+OIePekToeqiXzi3KpdHEF+8Z+sQ8RDxbX7x+eC5KsODkdEy0U6BuMVSqdD mOiBzUc6NAYMdDvBfgvRDYp2hlVmCKkWGKzUuGcYLGN9jeAuessuRrhqifomk3AdPl6ypRiKt4NN hVbs+wlSqNNCB9q15My7QrVri8nOB6xozL8OzZIVR7joT4ewu2k/4SFVy9oUKMm0eHdDVuIoE5qk wbOO9jz7ZPX8prN6Nt/c0XtUpNE8KAS5ggmxzPLLkWpVpgfAmmBuTSI1MKSYc60RxyWNfMmSYV/r 3nnGUJzbWdXva7wqO0v5fMXGbY3aTE16HHAlF63UKTQb5F16/W+zRVNneswQbINHpZoNTJNee+n7 a1aXVrXMpwU/i88OiYVg86PHmFF8I9vESdxriYzR9h8cxIZAswvnjutINY/ARcee33KLXmaDVawv ocylI1nw2RF2WBUB0g+9OHUiQj9KarMqNQRIP7+roY/hvl5tQNfE7YGnjc7MZLAG5xUsmieZozSV aHLWL5G1k4ckSsqOb7G9AC9ApVOuv1akGQzEDga8TFE3M6y1ny0H+RYRcuaqmg/NSxB9etUl9U8A hv/I6XEfZq/7xMrJ65n6cP9b5LWuwVOJA2gIl/5wy1+EtvyPWTSco4/mwgTwY+nlsX4Il38SLWaY tyELEvmSUDZWL/AEWutebNrpvK1mjcVTRs4Nf4pzAB3Kd5BiS+1Ni4EUe1qoG3U9CT8Ehk9Fqk75 2npw46ybHAJqXLQ6WVBZgiE5TpqkWDacOmwCKjuplkSgpmt+RH4VPbYemk035swvCZpA2DI08agY 7mTW7KXBJ1ED8FwOSJ5FIKJ2gqSYix1pbiVXHubFdSc7hEidahbnev+b9Rg4RDx7imwtpqMCMTKA JKr5Urt3DIzDj/yua7oC/nDypclGgGq40+NlDuFvAKmHz1Y1FaG6yWh4lfR/kT/0uYtQM0ej5ut7 AdTuaR+4lyE7JK0ES48lMSc6PIniBSdMD6ujqJaqoS40VkxCuiJZOL/oYR8iJjmmj95wZajcEikG Bj+JZCzfktJ3NdlHrDgqyR756oEj2f8+yQTp39VDxPJEYQ9taRBuOk0oim3yMSA71vkABzSNFC+7 mwzFzXHWZdaggyUtgNasW6JvHoEzRfpiUdWlyX1Ydp4qcGwfrnHb//1exdtLfJpTl6FyBMvzYrZM M+Usa/8Idqt0K2Wk5cu/doXB99lxQ/yCdKvblcV7n9KuskiLq8khyr/BfOHYZGuhEeVtXbOLdcNu o74J3ECdEemrSuH+dCuBmN2XHaHEIaUzDwAM/VQLGYP9CuhOgjEyJqooZoJW3E4za8QxrgTAU0QB 54k8Y7S707EDwU6QN3BFLFD4oKNMrJpAgDO2Q9Tyl5z3mxR6g0KvXlEISMb6FO1Od5TXk+V1M5iT 8MB4HBYD6I1tFkOg8gqFbfpIHkZzYy+64FX3eP2py9XELcn/mKHY/67E7cFAHnuDRvK/vxGeORTi +tYJna/PuGY3MvN1MthDPE5r4FFWhiPGudo4pLVaAGJz8miKDZ8+6ONqAzwCVUCxdNJGTvSJTzIF sy2SMipW+DfhZmUH0I4vMpdXToj1TKnVeyYzqr/CblQmyLfNDSsV5CD5N9XqIVrByUPrfUp49AYD eiylLxvu6RrcH9cs9AOwXZbogsTHOYDBWwx+QQCsYzvlzOqT1NpcSiCkWImN0rmOUiS52tE6sitx WQbIBVB0c4y/Ibaz7eYCTIRIU5p0m8Wpim2NLQ6y/bwsozvS0Nue783BxzR3U41+sFmU/W9+g2q/ /+kUlihxui4rnsuvUYUJEV5xeVjvrsAJ31YIs6MUUUgN5UBdddd0EHd6D41xtOHfMNmAzs9pyN22 q0mlcczz0AqUyOp7/BKBJztnNulyikrizeV8bxB/pCiiJJ8qJiyh3NL1ESzNqSIAV8jXwSC53neK U6XwWFi57lVd0eGHKqifTqvQRP3rzRq5ffPLvvpSyiNBQUoLcP+R2NAgREjSXOUCOvI6Squa/REQ WVSupxG0NN0mmCMpfLNeMhkAfryVESEvcZZ2hoRz4P61SiMuUhjROiQlIGkS3osTnMSHMBrVNwbY SYMBLxDNWB9NubsLlPVCdibMxJvKBaTZQ7BZLsV3LpgLsoCJ53tWKWLVdgPw6dxR2GCX0FD3NL9g HIKMZQSWK/U8+Ua7T8ONrcF4hW0gC9Suim9YM0mCP7mLWy8goqhClOsRQT5bGo+q96Kpukx0BqJM qku9nrUX7zD1BkoJZRg3UDGFzopLZh8KHu9DaRQIMzM/ojll7b9I5YXFia1PZre+zoTrW3X60jdn y0TYLuw6WPIIO7zb7QjO3OHB4Vas9yzZuxy+hTV7Msl9U/xT5Ec+abflKvSReV8sIhA3ulVARZCk elHF5tdjkOSPCNL4oZ1a0PAkEz7WinQl7fZIRRM1beyrApF/T7PwlLyhEBKIw4YdKgQc1Wh8p2Cp zglcUJbRqhsXxaRs/A6FWzv/487xHwDwyM2uOxv3mC7m/TrwaVeqU55r5Bzltlgq7NBlQzwXSEKi tG2ynawBChA5etywVk7VeixJV9bhyEdGdOA50ZLq8KRII/wL/dLSxe0ZmtptTC0+Coky/Xxayk93 kX0k6gRr0DKCMvfcfGeoa09JkR3UX3YBDUXNBXOTvKdg3tn5EhyS935l+VvEK/+PVEWsdHT/4Yid E2Sz7SKZ/1/Oh/TkOIFwjUS3WpNxtzNZseNyi/W4T+DmCnVj2S3ia/QhiyicBDus7EXXZcpAfO2p iB4uDkLIG2iCLMzKid86vd39cAv+7+uc/H4giyDaLUtOlnJaSLhvJS4bQmiK+RMwnXJel4Jnwcd+ JeYMNlDIOwyu3XAx4Ygdk8fSNK4wCT40UK3PI/UELY4b8eA8q+ixDL89RqTwBBKqxx2YjlmGl3hs aclprtGe81CrF6hL8ey9UjkElD7nIf6DAWcIELi77/fmyExfSVqM21rn2OnS7eTfEBDKMENnjsy4 oKc+PeGY8u77k2goHhknf7087RMo66lFgQHxxBRK0UYdtR9w3i9DHkOaJUi6KmQc185jtyTgU3Bf KFE18IXCXf2HEwRq1BHX2U2nHrlV+llHVE/ysrI/G6TJciJOIglDwxeu5/zLt0Z2Vn95YcLfhRpb fievXo2iftkPX95Qn/dkNDTUTAtm8HHhLbmtKIEeGVEowM6ZwimPxWPqjonkLKRZWhMpyMHRJm/h HY5pw0dbVlHZJvvDJ6Bbzzv68tT0XUaT88p9XNVHkHsTzw4Cz8DZdqvlb3WF3eJmI4iDbDlev6pq YSqkfpSP7Au/W5LV0Nqg1RjnfR8A1VCUISgj5oqJE6Kgkiq/5tDCmsiWxYtwc2S9a7uaaVT/F0QS zmfY2GIJkBlPbUUzZPOlUkTVsVKMXoUohauJXYKcynGNOO11OyTLpvCgXvsgsZZ7ScZwzoNIP+SZ 6N3dCJFLoHuuJGqDZJQabQ4Ey54qYWHUyi4a84ksdtX/cLB1Ox7otfPYd75eSLV5zf8rBZxJjRQD ef2W8OKTV0lOEDCF7w6UBq1ajfyDAsOaildWp+/IWJP76sTebnaMCvQM7tJaxTbgYI/ffpYOL2Cz zcW1bMPUbVQeMBCLoQSMXuCFVSeFO8/0ElzdeQKRHoyO8Mt+H0HJsqGje8mov6cgU9c4D5UuGjH4 xx8TQ1/ePgD9lgsthYiMtPJ5yJPLCxnWelImMDgP94Tb3kXrmK2711i/l2KYnbOIw5dsEwebH8pb hulqMs0Z4bUm255YOWxj/Z9xZJ7sSKjlCJYs048Ffmk9M5g4WX0uK5r+AIE5ZqbCZzHa816WCVYc SNnYUYugsq3lg69ng2VI4SEMpmg7TH4q+Nn/Okospa6/V6ayKGk6zjSX943rQTWrn3+sPdNn65u1 3akqN5kIjxkTGyvjPq2gdWYDAoC20glQCLFQz3rwH40gM6doF/G59kafM7+qAxLD8Afc8BxTUrMk zLKRb5YwfS2pinVpot3sKcp2kqFx8+qTplY7nqTZzu9VgTwncgk8ujD4ZoZGRa1DLZOHKAZT0l3+ w5FMfOBeFuKCX3/wB9LB2/k0dzJZbDOMYxnn4wkS7jqQqKBtqYfdW8LGZKbTOI+Y1f41P7TZQrF0 Ow3d5E476Zu1X+pZzPObGdqcoNd2M07o7wfrtmxTG/K/oG3Vs2jWucMgA6pGTkTp/+HPip0Im+/N ywSqWDX5ijwBa1T7mUAxqpzwlTlMMNSUYUtKo/cloHA1WWqMp+SkdvKrDn2z7B2O0GhJfEoElJmh KmvOLeDVkldV0ywpzeRFDwCTFqcnvX8PBvQgG3r8MCYCOY5aZKJMgsULGfb0D52rZgg7bPLCazbk 1GF+AzKX8rjFOZ5xI0sGKtfZq1TJOLZd5c8eacWSSr0xajowlDCvz2r6CkwkYsLQW9Lkt2NY7fYc ttH8P74VDXpgNRq5We6d42MCEaCLqq28NNkITCJCL/tMscLt7We23nG2ReK7YIdVqkJDUlcRNFup RQ/m4LPwtfOlhOfWU7nrLtALf+XEaQkUdf13R11Xoq/UBxB4VhaW1Vo4h/f4RL+FMTLL7kujnLgH UrTImzPOwu3IB3JzJ90/jE6NJx2sla4GsMJedOd4frucxGAuMei4/1y3xMzYy6ZaOwrrUjR6xE2v C3qeHs2kWZAxwd3OE4Urdwqf8q1BWIZnJCujBot7WWcgln6AFu4RYBU4eHIxZj2jvGCUaqb2iuqX Ey3wCSa/3+yZjoASjSP88Eqf0Q5xh5tpw/2Xy64Myac8XCaFpHltf5Xfow== `protect end_protected
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1636.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s12b00x00p05n01i01636ent IS END c08s12b00x00p05n01i01636ent; ARCHITECTURE c08s12b00x00p05n01i01636arch OF c08s12b00x00p05n01i01636ent IS BEGIN TESTING: PROCESS type PH is range 1 to 24 units U; X=3 U; Y=2 X; end units; type AR1 is array (POSITIVE range <>) of PH; function K return AR1 is variable V : AR1(49 to 50) ; begin V := (5 U,X) ; return V; end K; variable kk : AR1(49 to 50); BEGIN kk := K; assert NOT(kk = (5 U,X)) report "***PASSED TEST: c08s12b00x00p05n01i01636" severity NOTE; assert (kk = (5 U,X)) report "***FAILED TEST: c08s12b00x00p05n01i01636 - The return type must be the same base tyep declared in the specification of the function." severity ERROR; wait; END PROCESS TESTING; END c08s12b00x00p05n01i01636arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1636.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s12b00x00p05n01i01636ent IS END c08s12b00x00p05n01i01636ent; ARCHITECTURE c08s12b00x00p05n01i01636arch OF c08s12b00x00p05n01i01636ent IS BEGIN TESTING: PROCESS type PH is range 1 to 24 units U; X=3 U; Y=2 X; end units; type AR1 is array (POSITIVE range <>) of PH; function K return AR1 is variable V : AR1(49 to 50) ; begin V := (5 U,X) ; return V; end K; variable kk : AR1(49 to 50); BEGIN kk := K; assert NOT(kk = (5 U,X)) report "***PASSED TEST: c08s12b00x00p05n01i01636" severity NOTE; assert (kk = (5 U,X)) report "***FAILED TEST: c08s12b00x00p05n01i01636 - The return type must be the same base tyep declared in the specification of the function." severity ERROR; wait; END PROCESS TESTING; END c08s12b00x00p05n01i01636arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1636.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s12b00x00p05n01i01636ent IS END c08s12b00x00p05n01i01636ent; ARCHITECTURE c08s12b00x00p05n01i01636arch OF c08s12b00x00p05n01i01636ent IS BEGIN TESTING: PROCESS type PH is range 1 to 24 units U; X=3 U; Y=2 X; end units; type AR1 is array (POSITIVE range <>) of PH; function K return AR1 is variable V : AR1(49 to 50) ; begin V := (5 U,X) ; return V; end K; variable kk : AR1(49 to 50); BEGIN kk := K; assert NOT(kk = (5 U,X)) report "***PASSED TEST: c08s12b00x00p05n01i01636" severity NOTE; assert (kk = (5 U,X)) report "***FAILED TEST: c08s12b00x00p05n01i01636 - The return type must be the same base tyep declared in the specification of the function." severity ERROR; wait; END PROCESS TESTING; END c08s12b00x00p05n01i01636arch;
-- libraries --------------------------------------------------------------------------------- {{{ library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.ALL; use ieee.std_logic_textio.all; use std.textio.all; ------------------------------------------------------------------------------------------------- }}} package FGPU_definitions is constant N_CU_W : natural := 2; --0 to 3 -- Bitwidth of # of CUs constant LMEM_ADDR_W : natural := 10; -- bitwidth of local memory address for a single PE constant N_AXI_W : natural := 0; -- Bitwidth of # of AXI data ports constant SUB_INTEGER_IMPLEMENT : natural := 0; -- implement sub-integer store operations constant N_STATIONS_ALU : natural := 4; -- # stations to store memory requests sourced by a single ALU constant ATOMIC_IMPLEMENT : natural := 0; -- implement global atomic operations constant N_TAG_MANAGERS_W : natural := N_CU_W+0; -- 0 to 1 -- Bitwidth of # tag controllers per CU constant FLOAT_IMPLEMENT : natural := 0; constant FADD_IMPLEMENT : integer := 1; constant FMUL_IMPLEMENT : integer := 1; constant FDIV_IMPLEMENT : integer := 1; constant FSQRT_IMPLEMENT : integer := 1; constant FADD_DELAY : integer := 11; constant FMUL_DELAY : integer := 8; constant FDIV_DELAY : integer := 28; constant FSQRT_DELAY : integer := 28; constant MAX_FPU_DELAY : integer := FDIV_DELAY; constant CACHE_N_BANKS_W : natural := 3; -- Bitwidth of # words within a cache line. Minimum is 2 constant N_RECEIVERS_CU_W : natural := 6-N_CU_W; -- Bitwidth of # of receivers inside the global memory controller per CU. (6-N_CU_W) will lead to 64 receivers whatever the # of CU is. constant BURST_WORDS_W : natural := 5; -- Bitwidth # of words within a single AXI burst constant ENABLE_READ_PRIORIRY_PIPE : boolean := false; constant FIFO_ADDR_W : natural := 4; -- Bitwidth of the fifo size to store outgoing memory requests from a CU constant N_RD_FIFOS_TAG_MANAGER_W : natural := 0; constant FINISH_FIFO_ADDR_W : natural := 3; -- Bitwidth of the fifo depth to mark dirty cache lines to be cleared at the end -- constant CRAM_BLOCKS : natural := 1; -- # of CRAM replicates. Each replicate will serve some CUs (1 or 2 supported only) constant CV_W : natural := 3; -- bitwidth of # of PEs within a CV constant CV_TO_CACHE_SLICE : natural := 3; constant INSTR_READ_SLICE : boolean := true; constant RTM_WRITE_SLICE : boolean := true; constant WRITE_PHASE_W : natural := 1; -- # of MSBs of the receiver index in the global memory controller which will be selected to write. These bits increments always. -- This incrmenetation should help to balance serving the receivers constant RCV_PRIORITY_W : natural := 3; constant N_WF_CU_W : natural := 3; -- bitwidth of # of WFs that can be simultaneously managed within a CU constant AADD_ATOMIC : natural := 1; constant AMAX_ATOMIC : natural := 1; constant GMEM_N_BANK_W : natural := 1; constant ID_WIDTH : natural := 6; constant PHASE_W : natural := 3; constant CV_SIZE : natural := 2**CV_W; constant WF_SIZE_W : natural := PHASE_W + CV_W; -- A WF will be executed on the PEs of a single CV withen PAHSE_LEN cycels constant WG_SIZE_W : natural := WF_SIZE_W + N_WF_CU_W; -- A WG must be executed on a single CV. It contains a number of WFs which is at maximum the amount that can be managed within a CV constant RTM_ADDR_W : natural := 1+2+N_WF_CU_W+PHASE_W; -- 1+2+3+3 = 9bit -- The MSB if select between local indcs or other information -- The lower 2 MSBs for d0, d1 or d2. The middle N_WF_CU_W are for the WF index with the CV. The lower LSBs are for the phase index constant RTM_DATA_W : natural := CV_SIZE*WG_SIZE_W; -- Bitwidth of RTM data ports constant BURST_W : natural := BURST_WORDS_W - GMEM_N_BANK_W; -- burst width in number of transfers on the axi bus constant RD_FIFO_N_BURSTS_W : natural := 1; constant RD_FIFO_W : natural := BURST_W + RD_FIFO_N_BURSTS_W; constant N_TAG_MANAGERS : natural := 2**N_TAG_MANAGERS_W; constant N_AXI : natural := 2**N_AXI_W; constant N_WR_FIFOS_AXI_W : natural := N_TAG_MANAGERS_W-N_AXI_W; constant INTERFCE_W_ADDR_W : natural := 14; constant CRAM_ADDR_W : natural := 12; -- TODO constant DATA_W : natural := 32; constant BRAM18kb32b_ADDR_W : natural := 9; constant BRAM36kb64b_ADDR_W : natural := 9; constant BRAM36kb_ADDR_W : natural := 10; constant INST_FIFO_PRE_LEN : natural := 8; constant CV_INST_FIFO_W : natural := 3; constant LOC_MEM_W : natural := BRAM18kb32b_ADDR_W; constant N_PARAMS_W : natural := 4; constant GMEM_ADDR_W : natural := 32; constant WI_REG_ADDR_W : natural := 5; constant N_REG_BLOCKS_W : natural := 2; constant REG_FILE_BLOCK_W : natural := PHASE_W+WI_REG_ADDR_W+N_WF_CU_W-N_REG_BLOCKS_W; -- default=3+5+3-2=9 constant N_WR_FIFOS_W : natural := N_WR_FIFOS_AXI_W + N_AXI_W; constant N_WR_FIFOS_AXI : natural := 2**N_WR_FIFOS_AXI_W; constant N_WR_FIFOS : natural := 2**N_WR_FIFOS_W; constant STAT : natural := 1; constant STAT_LOAD : natural := 0; -- cache & gmem controller constants constant BRMEM_ADDR_W : natural := BRAM36kb_ADDR_W; -- default=10 constant N_RD_PORTS : natural := 4; constant N : natural := CACHE_N_BANKS_W; -- max. 3 constant L : natural := BURST_WORDS_W-N; -- min. 2 constant M : natural := BRMEM_ADDR_W - L; -- max. 8 -- L+M = BMEM_ADDR_W = 10 = #address bits of a BRAM -- cache size = 2^(N+L+M) words; max.=8*4KB=32KB constant N_RECEIVERS_CU : natural := 2**N_RECEIVERS_CU_W; constant N_RECEIVERS_W : natural := N_CU_W + N_RECEIVERS_CU_W; constant N_RECEIVERS : natural := 2**N_RECEIVERS_W; constant N_CU_STATIONS_W : natural := 6; constant GMEM_WORD_ADDR_W : natural := GMEM_ADDR_W - 2; constant TAG_W : natural := GMEM_WORD_ADDR_W -M -L -N; constant GMEM_N_BANK : natural := 2**GMEM_N_BANK_W; constant CACHE_N_BANKS : natural := 2**CACHE_N_BANKS_W; constant REG_FILE_W : natural := N_REG_BLOCKS_W+REG_FILE_BLOCK_W; constant N_REG_BLOCKS : natural := 2**N_REG_BLOCKS_W; constant REG_ADDR_W : natural := BRAM18kb32b_ADDR_W+BRAM18kb32b_ADDR_W; constant REG_FILE_SIZE : natural := 2**REG_ADDR_W; constant REG_FILE_BLOCK_SIZE : natural := 2**REG_FILE_BLOCK_W; constant GMEM_DATA_W : natural := GMEM_N_BANK * DATA_W; constant N_PARAMS : natural := 2**N_PARAMS_W; constant LOC_MEM_SIZE : natural := 2**LOC_MEM_W; constant PHASE_LEN : natural := 2**PHASE_W; constant CV_INST_FIFO_SIZE : natural := 2**CV_INST_FIFO_W; constant N_CU : natural := 2**N_CU_W; constant N_WF_CU : natural := 2**N_WF_CU_W; constant WF_SIZE : natural := 2**WF_SIZE_W; constant CRAM_SIZE : natural := 2**CRAM_ADDR_W; constant RTM_SIZE : natural := 2**RTM_ADDR_W; constant BRAM18kb_SIZE : natural := 2**BRAM18kb32b_ADDR_W; constant regFile_addr : natural := 2**(INTERFCE_W_ADDR_W-1); -- "10" of the address msbs to choose the register file constant Rstat_addr : natural := regFile_addr + 0; --address of status register in the register file constant Rstart_addr : natural := regFile_addr + 1; --address of stat register in the register file constant RcleanCache_addr : natural := regFile_addr + 2; --address of cleanCache register in the register file constant RInitiate_addr : natural := regFile_addr + 3; --address of cleanCache register in the register file constant Rstat_regFile_addr : natural := 0; --address of status register in the register file constant Rstart_regFile_addr : natural := 1; --address of stat register in the register file constant RcleanCache_regFile_addr : natural := 2; --address of cleanCache register in the register file constant RInitiate_regFile_addr : natural := 3; --address of initiate register in the register file constant N_REG_W : natural := 2; constant PARAMS_ADDR_LOC_MEM_OFFSET : natural := LOC_MEM_SIZE - N_PARAMS; -- constant GMEM_RQST_BUS_W : natural := GMEM_DATA_W; -- new kernel descriptor ---------------------------------------------------------------- constant NEW_KRNL_DESC_W : natural := 5; -- length of the kernel's descripto constant NEW_KRNL_INDX_W : natural := 4; -- bitwidth of number of kernels that can be started constant NEW_KRNL_DESC_LEN : natural := 12; constant WG_MAX_SIZE : natural := 2**WG_SIZE_W; constant NEW_KRNL_DESC_MAX_LEN : natural := 2**NEW_KRNL_DESC_W; constant NEW_KRNL_MAX_INDX : natural := 2**NEW_KRNL_INDX_W; constant KRNL_SCH_ADDR_W : natural := NEW_KRNL_DESC_W + NEW_KRNL_INDX_W; constant NEW_KRNL_DESC_N_WF : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 0; constant NEW_KRNL_DESC_ID0_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 1; constant NEW_KRNL_DESC_ID1_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 2; constant NEW_KRNL_DESC_ID2_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 3; constant NEW_KRNL_DESC_ID0_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 4; constant NEW_KRNL_DESC_ID1_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 5; constant NEW_KRNL_DESC_ID2_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 6; constant NEW_KRNL_DESC_WG_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 7; constant NEW_KRNL_DESC_N_WG_0 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 8; constant NEW_KRNL_DESC_N_WG_1 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 9; constant NEW_KRNL_DESC_N_WG_2 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 10; constant NEW_KRNL_DESC_N_PARAMS : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 11; constant PARAMS_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 16; constant WG_SIZE_0_OFFSET : natural := 0; constant WG_SIZE_1_OFFSET : natural := 10; constant WG_SIZE_2_OFFSET : natural := 20; constant N_DIM_OFFSET : natural := 30; constant ADDR_FIRST_INST_OFFSET : natural := 0; constant ADDR_LAST_INST_OFFSET : natural := 14; constant N_WF_OFFSET : natural := 28; constant N_WG_0_OFFSET : natural := 16; constant N_WG_1_OFFSET : natural := 0; constant N_WG_2_OFFSET : natural := 16; constant WG_SIZE_OFFSET : natural := 0; constant N_PARAMS_OFFSET : natural := 28; type cram_type is array (2**CRAM_ADDR_W-1 downto 0) of std_logic_vector (DATA_W-1 downto 0); type slv32_array is array (natural range<>) of std_logic_vector(DATA_W-1 downto 0); type krnl_scheduler_ram_TYPE is array (2**KRNL_SCH_ADDR_W-1 downto 0) of std_logic_vector (DATA_W-1 downto 0); type cram_addr_array is array (natural range <>) of unsigned(CRAM_ADDR_W-1 downto 0); -- range 0 to CRAM_SIZE-1; type rtm_ram_type is array (natural range <>) of unsigned(RTM_DATA_W-1 downto 0); type gmem_addr_array is array (natural range<>) of unsigned(GMEM_ADDR_W-1 downto 0); type op_arith_shift_type is (op_add, op_lw, op_mult, op_bra, op_shift, op_slt, op_mov, op_ato, op_lmem); type op_logical_type is (op_andi, op_and, op_ori, op_or, op_xor, op_xori, op_nor); type be_array is array(natural range <>) of std_logic_vector(DATA_W/8-1 downto 0); type gmem_be_array is array(natural range <>) of std_logic_vector(GMEM_N_BANK*DATA_W/8-1 downto 0); type sl_array is array(natural range <>) of std_logic; type nat_array is array(natural range <>) of natural; type nat_2d_array is array(natural range <>, natural range <>) of natural; type reg_addr_array is array (natural range <>) of unsigned(REG_FILE_W-1 downto 0); type gmem_word_addr_array is array(natural range <>) of unsigned(GMEM_WORD_ADDR_W-1 downto 0); type gmem_addr_array_no_bank is array (natural range <>) of unsigned(GMEM_WORD_ADDR_W-CACHE_N_BANKS_W-1 downto 0); type alu_en_vec_type is array(natural range <>) of std_logic_vector(CV_SIZE-1 downto 0); type alu_en_rdAddr_type is array(natural range <>) of unsigned(PHASE_W+N_WF_CU_W-1 downto 0); type tag_array is array (natural range <>) of unsigned(TAG_W-1 downto 0); type gmem_word_array is array (natural range <>) of std_logic_vector(DATA_W*GMEM_N_BANK-1 downto 0); type wf_active_array is array (natural range <>) of std_logic_vector(N_WF_CU-1 downto 0); type cache_addr_array is array(natural range <>) of unsigned(M+L-1 downto 0); type cache_word_array is array(natural range <>) of std_logic_vector(CACHE_N_BANKS*DATA_W-1 downto 0); type tag_addr_array is array(natural range <>) of unsigned(M-1 downto 0); type reg_file_block_array is array(natural range<>) of unsigned(REG_FILE_BLOCK_W-1 downto 0); type id_array is array(natural range<>) of std_logic_vector(ID_WIDTH-1 downto 0); type real_array is array (natural range <>) of real; type atomic_sgntr_array is array (natural range <>) of std_logic_vector(N_CU_STATIONS_W-1 downto 0); attribute max_fanout: integer; attribute keep: string; attribute mark_debug : string; impure function init_krnl_ram(file_name : in string) return KRNL_SCHEDULER_RAM_type; impure function init_SLV32_ARRAY_from_file(file_name : in string; len: in natural; file_len: in natural) return SLV32_ARRAY; impure function init_CRAM(file_name : in string; file_len: in natural) return cram_type; function pri_enc(datain: in std_logic_vector) return integer; function max (LEFT, RIGHT: integer) return integer; function min_int (LEFT, RIGHT: integer) return integer; function clogb2 (bit_depth : integer) return integer; --- ISA -------------------------------------------------------------------------------------- constant FAMILY_W : natural := 4; constant CODE_W : natural := 4; constant IMM_ARITH_W : natural := 14; constant IMM_W : natural := 16; constant BRANCH_ADDR_W : natural := 14; constant FAMILY_POS : natural := 28; constant CODE_POS : natural := 24; constant RD_POS : natural := 0; constant RS_POS : natural := 5; constant RT_POS : natural := 10; constant IMM_POS : natural := 10; constant DIM_POS : natural := 5; constant PARAM_POS : natural := 5; constant BRANCH_ADDR_POS : natural := 10; --------------- families constant ADD_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"1"; constant SHF_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"2"; constant LGK_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"3"; constant MOV_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"4"; constant MUL_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"5"; constant BRA_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"6"; constant GLS_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"7"; constant ATO_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"8"; constant CTL_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"9"; constant RTM_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"A"; constant CND_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"B"; constant FLT_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"C"; constant LSI_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"D"; --------------- codes --RTM constant LID : std_logic_vector(CODE_W-1 downto 0) := X"0"; --upper two MSBs indicate if the operation is localdx or offsetdx constant WGOFF : std_logic_vector(CODE_W-1 downto 0) := X"1"; constant SIZE : std_logic_vector(CODE_W-1 downto 0) := X"2"; constant WGID : std_logic_vector(CODE_W-1 downto 0) := X"3"; constant WGSIZE : std_logic_vector(CODE_W-1 downto 0) := X"4"; constant LP : std_logic_vector(CODE_W-1 downto 0) := X"8"; --ADD constant ADD : std_logic_vector(CODE_W-1 downto 0) := "0000"; constant SUB : std_logic_vector(CODE_W-1 downto 0) := "0010"; constant ADDI : std_logic_vector(CODE_W-1 downto 0) := "0001"; constant LI : std_logic_vector(CODE_W-1 downto 0) := "1001"; constant LUI : std_logic_vector(CODE_W-1 downto 0) := "1101"; --MUL constant MACC : std_logic_vector(CODE_W-1 downto 0) := "1000"; --BRA constant BEQ : std_logic_vector(CODE_W-1 downto 0) := "0010"; constant BNE : std_logic_vector(CODE_W-1 downto 0) := "0011"; constant JSUB : std_logic_vector(CODE_W-1 downto 0) := "0100"; --GLS constant LW : std_logic_vector(CODE_W-1 downto 0) := "0100"; constant SW : std_logic_vector(CODE_W-1 downto 0) := "1100"; --CTL constant RET : std_logic_vector(CODE_W-1 downto 0) := "0010"; --SHF constant SLLI : std_logic_vector(CODE_W-1 downto 0) := "0001"; --LGK constant CODE_AND : std_logic_vector(CODE_W-1 downto 0) := "0000"; constant CODE_ANDI : std_logic_vector(CODE_W-1 downto 0) := "0001"; constant CODE_OR : std_logic_vector(CODE_W-1 downto 0) := "0010"; constant CODE_ORI : std_logic_vector(CODE_W-1 downto 0) := "0011"; constant CODE_XOR : std_logic_vector(CODE_W-1 downto 0) := "0100"; constant CODE_XORI : std_logic_vector(CODE_W-1 downto 0) := "0101"; constant CODE_NOR : std_logic_vector(CODE_W-1 downto 0) := "1000"; --ATO constant CODE_AMAX : std_logic_vector(CODE_W-1 downto 0) := "0010"; constant CODE_AADD : std_logic_vector(CODE_W-1 downto 0) := "0001"; type branch_distance_vec is array(natural range <>) of unsigned(BRANCH_ADDR_W-1 downto 0); type code_vec_type is array(natural range <>) of std_logic_vector(CODE_W-1 downto 0); type atomic_type_vec_type is array(natural range <>) of std_logic_vector(2 downto 0); end FGPU_definitions; package body FGPU_definitions is -- function called clogb2 that returns an integer which has the --value of the ceiling of the log base 2 function clogb2 (bit_depth : integer) return integer is variable depth : integer := bit_depth; variable count : integer := 1; begin for clogb2 in 1 to bit_depth loop -- Works for up to 32 bit integers if (bit_depth <= 2) then count := 1; else if(depth <= 1) then count := count; else depth := depth / 2; count := count + 1; end if; end if; end loop; return(count); end; impure function init_krnl_ram(file_name : in string) return KRNL_SCHEDULER_RAM_type is file init_file : text open read_mode is file_name; variable init_line : line; variable temp_bv : bit_vector(DATA_W-1 downto 0); variable temp_mem : KRNL_SCHEDULER_RAM_type; begin for i in 0 to 16*32-1 loop readline(init_file, init_line); hread(init_line, temp_mem(i)); -- read(init_line, temp_bv); -- temp_mem(i) := to_stdlogicvector(temp_bv); end loop; return temp_mem; end function; function max (LEFT, RIGHT: integer) return integer is begin if LEFT > RIGHT then return LEFT; else return RIGHT; end if; end max; function min_int (LEFT, RIGHT: integer) return integer is begin if LEFT > RIGHT then return RIGHT; else return LEFT; end if; end min_int; impure function init_CRAM(file_name : in string; file_len : in natural) return cram_type is file init_file : text open read_mode is file_name; variable init_line : line; variable cram : cram_type; -- variable tmp: std_logic_vector(DATA_W-1 downto 0); begin for i in 0 to file_len-1 loop readline(init_file, init_line); hread(init_line, cram(i)); -- vivado breaks when synthesizing hread(init_line, cram(0)(i)) without giving any indication about the error -- cram(i) := tmp; -- if CRAM_BLOCKS > 1 then -- for j in 1 to max(1,CRAM_BLOCKS-1) loop -- cram(j)(i) := cram(0)(i); -- end loop; -- end if; end loop; return cram; end function; impure function init_SLV32_ARRAY_from_file(file_name : in string; len : in natural; file_len : in natural) return SLV32_ARRAY is file init_file : text open read_mode is file_name; variable init_line : line; variable temp_mem : SLV32_ARRAY(len-1 downto 0); begin for i in 0 to file_len-1 loop readline(init_file, init_line); hread(init_line, temp_mem(i)); end loop; return temp_mem; end function; function pri_enc(datain: in std_logic_vector) return integer is variable res : integer range 0 to datain'high; begin res := 0; for i in datain'high downto 1 loop if datain(i) = '1' then res := i; end if; end loop; return res; end function; end FGPU_definitions;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block WuMWCiAkLtdMT0KUSjifPw7GBWyUd6vGq6zKg7FewqOWkrmzKIS+hPjcUD2D/B1ngg0vxo8+CHeD BIIxY4qSGQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block AOaRJFEWJVJhLWUlmk71gwEjGzhpX6vNPY/WbLFmnGgHR1d3G7MMhTkHbN5gVhUAhVyR/X8u8X+i ux7uzjaFPQmBGjPvFJUf3tO5S5XxQQFeIlQ7qN3sMZC83F2kdbhhSv7YuoxE/9JeOlSDykHwaqVD yOvmciHBZiZ2Kbidxlg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BDufGACpFksjBO+kdmu9k6L2MRYm8xkdulRz+SnYjxtPZaco5gGGBw+GNNkdMWKvo1/7CRx4oY0F NqNLz9qReDl4lsHLfyO/iIhD9aFcPZkoxDCJoDLW5ew9dEure9/JFyp6OIYh0QaYxF1ZJx21QHao yYKM/uAay7yvBWfhLHPwFGmVPVjeno1WP24y/DIqFx0u193AEKFqGFZCCOrBC2LJsr6GCTzf1D1C HuJrva8sAqJBuQZ7LIcAN8EUOXJwVwWljSdPQHCTAGiAXtBLy87OmIYgKXd7ZG065ePEj05rhO99 F40LUGQJ2KmqTB/Cl7lGTw/MdPsvtIPRuEoxAw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block tTt8N5lniGwIq5TvJIZNZ1uVmEnFRCgb1Qwnbcpq4knOBikkoMGG6tKY9QkwG9VnvkRXjaoX6KLX sIe7PrB6htgAPfzBYcCoaAahTYJN0OvcoD94+3KUMNhHCOLwZEgypOgAP3lYWEzZYpUhK8X3Ey3t m4b2EDTVZRlX5jHjCoU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block shYYdoPi6Ei+hAhrwhXV6qIyW2HCrxGmhMknFqmAPZ61IUkWXnZjlDIgs1dI6FCpYf3/2fXH5efg BFyOHMAqSB4LHRVN+tIjG9VZ5w14ZLq1aIr/yjY03zZ391oD2WZGForRoWu8PhCr1kj1qMJ4izG6 KM/DhNPuTGghsUTef1DkQYIx4AKuyhJVYvPX20nXsiLLKa0fCFN+4uGq+pSPFx/AXf1Fn+rJc+2K WxDpaVdBtEQA/K5WyqNEbzxFz+tZFQxN84e3iaeyWrlmTaGyNC6EaELhV0rTKpE1IZqVafxrvfAN lferGcVBP0MjVYpIfla+c3MehucEejeaNS7V1Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5424) `protect data_block /GYgTt0o2fD7kKAM2r09XONuqBIAOlNbOqhY6xKblYp7JOHkDmyV6ZrXC/S0ta2wQTF5asQGZW9T IpWWoxA7nJelYSxwVIcnIXkv/+G9fCnhMPut9Kb1tgMrP8QMqsgBjFKTR/Jhtj8FyXKRChDe2psT tiZoMNJ2pimDck4MmjOeTSRac1Ic9dVmgoQgXcfvcu8NNFzmeRKtMAgF5SlCdZak4gmv7qbH4a68 WRZ+APXJbfD8qnsDH18hc9EbW4CjNcyQKWDKNQiV9CV5zMk9qTCRllNrCXsA2EEfpwZV0FI7RqYX ZANeEsZAyPaafsFpRZkMsZ9PO0izo89bn0krOH4pP4MlJ7mSi1sfGRgb3Oy2OfW/Ez4aNAPl4uYg 8G2eHm92goD55LsYlm0B2UGHk04Q9ZzumO1z6xAkW7TGxB+kS+gGKULfb4cM2JtYqy384cYeyrDr GlbRaD+RU9hfNzXOmNolY+SsBYIlvtXqKBIdk71IjJzJzZSijLw1tanze9evRfX91O56qCueO4f/ F9HjweIc03q1/NwZgoc3Z3Iv1Zi0wn+zVxEefIsa4QvsA/XCNWkJeXcLix5qtlIvDzn843JL3eCF 3qOnAHKUepwWgp45IvRt3whWQQZr4sZ+yZuyIKWDf6a2fboo1spofdDTWZz6YAh/QQuOkTJHpgKY mCaHmw0KZhhrNVe1xaNktb4dU9CBo5CVi9sm9X+21POrk4/PeOcOUZyZpCSpdud/gWHl1kxa2aVO JPSsTG4CjGYEMY3Qhi2JPnFHLu+C06Vvow7Co0O9ikGRc7yv/5V7LWEZEIQHBOpHZ2ZTZeaZ2wAR xNeS8SvGpEQZlWbH6B5XUrTHFIiADdWZh2IOexyGutauIihc4+wAIoSyQiWrDMdMcIWGOJvhyag6 ToFK5PMpR8TYWQbm82CU6IeRyrpDw/Dadbyox/VBu6z0z0lzHOcBW/QTMb/S/9zgbij1ahBTl/gR 4wTqbY0a5DxGcSXLt39r+ZnW3gvbZamsauSWXa7+7QMRl5NIoCeCMRh4jDeJQlkwb1jnoyZwb3Ib FMNOfurMTN5HAFUNnnYrSmjrfphizx1CfSrOg6kjvoFB3igoug9W2RB/G62BRteWc7Xzoqn/U8nX cGXXv0dh+pjqOpaBelL1hLi8ojJ0mMqrwnHwUrXg7xEvTu17J5DNcnBP/cSJm4r8e+RwIqkdB4xg 52raiMpqOX2BEzNYdbmuSAap5Yp+kf7b+DmeEnJGzb5gY8NwbEW7//wC6JD3bW+v4ATiF9MoH2Ht Dt8HG8W58T8FVwV9A4DjwA3fPP3UxA7Uvg/pejZCnWR0vhu/6YH8Oy3eazmX8+c36d0pK7rEtvAh uUSpBEQsQAFWHEqNToJBaAC0ZaJMZ0XYI2PkyJRYkn+9Gl5w0Lhg60c5rfOXqnmwhVfNfBAqdUVO Ku6xvqnIrgcG94gnXAFpDWxIl8fSYXA/tapOmRE15iS+8HJQvAMujOE6azJIYBNfLcRHa/ZKJ1cj uTofRjnpROzr5HwsjxJzP52mmWMLsrJJ6TwmQOAcrU+80vH5PUlJNquecQz95X3CxHrP+Pjhe52E KR6LfWatnqLv5y+owJquzP+qSK/vO7bMyzTee90pVcWzLeduK1UHF/AP0sE2ux5otZ8WuoBoC1+C 7aenOwlX9duuA08TJsnfwX0YjtgAiagQmu+ogKV7vWdLDDDJRr4m7pZ9GM4ovXpc54692gACKmhl oEpyRo6FLlkwyrxPEb31zGbGLcZP6+HkLeyjBEQmd41fTGg3V1AMIoOcbvlcVxv18ceAXW8Z8Ezd zcMkG1SGmNVUTofq/SjV8UFVCYks7cmT2Gb3/eOnURFpGz1ts0XQp7yDA4g88/29aMVM56lieKCs 6ogOYJt/EoCGE+zKtjPgihgm2FpGnVw55nADUeMGdQU/NVmE7zkAE1kCxpcntCw6zZwN9g3yuMMn GAhiRVcRaujW1oJTJanN0GhY6YcSbgzreob4wcY137RgfxHGFs3ImKp/UZj2l76jf9JWb6uqHiof MXHgr2eE4zDtbNAVaPFpjo0aYdMgLh2vKD20hpimJ3NZ2VZfUFDhUBE0/vQQSO7Ht/vnby1DZnol gIcpfUUGft56XnfgNWD6p/Yx0gBVH347Z5p0VJnayuKMmRBmMt7voWULpmO2Lw5teH/aJrWrKgcb O7f2kodErP0iATiqhZFH9/mXRqEw8Q3/WLKgWm0fy87pnE45qwwLypSwgSCR3TlFvHygty/bf6xF vh1yCjkdI1yZtdjLbzUUZLZmWpfBEjVcsUilgfRCBPRRbp9gyXWozTiYPIvPk67ef/NISixPuThl GHmJRNF5jchdikkCZRYdud/FjallQWmT8rIPoiyuBbvH7bV08wVHDSj00VGQ1RrKlLd3JDVktmfh Hyj/MfTf9OXSS7K6EosAng7JeRGYrON2R8vD0+iOIrtMoT+ahzciX2jYMBTZRX8dL653ySKnWKnD yPy4NUYljePa3SDUFmzjpHdn9qtY1hHa/V92Kpt+ryhNNGhW1ZMka+oZhQcv+xYvws+TktVq2DXQ jdFQZCbTdyA5zGV4GofuhmcpaTSFIhukxt832U+lPb+al7ULidHrvZAZBY4Yop1we3YcwqmS3wPb norYItoNOraucbYMzmFmNCuuhB7o/Zn4brvA71fr9ofG/v5BCDf09s302DN6hWKgcJ/qwOy7bxWI k5L1Q5XU6ylqmwZNgmMQnLMnHDA7SB83GUAJ1nk37sHFv+IyLcbhH/b7Cnmp/KzTlUAaEnpwisIP XBuZxtSStsZU+LdjO3UgK5nGztOy7a7cNL9AuQ1pr7WCn9kpP4oDNmjxXlrntWI1Sw5/Kh34onFu FjIj3phgQXX88ASOUVgzd1lGGsKQTJIg7QILW87uyosQtf92uyg4dIUZCMaMQE0vexQI4DKrl94K s/tsejoqkV64tbrLdgHnPmGnwVLqPjXHNi5xX3OgILh/nQPiuaNjgTF9CjeUVhkF3+tPDH4AwymC +VzTgl3R2iu3c4DORevsSKTs83zGCyBvGxCJ9qnyPyrI7M4tn60PvCU2sMd/f5WEApYai4RIldhs 3fiqHz9901/Qyeq2/vLJaeJd9mknCU16NXnZq4ef3RZ6OaU9FXh+dZA4H6wLncvkh3Dq3D8ZaKKm VSSWSMye9kURG3qwjs6MJiGNeiJ0ineQoxHPVBrQ+TPFp5lwV+W7vqxLISWH3Ql9VmqHsO03AnRE clIWn7htymZBKYNu/qy0cjw51XBdO8HbNqaX7vpzW9gnZbtIypOVP+Muwx1JVdCQchYzuSr5J9lP Y9zI302tzko8zWj7c8BtadK/VyGJ9UYgAKdEjCeBsTLcq4zylqjqO0WpGzeU2kXsNF1V4hhUF7eF XzZDo6NGAGCezYBR1ZydjMEP3t90oblV47hOqDV6P1EBM1KcQFtxIgtDYB+seYoJUh0r7O3ETnRy KB0OohkoI32t7o6FUBHnFenypJRJB9oek8gpXIoYiq6ZfvmQwnJ5pNJScDpDvU8tMweYaVBAExVd t3vfJEyj6vfLKeeftpc3IGZ4fx7gmODOdzjKqGDltjE4Rgkbp3wAmKf8NwVuPTIR/lbXkiAhEXJv JC871uyA9/sVh3xL7CfRv6zglSR1/ePyFPlDaPPtb7/ZQyzhqAnxHCrDsk0o/ygzjVbRvnT/fS1p hsVfGjiDC3lpG4AIbMt7hkrG5jDv+ccTEhmai2945vK1DKT15/UkjLbfN02EppcYIAq2ZzRxmO9G ISxJYSZ2KIrKHrKlCS8PV4jvCFcexKRM+71Vicd1MbqDS3H8cUk9EtRk+GQV1FUBPWWbtduBPLk/ sKwQm15sxqP0/VO2JPZZJxMcehbGoVSUQayLYv/DQ1IlGWHVzD8jgqu3gsOx+BCw3kVup5dKWMhN 9yo3EEdqYn0c1d7qc3fAIeD/eCTqqbRpHIVjL29Qj6AfrLL7rdSmeCXF63ueW4BMRfjLdPYxcLvo eJqYHrUoxFk4ZPqeKDJkyDr2ahMwJAi5b8Z41J/ySuE4/CEOkKZQLuBpqPife9FS6UdEjXKC9mHe w7d9L2u5oMmRq61ABZesYc8y1ZsInH0jzyaSgr4plhrpImJnq95bE7lkeX6NW3jkT/lAlATvQQOX ayGx0P7qLIF5BWBvQ7K2KkW7i4XzaUHymi7R9IshD9LAR/w47FXfIwYXW/e4phshrUea50HPXm4w uydzNrt5yktTyr30isqU36rgP+pKTvDvrbyWzpwAP0D6kyh754F6l9U7vDFDahg268tsIGwTZUcG Utm2a7uVh09Wsc83A/KWUWrqMijoGJJSoY+uQK45td/IPhb8FVzlBLe8Q3gqhF1xagPqU7JP7vlQ 4eVYgihdNgt+3eaaNRLoPmDutgo0crICd/AoN8Orm8wFIlkBk9rrTU+dsm4CZmYJqBdACoAyclwB bX8CPO2KldiJDxuS3E9muofSDKr8uLzNk+Yu9jpx49kZTNGgFlPBeFvFAE39SKedLRItmh8uEtbs kSSsBlmzHR7onckVslVwrH9zh2hVTqKmOLC/45si8UrpQoxvfe5Z+gxVpRQyMdWb0rjsKld/L38x 29SOysbkyr1h7obyTAqNf7L9m1oFgF5MANVcBkxhTztlXxPgq33gnpadoRXog6crzB2zat0/0TJK PK6pjy/K4VB7I1SrK5pWMYjHqU5E7nKph5c0xn96gut1x6lHihktxmKCta5Foh+eROUtdULIdWIz UFRZ8ZnsQ4aQv2SlAxOeTqedD4GIr+l4ahpNi2nuc/fEaWvs16Bs9uEAypaDE51QcWdKeoGlgZu1 hYa52ZvNlGMuUtU6fb70XBArwb2/cUgWKIWayJZYcHTyATFlRB/aHsrnDt5n9Z9A642DR7g+iqB5 W6DDvSRNGL6tM9upugmU9Rg+DrpnX2fA0reI2TfvKJgHnlQPKalZQeUH7BlL0gW4DizLSQQ/vtjc wNJeV9csCsNRwLhed6dtY4N6t0dQKFdDMsZPYvmPsiny+q0UY8hxjt7KuYwzphNU3QBZDaDBmQEA VNP96I1I5MVCSQ9sREAbLXkO9uMMAQ3vO95VM4i4VeTyX4qB64uUnBfk8utxDeyHxda5ssUH0sUE 5C6QfUx6cEmqWDKLG+uGYvuycDQZLs080fXk3GKJfCJxpZsZsioPY8ZKCfMGln5PsrMtkRCEP/Ud 4zjBGieJQhwOOW+r3HaPLfLWr3+SrldM92FvG8aokXpSdjaLmbBZiK8rwn6YVuvU0nuqin6ZPR2A zLnZR0xcPg47FSQou4/hvEcLalnD9U/2+cU8tSRi6MhEOLC8jsf0ebXWu8Zu/9Tjzx+GHhOOKjeh PurYRCxINWmksHog/uCvdGvDQxxN8wNbmP+CyMup/KEjiswfvB+1U0g2eTViPxY70OP+WT/0hrZq eCBRgq/TfE806MCId+BCOkf4i+RvcQ1cKAw4xvcT2hmU5bZlERA80rTJBuvYq2sCW4sdIhP3KEpS TjC6bDjRyqQDMWQfyjpsemwLsqu7VvUmyTsvxzUQNxNtKY4EZ8r7pG2XkK1snkBLr+AMT+VDSdyl s1DpdPkXz1aiacZ16PjuRVUFhzk4TGCKZJIvgODhd8Z5KQUlNae7bh3JaEevQECb8+4XRjDIfJ75 zvR5zlyGVtjq+rMVWL8it7v5mh2rRNstaxG+zMtnmjft37tt4MzO2Rr8JKfVGLvWFVTLKzBFTCYH trhwuHBcpac4yiW7jG9mQJkFpDPLa1VJ5fduw4yczkKt4ZNSfzVG0Pvarry7jMoXKUGKKiirAECN WnEv6hCU5TSQFlsHJDdSeRAlwfhL1L4oD31X2OnOHx9NqB006iC0d0tA08Ctux12QuHsEnk/2gc7 nYx2wnFmiVj7lBMbVKB5k78h412KuhKFlGS8h3rF3qhrkY1EAV1BkZcBF85Ua3Zm5U1+ZG7nQzEc LrHxHBekPC7l5uo9y7nkwP9iCzA3DVblx7VnsuFJ8JBJdz5IOqw9TC3qC3GLEFiHp1gFjS2MC2uB Cjta9sP45S+uVnM5U1QmTTB0zYbj4qTybNl327IjubLkTOTALw3Fsth4tIrKaLkPOQu1Ur9felFN E/oWfiY72GG15ih7+dCx1AQF6LpLzApU9/OG5kJl7HYzA5AVuxLWtsl2T3kgRevHATiGfkSJxLZs SoWIO3PehZWPbB5Uvl7tdOc1r4N0pT9HoqNO927f8ES4B+8DEBUBXqBxx8bIrmt3ZizHoN7At03m Zesu1SUJNVli6TUPJMa8w9E2waeWbye+yT7i9Fv3uJFFd8JTLO6yiVA3+1udBA65t5LyP45eRwJd ahVydWisAyKzZ+CYUPzbyRr02zUta6CCxpiEoTAn4ansnUHBBMTHb4LMXx/QQE+MGxhMx+9e+J4a LhKM2X6pw+qsj7TJbTFncq59kFC6XDm2FzuCsfgA3e/YYCPuLGp2R5w4QMILiITXpZRL+nCcD2C5 LBYGjHJBESCn8+/a8/0aWx7Ly7WOVi7fQdm39uWhCf5/uQI0uznRR0ug3X9lpAo6YQpuiTxTu5PB VRKoAXsR589PHi8412SV+6f9itK/cELUwaYbh/snw3bfbyYRPz8fY30TyvqjOkYD35eNu3Ma900X iiFl23e/l6TrGsb4eDhZQFFj5ch6AxOSnKofn76EqVcESkHAWMIdI8P27eBIynhTm6erFvby4Dm7 pOuncmA5s9DtPTMrliMLEOaP5RfHej5O3t0BeABTjvNMeKmjXLYQm+4vbyZ6mLwt+D8N9cL7b/KA EE1VZs/6Q4Z7QDN2aBjEWwh1IQlS3zoBxlhHeXl4OWd77Mv8J7RAUK+XQY4X2tCaxdoy1mxoxly5 t2pGOxno0B9oarGVSO7S+kR8UL1CMr3J4jEWzexbdi9jXzj/0C+tGHpl+iAMPW+lriKAKvy2gku2 14y1ibueS4kBJWkvzhFQZGIdC0IDtz6HeMCNAGVewcjTRu6pA4u9xBAmPyaNqHpzKYxD8w+nvdFc 3R6GkHKdPRm+OZLTjxlqRQwrIjN0MTvK2HYST44wnhDEz9EL9jwImup+FBegth3l6IBqXtfr1fl/ H5hkjIXw8Y17yTT0WtmZfa40w6baeqM1MBm+b/ugiM+6PAQ7NVnYhcp+9gqsTUtNsbxQlIRjBiJd rrOfvXeueLoU `protect end_protected
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1227.vhd,v 1.2 2001-10-26 16:30:30 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s01b00x00p29n01i01227ent IS END c08s01b00x00p29n01i01227ent; ARCHITECTURE c08s01b00x00p29n01i01227arch OF c08s01b00x00p29n01i01227ent IS procedure call_wait (constant dly : in time) is -- -- This procedure simply waits for the time -- specified in its argument. -- begin wait for dly; end call_wait; procedure indirect_wait (constant dly : in time) is -- -- This procedure calls a procedure to wait for the -- time specified in its argument. -- begin call_wait (dly); end indirect_wait; function call_waiter (constant dly : in time) return time is -- -- This function indirectly calls a procedure to wait -- for the time specified in its argument, then -- returns an incremented delay. -- -- This is an illegal operation for a function and -- will probably be caught at runtime. -- begin indirect_wait(dly); return dly * 2; end call_waiter; BEGIN TESTING: PROCESS variable delay : time := 2 ns; BEGIN delay := call_waiter(delay); -- use wait indirectly assert FALSE report "***FAILED TEST: c08s01b00x00p29n01i01227 - Wait statement appears in a procedure that has a parent that is a function subprogram." severity ERROR; wait; END PROCESS TESTING; END c08s01b00x00p29n01i01227arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1227.vhd,v 1.2 2001-10-26 16:30:30 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s01b00x00p29n01i01227ent IS END c08s01b00x00p29n01i01227ent; ARCHITECTURE c08s01b00x00p29n01i01227arch OF c08s01b00x00p29n01i01227ent IS procedure call_wait (constant dly : in time) is -- -- This procedure simply waits for the time -- specified in its argument. -- begin wait for dly; end call_wait; procedure indirect_wait (constant dly : in time) is -- -- This procedure calls a procedure to wait for the -- time specified in its argument. -- begin call_wait (dly); end indirect_wait; function call_waiter (constant dly : in time) return time is -- -- This function indirectly calls a procedure to wait -- for the time specified in its argument, then -- returns an incremented delay. -- -- This is an illegal operation for a function and -- will probably be caught at runtime. -- begin indirect_wait(dly); return dly * 2; end call_waiter; BEGIN TESTING: PROCESS variable delay : time := 2 ns; BEGIN delay := call_waiter(delay); -- use wait indirectly assert FALSE report "***FAILED TEST: c08s01b00x00p29n01i01227 - Wait statement appears in a procedure that has a parent that is a function subprogram." severity ERROR; wait; END PROCESS TESTING; END c08s01b00x00p29n01i01227arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1227.vhd,v 1.2 2001-10-26 16:30:30 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s01b00x00p29n01i01227ent IS END c08s01b00x00p29n01i01227ent; ARCHITECTURE c08s01b00x00p29n01i01227arch OF c08s01b00x00p29n01i01227ent IS procedure call_wait (constant dly : in time) is -- -- This procedure simply waits for the time -- specified in its argument. -- begin wait for dly; end call_wait; procedure indirect_wait (constant dly : in time) is -- -- This procedure calls a procedure to wait for the -- time specified in its argument. -- begin call_wait (dly); end indirect_wait; function call_waiter (constant dly : in time) return time is -- -- This function indirectly calls a procedure to wait -- for the time specified in its argument, then -- returns an incremented delay. -- -- This is an illegal operation for a function and -- will probably be caught at runtime. -- begin indirect_wait(dly); return dly * 2; end call_waiter; BEGIN TESTING: PROCESS variable delay : time := 2 ns; BEGIN delay := call_waiter(delay); -- use wait indirectly assert FALSE report "***FAILED TEST: c08s01b00x00p29n01i01227 - Wait statement appears in a procedure that has a parent that is a function subprogram." severity ERROR; wait; END PROCESS TESTING; END c08s01b00x00p29n01i01227arch;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Mon Feb 27 15:46:53 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- c:/ZyboIP/examples/ov7670_passthrough/ov7670_passthrough.srcs/sources_1/bd/system/ip/system_rgb565_to_rgb888_0_0/system_rgb565_to_rgb888_0_0_sim_netlist.vhdl -- Design : system_rgb565_to_rgb888_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z010clg400-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_rgb565_to_rgb888_0_0 is port ( rgb_565 : in STD_LOGIC_VECTOR ( 15 downto 0 ); rgb_888 : out STD_LOGIC_VECTOR ( 23 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_rgb565_to_rgb888_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_rgb565_to_rgb888_0_0 : entity is "system_rgb565_to_rgb888_0_0,rgb565_to_rgb888,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_rgb565_to_rgb888_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_rgb565_to_rgb888_0_0 : entity is "rgb565_to_rgb888,Vivado 2016.4"; end system_rgb565_to_rgb888_0_0; architecture STRUCTURE of system_rgb565_to_rgb888_0_0 is signal \<const0>\ : STD_LOGIC; signal \^rgb_565\ : STD_LOGIC_VECTOR ( 15 downto 0 ); begin \^rgb_565\(15 downto 0) <= rgb_565(15 downto 0); rgb_888(23 downto 19) <= \^rgb_565\(15 downto 11); rgb_888(18 downto 16) <= \^rgb_565\(15 downto 13); rgb_888(15 downto 10) <= \^rgb_565\(10 downto 5); rgb_888(9 downto 8) <= \^rgb_565\(10 downto 9); rgb_888(7 downto 3) <= \^rgb_565\(4 downto 0); rgb_888(2) <= \<const0>\; rgb_888(1) <= \<const0>\; rgb_888(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); end STRUCTURE;
---------------------------------------------------------------------------------- -- Clarkson University -- EE466/566 Computer Architecture Fall 2016 -- Project Name: Project1, 4-Bit ALU Design -- -- Student Name : Zhiliu Yang -- Student ID : 0754659 -- Major : Electrical and Computer Engineering -- Email : [email protected] -- Instructor Name: Dr. Chen Liu -- Date : 09-25-2016 -- -- Create Date: 09/25/2016 05:35:42 PM -- Design Name: -- Module Name: ALU1Bit - ALU1_Func -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity ALU1Bit is Port ( A : in STD_LOGIC; B : in STD_LOGIC; CIN : in STD_LOGIC; P3 : in STD_LOGIC; -- Control signal 3 P2 : in STD_LOGIC; -- Conrtol signal 2 P1 : in STD_LOGIC; -- Conrtol signal 1 P0 : in STD_LOGIC; -- Conrtol signal 0 F : out STD_LOGIC; COUT : out STD_LOGIC); end ALU1Bit; architecture ALU1_Func of ALU1Bit is component LE is --component declaration of Logic Extender Port ( P3 : in STD_LOGIC; P2 : in STD_LOGIC; P1 : in STD_LOGIC; P0 : in STD_LOGIC; A : in STD_LOGIC; B : in STD_LOGIC; X : out STD_LOGIC); end component LE; component AE is --component declaration of Arithmetic Extender Port ( P3 : in STD_LOGIC; P2 : in STD_LOGIC; P1 : in STD_LOGIC; P0 : in STD_LOGIC; A : in STD_LOGIC; B : in STD_LOGIC; Y : out STD_LOGIC); end component AE; component FullAdder1Bit is --component declaration of 1 bit full adder Port ( A : in STD_LOGIC; B : in STD_LOGIC; CIN : in STD_LOGIC; SUM : out STD_LOGIC; COUT : out STD_LOGIC); end component FullAdder1Bit; signal X_LE_Adder : STD_LOGIC; signal Y_AE_Adder : STD_LOGIC; begin LE1 : LE port map( P3 => P3, P2 => P2, P1 => P1, P0 => P0, A => A , B => B , X => X_LE_Adder); AE1 : AE port map( P3 => P3, P2 => P2, P1 => P1, P0 => P0, A => A , B => B , Y => Y_AE_Adder); FA1 : FullAdder1Bit port map( A => X_LE_Adder, B => Y_AE_Adder, CIN => CIN , SUM => F , COUT => COUT); end ALU1_Func;
---------------------------------------------------------------------------------- -- Clarkson University -- EE466/566 Computer Architecture Fall 2016 -- Project Name: Project1, 4-Bit ALU Design -- -- Student Name : Zhiliu Yang -- Student ID : 0754659 -- Major : Electrical and Computer Engineering -- Email : [email protected] -- Instructor Name: Dr. Chen Liu -- Date : 09-25-2016 -- -- Create Date: 09/25/2016 05:35:42 PM -- Design Name: -- Module Name: ALU1Bit - ALU1_Func -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity ALU1Bit is Port ( A : in STD_LOGIC; B : in STD_LOGIC; CIN : in STD_LOGIC; P3 : in STD_LOGIC; -- Control signal 3 P2 : in STD_LOGIC; -- Conrtol signal 2 P1 : in STD_LOGIC; -- Conrtol signal 1 P0 : in STD_LOGIC; -- Conrtol signal 0 F : out STD_LOGIC; COUT : out STD_LOGIC); end ALU1Bit; architecture ALU1_Func of ALU1Bit is component LE is --component declaration of Logic Extender Port ( P3 : in STD_LOGIC; P2 : in STD_LOGIC; P1 : in STD_LOGIC; P0 : in STD_LOGIC; A : in STD_LOGIC; B : in STD_LOGIC; X : out STD_LOGIC); end component LE; component AE is --component declaration of Arithmetic Extender Port ( P3 : in STD_LOGIC; P2 : in STD_LOGIC; P1 : in STD_LOGIC; P0 : in STD_LOGIC; A : in STD_LOGIC; B : in STD_LOGIC; Y : out STD_LOGIC); end component AE; component FullAdder1Bit is --component declaration of 1 bit full adder Port ( A : in STD_LOGIC; B : in STD_LOGIC; CIN : in STD_LOGIC; SUM : out STD_LOGIC; COUT : out STD_LOGIC); end component FullAdder1Bit; signal X_LE_Adder : STD_LOGIC; signal Y_AE_Adder : STD_LOGIC; begin LE1 : LE port map( P3 => P3, P2 => P2, P1 => P1, P0 => P0, A => A , B => B , X => X_LE_Adder); AE1 : AE port map( P3 => P3, P2 => P2, P1 => P1, P0 => P0, A => A , B => B , Y => Y_AE_Adder); FA1 : FullAdder1Bit port map( A => X_LE_Adder, B => Y_AE_Adder, CIN => CIN , SUM => F , COUT => COUT); end ALU1_Func;
-- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018 -- Date : Mon Sep 16 06:23:47 2019 -- Host : varun-laptop running 64-bit Service Pack 1 (build 7601) -- Command : write_vhdl -force -mode synth_stub -rename_top design_1_rst_ps7_0_50M_0 -prefix -- design_1_rst_ps7_0_50M_0_ design_1_rst_ps7_0_50M_0_stub.vhdl -- Design : design_1_rst_ps7_0_50M_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z010clg400-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity design_1_rst_ps7_0_50M_0 is Port ( slowest_sync_clk : in STD_LOGIC; ext_reset_in : in STD_LOGIC; aux_reset_in : in STD_LOGIC; mb_debug_sys_rst : in STD_LOGIC; dcm_locked : in STD_LOGIC; mb_reset : out STD_LOGIC; bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ) ); end design_1_rst_ps7_0_50M_0; architecture stub of design_1_rst_ps7_0_50M_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "slowest_sync_clk,ext_reset_in,aux_reset_in,mb_debug_sys_rst,dcm_locked,mb_reset,bus_struct_reset[0:0],peripheral_reset[0:0],interconnect_aresetn[0:0],peripheral_aresetn[0:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "proc_sys_reset,Vivado 2018.2"; begin end;
---------------------------------------------------------------------------------- -- Company: UNIVERSITY OF MASSACHUSETTS DARTMOUTH -- Engineer: CHRISTOPHER PARKS ([email protected]) -- -- Create Date: 14:45:47 03/31/2016 -- Design Name: -- Module Name: word_unit - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity word_unit is Port ( DATAIN : in STD_LOGIC_VECTOR (15 downto 0); IMMAddr : in STD_LOGIC_VECTOR (7 downto 0); CLK : in STD_LOGIC; OP : in STD_LOGIC_VECTOR(3 downto 0); -- Pass OP(2) to this (OP=0=Load, OP=1=Write) RESULT : out STD_LOGIC_VECTOR (15 downto 0)); end word_unit; architecture Combinational of word_unit is signal WREN : STD_LOGIC_VECTOR(0 downto 0) := "0"; begin WREN <= "0" when OP = x"9" else -- x"9" is load word "1" when OP = x"A"; -- x"A" is store word DATAMEMORY : entity work.DATAMEM port map(ADDRA => IMMAddr, DINA => DATAIN, WEA => WREN, -- Write enable CLKA => CLK, DOUTA => RESULT); -- When OP = 1 then WRITE is enabled, IMMAddr gives us the address to write to, DATAIN gives us the data to write. RESULT will soon show data written if untouched -- When OP = 0 then WRITE is disabled, DATAIN is ignored, IMMAddr gives us the address to read from, and RESULT is set to the RESULT. end Combinational;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** DP_LNLUT18.VHD *** --*** *** --*** Function: Look Up Table - LN() *** --*** *** --*** Generated by MATLAB Utility *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_lnlut18 IS PORT ( add : IN STD_LOGIC_VECTOR (9 DOWNTO 1); inv : OUT STD_LOGIC_VECTOR (18 DOWNTO 1); logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1) ); END dp_lnlut18; ARCHITECTURE rtl OF dp_lnlut18 IS BEGIN pca: PROCESS (add) BEGIN CASE add IS WHEN "000000000" => inv <= conv_std_logic_vector(131072,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28); logexp <= conv_std_logic_vector(0,11); WHEN "000000001" => inv <= conv_std_logic_vector(262143,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(31,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(268391765,28); logexp <= conv_std_logic_vector(1005,11); WHEN "000000010" => inv <= conv_std_logic_vector(262141,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8388752,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(327672,28); logexp <= conv_std_logic_vector(1006,11); WHEN "000000011" => inv <= conv_std_logic_vector(262139,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4194504,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(666254,28); logexp <= conv_std_logic_vector(1007,11); WHEN "000000100" => inv <= conv_std_logic_vector(262137,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12583304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1889508,28); logexp <= conv_std_logic_vector(1007,11); WHEN "000000101" => inv <= conv_std_logic_vector(262135,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2097476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1982311,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000000110" => inv <= conv_std_logic_vector(262133,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6291940,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3642366,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000000111" => inv <= conv_std_logic_vector(262131,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10486436,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5990414,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000001000" => inv <= conv_std_logic_vector(262129,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14680964,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9223005,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000001001" => inv <= conv_std_logic_vector(262127,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1049154,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(6702808,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001010" => inv <= conv_std_logic_vector(262125,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3146450,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9367390,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001011" => inv <= conv_std_logic_vector(262123,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5243762,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12637977,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001100" => inv <= conv_std_logic_vector(262121,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7341090,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(16612827,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001101" => inv <= conv_std_logic_vector(262119,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9438434,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21341043,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001110" => inv <= conv_std_logic_vector(262117,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11535794,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(26871724,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001111" => inv <= conv_std_logic_vector(262115,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13633170,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33303113,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000010000" => inv <= conv_std_logic_vector(262113,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15730562,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40684301,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000010001" => inv <= conv_std_logic_vector(262111,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(525377,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(24532187,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010010" => inv <= conv_std_logic_vector(262109,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1574089,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29270780,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010011" => inv <= conv_std_logic_vector(262107,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2622809,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34582468,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010100" => inv <= conv_std_logic_vector(262105,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3671537,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40499978,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010101" => inv <= conv_std_logic_vector(262103,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4720273,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47056037,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010110" => inv <= conv_std_logic_vector(262101,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5769017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54283367,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010111" => inv <= conv_std_logic_vector(262099,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6817769,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(62214689,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011000" => inv <= conv_std_logic_vector(262097,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7866529,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70882721,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011001" => inv <= conv_std_logic_vector(262095,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8915297,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80328369,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011010" => inv <= conv_std_logic_vector(262093,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9964073,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90567968,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011011" => inv <= conv_std_logic_vector(262091,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11012857,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(101650610,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011100" => inv <= conv_std_logic_vector(262089,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12061649,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113592623,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011101" => inv <= conv_std_logic_vector(262087,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13110449,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(126443095,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011110" => inv <= conv_std_logic_vector(262085,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14159257,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140226541,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011111" => inv <= conv_std_logic_vector(262083,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15208073,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(154975663,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000100000" => inv <= conv_std_logic_vector(262081,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16256897,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(170731353,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000100001" => inv <= conv_std_logic_vector(262079,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(264256,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227972692,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100010" => inv <= conv_std_logic_vector(262077,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(788676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236897961,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100011" => inv <= conv_std_logic_vector(262075,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1313100,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(246371462,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100100" => inv <= conv_std_logic_vector(262073,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1837528,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256409541,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100101" => inv <= conv_std_logic_vector(262071,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2361960,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(267028543,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100110" => inv <= conv_std_logic_vector(262069,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2886397,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9813450,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100111" => inv <= conv_std_logic_vector(262067,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3410837,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21647423,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101000" => inv <= conv_std_logic_vector(262065,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3935281,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34111345,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101001" => inv <= conv_std_logic_vector(262063,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4459729,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47221557,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101010" => inv <= conv_std_logic_vector(262061,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4984181,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60998494,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101011" => inv <= conv_std_logic_vector(262059,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5508637,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(75454397,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101100" => inv <= conv_std_logic_vector(262057,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6033097,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90605604,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101101" => inv <= conv_std_logic_vector(262055,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6557561,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106472545,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101110" => inv <= conv_std_logic_vector(262053,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7082029,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(123067460,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101111" => inv <= conv_std_logic_vector(262051,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7606501,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140410777,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110000" => inv <= conv_std_logic_vector(262049,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8130977,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(158510638,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110001" => inv <= conv_std_logic_vector(262047,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8655457,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(177395659,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110010" => inv <= conv_std_logic_vector(262045,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9179941,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197069887,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110011" => inv <= conv_std_logic_vector(262043,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9704429,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(217561932,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110100" => inv <= conv_std_logic_vector(262041,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10228921,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238875840,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110101" => inv <= conv_std_logic_vector(262039,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10753417,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(261036127,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110110" => inv <= conv_std_logic_vector(262037,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11277918,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(15623661,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110111" => inv <= conv_std_logic_vector(262035,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11802422,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39521584,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111000" => inv <= conv_std_logic_vector(262033,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12326930,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(64314859,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111001" => inv <= conv_std_logic_vector(262031,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12851442,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90015713,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111010" => inv <= conv_std_logic_vector(262029,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13375958,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(116644561,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111011" => inv <= conv_std_logic_vector(262027,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13900478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144213628,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111100" => inv <= conv_std_logic_vector(262025,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14425002,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(172743328,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111101" => inv <= conv_std_logic_vector(262023,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14949530,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(202249979,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111110" => inv <= conv_std_logic_vector(262021,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15474062,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232745802,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111111" => inv <= conv_std_logic_vector(262019,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15998598,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(264251207,28); logexp <= conv_std_logic_vector(1011,11); WHEN "001000000" => inv <= conv_std_logic_vector(262017,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16523139,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28347053,28); logexp <= conv_std_logic_vector(1011,11); WHEN "001000001" => inv <= conv_std_logic_vector(262015,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(135233,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(165175963,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000010" => inv <= conv_std_logic_vector(262013,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(397507,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(182491979,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000011" => inv <= conv_std_logic_vector(262011,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(659783,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(200343364,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000100" => inv <= conv_std_logic_vector(262009,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(922061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(218740319,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000101" => inv <= conv_std_logic_vector(262007,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1184341,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237688954,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000110" => inv <= conv_std_logic_vector(262005,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1446623,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257201513,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000111" => inv <= conv_std_logic_vector(262003,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1708908,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(8846602,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001000" => inv <= conv_std_logic_vector(262001,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1971194,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29507379,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001001" => inv <= conv_std_logic_vector(261999,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2233482,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50752445,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001010" => inv <= conv_std_logic_vector(261997,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2495772,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72592000,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001011" => inv <= conv_std_logic_vector(261995,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2758064,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(95036240,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001100" => inv <= conv_std_logic_vector(261993,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3020358,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118089223,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001101" => inv <= conv_std_logic_vector(261991,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3282654,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(141761145,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001110" => inv <= conv_std_logic_vector(261989,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3544952,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166062202,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001111" => inv <= conv_std_logic_vector(261987,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3807252,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(190996450,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010000" => inv <= conv_std_logic_vector(261985,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4069554,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216576129,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010001" => inv <= conv_std_logic_vector(261983,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4331858,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242805295,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010010" => inv <= conv_std_logic_vector(261981,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4594165,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1260730,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010011" => inv <= conv_std_logic_vector(261979,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4856473,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28821495,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010100" => inv <= conv_std_logic_vector(261977,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5118783,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57056185,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010101" => inv <= conv_std_logic_vector(261975,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5381095,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85977038,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010110" => inv <= conv_std_logic_vector(261973,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5643409,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(115590153,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010111" => inv <= conv_std_logic_vector(261971,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5905725,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145903675,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011000" => inv <= conv_std_logic_vector(261969,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6168043,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(176927792,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011001" => inv <= conv_std_logic_vector(261967,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6430363,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208668602,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011010" => inv <= conv_std_logic_vector(261965,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6692685,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(241134248,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011011" => inv <= conv_std_logic_vector(261963,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6955010,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5901507,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011100" => inv <= conv_std_logic_vector(261961,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7217336,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39843294,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011101" => inv <= conv_std_logic_vector(261959,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7479664,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(74536387,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011110" => inv <= conv_std_logic_vector(261957,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7741994,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(109988926,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011111" => inv <= conv_std_logic_vector(261955,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8004326,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146207004,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100000" => inv <= conv_std_logic_vector(261953,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8266660,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(183200806,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100001" => inv <= conv_std_logic_vector(261951,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8528996,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(220976425,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100010" => inv <= conv_std_logic_vector(261949,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8791334,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259546091,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100011" => inv <= conv_std_logic_vector(261947,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9053675,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(30478393,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100100" => inv <= conv_std_logic_vector(261945,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9316017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70652380,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100101" => inv <= conv_std_logic_vector(261943,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9578361,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111644825,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100110" => inv <= conv_std_logic_vector(261941,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9840707,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153461817,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100111" => inv <= conv_std_logic_vector(261939,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10103055,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(196109445,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101000" => inv <= conv_std_logic_vector(261937,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10365405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239597890,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101001" => inv <= conv_std_logic_vector(261935,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10627758,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(15499830,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101010" => inv <= conv_std_logic_vector(261933,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10890112,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60696356,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101011" => inv <= conv_std_logic_vector(261931,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11152468,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106756053,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101100" => inv <= conv_std_logic_vector(261929,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11414826,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153689099,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101101" => inv <= conv_std_logic_vector(261927,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11677186,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(201505673,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101110" => inv <= conv_std_logic_vector(261925,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11939548,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(250209813,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101111" => inv <= conv_std_logic_vector(261923,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12201913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31378287,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110000" => inv <= conv_std_logic_vector(261921,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12464279,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(81888089,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110001" => inv <= conv_std_logic_vector(261919,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12726647,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(133313941,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110010" => inv <= conv_std_logic_vector(261917,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12989017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(185659877,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110011" => inv <= conv_std_logic_vector(261915,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13251389,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238938120,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110100" => inv <= conv_std_logic_vector(261913,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13513764,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(24721341,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110101" => inv <= conv_std_logic_vector(261911,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13776140,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(79886532,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110110" => inv <= conv_std_logic_vector(261909,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14038518,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(136006365,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110111" => inv <= conv_std_logic_vector(261907,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14300898,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(193091012,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111000" => inv <= conv_std_logic_vector(261905,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14563280,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251146552,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111001" => inv <= conv_std_logic_vector(261903,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14825665,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(41747701,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111010" => inv <= conv_std_logic_vector(261901,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15088051,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(101773495,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111011" => inv <= conv_std_logic_vector(261899,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15350439,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162796601,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111100" => inv <= conv_std_logic_vector(261897,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15612829,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(224823097,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111101" => inv <= conv_std_logic_vector(261895,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15875222,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19427696,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111110" => inv <= conv_std_logic_vector(261893,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16137616,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83489431,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111111" => inv <= conv_std_logic_vector(261891,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16400012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(148578923,28); logexp <= conv_std_logic_vector(1012,11); WHEN "010000000" => inv <= conv_std_logic_vector(261889,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16662410,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(214708384,28); logexp <= conv_std_logic_vector(1012,11); WHEN "010000001" => inv <= conv_std_logic_vector(261887,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(73797,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140941945,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000010" => inv <= conv_std_logic_vector(261885,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(204998,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(175055756,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000011" => inv <= conv_std_logic_vector(261883,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(336200,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209701733,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000100" => inv <= conv_std_logic_vector(261881,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(467403,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(244882910,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000101" => inv <= conv_std_logic_vector(261879,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(598608,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12167891,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000110" => inv <= conv_std_logic_vector(261877,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(729813,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(48431647,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000111" => inv <= conv_std_logic_vector(261875,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(861019,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85243804,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001000" => inv <= conv_std_logic_vector(261873,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(992226,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(122607396,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001001" => inv <= conv_std_logic_vector(261871,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1123434,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160526482,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001010" => inv <= conv_std_logic_vector(261869,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1254643,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199006142,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001011" => inv <= conv_std_logic_vector(261867,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1385853,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238049411,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001100" => inv <= conv_std_logic_vector(261865,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1517065,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9224891,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001101" => inv <= conv_std_logic_vector(261863,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1648277,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(49409594,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001110" => inv <= conv_std_logic_vector(261861,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1779490,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90169054,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001111" => inv <= conv_std_logic_vector(261859,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1910704,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(131510396,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010000" => inv <= conv_std_logic_vector(261857,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2041919,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173435630,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010001" => inv <= conv_std_logic_vector(261855,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2173135,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(215949833,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010010" => inv <= conv_std_logic_vector(261853,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2304352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259057063,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010011" => inv <= conv_std_logic_vector(261851,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2435571,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34325917,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010100" => inv <= conv_std_logic_vector(261849,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2566790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78630340,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010101" => inv <= conv_std_logic_vector(261847,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2698010,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(123540976,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010110" => inv <= conv_std_logic_vector(261845,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2829231,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(169060858,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010111" => inv <= conv_std_logic_vector(261843,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2960453,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(215194037,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011000" => inv <= conv_std_logic_vector(261841,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3091676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(261943547,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011001" => inv <= conv_std_logic_vector(261839,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3222901,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40881052,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011010" => inv <= conv_std_logic_vector(261837,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3354126,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(88878449,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011011" => inv <= conv_std_logic_vector(261835,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3485352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137506381,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011100" => inv <= conv_std_logic_vector(261833,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3616579,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186767878,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011101" => inv <= conv_std_logic_vector(261831,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3747807,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236666992,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011110" => inv <= conv_std_logic_vector(261829,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3879037,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(18774364,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011111" => inv <= conv_std_logic_vector(261827,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4010267,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(69961890,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100000" => inv <= conv_std_logic_vector(261825,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4141498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(121800212,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100001" => inv <= conv_std_logic_vector(261823,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4272730,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174293379,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100010" => inv <= conv_std_logic_vector(261821,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4403963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227445444,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100011" => inv <= conv_std_logic_vector(261819,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4535198,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12823978,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100100" => inv <= conv_std_logic_vector(261817,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4666433,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(67305989,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100101" => inv <= conv_std_logic_vector(261815,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4797669,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(122458025,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100110" => inv <= conv_std_logic_vector(261813,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4928906,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(178285160,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100111" => inv <= conv_std_logic_vector(261811,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5060144,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234791442,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101000" => inv <= conv_std_logic_vector(261809,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5191384,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(23545465,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101001" => inv <= conv_std_logic_vector(261807,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5322624,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(81422190,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101010" => inv <= conv_std_logic_vector(261805,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5453865,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(139991231,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101011" => inv <= conv_std_logic_vector(261803,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5585107,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199255616,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101100" => inv <= conv_std_logic_vector(261801,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5716350,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259219392,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101101" => inv <= conv_std_logic_vector(261799,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5847595,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(51452173,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101110" => inv <= conv_std_logic_vector(261797,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5978840,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(112827896,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101111" => inv <= conv_std_logic_vector(261795,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6110086,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174915153,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110000" => inv <= conv_std_logic_vector(261793,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6241333,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237719013,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110001" => inv <= conv_std_logic_vector(261791,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6372582,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(32808067,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110010" => inv <= conv_std_logic_vector(261789,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6503831,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97057274,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110011" => inv <= conv_std_logic_vector(261787,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6635081,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162034200,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110100" => inv <= conv_std_logic_vector(261785,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6766332,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227743914,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110101" => inv <= conv_std_logic_vector(261783,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6897585,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(25755007,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110110" => inv <= conv_std_logic_vector(261781,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7028838,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(92942434,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110111" => inv <= conv_std_logic_vector(261779,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7160092,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160875808,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111000" => inv <= conv_std_logic_vector(261777,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7291347,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(229557128,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111001" => inv <= conv_std_logic_vector(261775,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7422604,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(30556006,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111010" => inv <= conv_std_logic_vector(261773,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7553861,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100748419,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111011" => inv <= conv_std_logic_vector(261771,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7685119,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(171701934,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111100" => inv <= conv_std_logic_vector(261769,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7816378,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243420594,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111101" => inv <= conv_std_logic_vector(261767,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7947639,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47474008,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111110" => inv <= conv_std_logic_vector(261765,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8078900,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120736110,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111111" => inv <= conv_std_logic_vector(261763,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8210162,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(194776508,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000000" => inv <= conv_std_logic_vector(261761,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8341426,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1163789,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000001" => inv <= conv_std_logic_vector(261759,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8472690,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(76771885,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000010" => inv <= conv_std_logic_vector(261757,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8603955,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153170403,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000011" => inv <= conv_std_logic_vector(261755,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8735221,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(230363387,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000100" => inv <= conv_std_logic_vector(261753,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8866489,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39919420,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000101" => inv <= conv_std_logic_vector(261751,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8997757,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118713456,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000110" => inv <= conv_std_logic_vector(261749,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9129026,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(198314080,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000111" => inv <= conv_std_logic_vector(261747,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9260297,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(10289876,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001000" => inv <= conv_std_logic_vector(261745,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9391568,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(91515797,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001001" => inv <= conv_std_logic_vector(261743,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9522840,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173561448,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001010" => inv <= conv_std_logic_vector(261741,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9654113,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256429848,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001011" => inv <= conv_std_logic_vector(261739,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9785388,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71690601,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001100" => inv <= conv_std_logic_vector(261737,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9916663,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(156217637,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001101" => inv <= conv_std_logic_vector(261735,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10047939,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(241580560,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001110" => inv <= conv_std_logic_vector(261733,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10179217,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(59346931,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001111" => inv <= conv_std_logic_vector(261731,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10310495,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146392723,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010000" => inv <= conv_std_logic_vector(261729,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10441774,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234286516,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010001" => inv <= conv_std_logic_vector(261727,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10573055,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54596894,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010010" => inv <= conv_std_logic_vector(261725,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10704336,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144198805,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010011" => inv <= conv_std_logic_vector(261723,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10835618,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234660830,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010100" => inv <= conv_std_logic_vector(261721,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10966902,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57551551,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010101" => inv <= conv_std_logic_vector(261719,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11098186,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(149745915,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010110" => inv <= conv_std_logic_vector(261717,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11229471,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242812504,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010111" => inv <= conv_std_logic_vector(261715,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11360758,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68320919,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011000" => inv <= conv_std_logic_vector(261713,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11492045,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(163146107,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011001" => inv <= conv_std_logic_vector(261711,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11623333,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258854605,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011010" => inv <= conv_std_logic_vector(261709,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11754623,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87018057,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011011" => inv <= conv_std_logic_vector(261707,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11885913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(184509366,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011100" => inv <= conv_std_logic_vector(261705,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12017205,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(14462677,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011101" => inv <= conv_std_logic_vector(261703,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12148497,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113752937,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011110" => inv <= conv_std_logic_vector(261701,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12279790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213947700,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011111" => inv <= conv_std_logic_vector(261699,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12411085,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46617589,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100000" => inv <= conv_std_logic_vector(261697,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12542380,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(148636528,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100001" => inv <= conv_std_logic_vector(261695,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12673676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251573093,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100010" => inv <= conv_std_logic_vector(261693,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12804974,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(86995861,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100011" => inv <= conv_std_logic_vector(261691,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12936272,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(191780799,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100100" => inv <= conv_std_logic_vector(261689,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13067572,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29060005,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100101" => inv <= conv_std_logic_vector(261687,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13198872,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135708424,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100110" => inv <= conv_std_logic_vector(261685,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13330173,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243295652,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100111" => inv <= conv_std_logic_vector(261683,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13461476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83390266,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101000" => inv <= conv_std_logic_vector(261681,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13592779,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(192867209,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101001" => inv <= conv_std_logic_vector(261679,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13724084,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34858577,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101010" => inv <= conv_std_logic_vector(261677,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13855389,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146240336,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101011" => inv <= conv_std_logic_vector(261675,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13986695,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258581058,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101100" => inv <= conv_std_logic_vector(261673,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14118003,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(103450342,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101101" => inv <= conv_std_logic_vector(261671,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14249311,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(217721083,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101110" => inv <= conv_std_logic_vector(261669,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14380621,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(64528444,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101111" => inv <= conv_std_logic_vector(261667,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14511931,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(180746345,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110000" => inv <= conv_std_logic_vector(261665,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14643243,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29508923,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110001" => inv <= conv_std_logic_vector(261663,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14774555,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(147690097,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110010" => inv <= conv_std_logic_vector(261661,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14905868,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(266859463,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110011" => inv <= conv_std_logic_vector(261659,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15037183,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118585591,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110100" => inv <= conv_std_logic_vector(261657,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15168498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239742400,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110101" => inv <= conv_std_logic_vector(261655,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15299815,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(93464027,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110110" => inv <= conv_std_logic_vector(261653,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15431132,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216625411,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110111" => inv <= conv_std_logic_vector(261651,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15562451,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72360690,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111000" => inv <= conv_std_logic_vector(261649,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15693770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197542758,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111001" => inv <= conv_std_logic_vector(261647,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15825091,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(55306773,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111010" => inv <= conv_std_logic_vector(261645,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15956412,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(182526652,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111011" => inv <= conv_std_logic_vector(261643,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16087735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42335507,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111100" => inv <= conv_std_logic_vector(261641,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16219058,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(171609300,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111101" => inv <= conv_std_logic_vector(261639,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16350383,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33481142,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111110" => inv <= conv_std_logic_vector(261637,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16481708,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(164824949,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111111" => inv <= conv_std_logic_vector(261635,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16613035,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28774856,28); logexp <= conv_std_logic_vector(1013,11); WHEN "100000000" => inv <= conv_std_logic_vector(261633,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16744362,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162205798,28); logexp <= conv_std_logic_vector(1013,11); WHEN "100000001" => inv <= conv_std_logic_vector(261632,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97880094,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000010" => inv <= conv_std_logic_vector(261630,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(82069,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232492642,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000011" => inv <= conv_std_logic_vector(261628,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(147734,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(233414771,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000100" => inv <= conv_std_logic_vector(261626,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(213400,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100649515,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000101" => inv <= conv_std_logic_vector(261624,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(279066,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(102634341,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000110" => inv <= conv_std_logic_vector(261622,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(344732,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239370238,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000111" => inv <= conv_std_logic_vector(261620,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(410399,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242424784,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001000" => inv <= conv_std_logic_vector(261618,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(476067,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111799989,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001001" => inv <= conv_std_logic_vector(261616,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(541735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(115933322,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001010" => inv <= conv_std_logic_vector(261614,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(607403,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254826281,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001011" => inv <= conv_std_logic_vector(261612,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(673072,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(260045933,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001100" => inv <= conv_std_logic_vector(261610,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(738742,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(131594288,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001101" => inv <= conv_std_logic_vector(261608,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(804412,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137909323,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001110" => inv <= conv_std_logic_vector(261606,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(870083,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(10556570,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001111" => inv <= conv_std_logic_vector(261604,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(935754,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17974519,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010000" => inv <= conv_std_logic_vector(261602,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1001425,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160164667,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010001" => inv <= conv_std_logic_vector(261600,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1067097,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168694080,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010010" => inv <= conv_std_logic_vector(261598,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1132770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(43564256,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010011" => inv <= conv_std_logic_vector(261596,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1198443,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(53213172,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010100" => inv <= conv_std_logic_vector(261594,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1264116,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197642837,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010101" => inv <= conv_std_logic_vector(261592,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1329790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208419294,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010110" => inv <= conv_std_logic_vector(261590,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1395465,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85545574,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010111" => inv <= conv_std_logic_vector(261588,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1461140,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97458630,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011000" => inv <= conv_std_logic_vector(261586,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1526815,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(244160472,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011001" => inv <= conv_std_logic_vector(261584,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1592491,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257217653,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011010" => inv <= conv_std_logic_vector(261582,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1658168,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(136632180,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011011" => inv <= conv_std_logic_vector(261580,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1723845,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(150842541,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011100" => inv <= conv_std_logic_vector(261578,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1789523,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31413754,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011101" => inv <= conv_std_logic_vector(261576,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1855201,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46784817,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011110" => inv <= conv_std_logic_vector(261574,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1920879,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(196956715,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011111" => inv <= conv_std_logic_vector(261572,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1986558,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213496513,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100000" => inv <= conv_std_logic_vector(261570,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2052238,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(96406727,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100001" => inv <= conv_std_logic_vector(261568,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2117918,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(114123801,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100010" => inv <= conv_std_logic_vector(261566,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2183598,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(266650763,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100011" => inv <= conv_std_logic_vector(261564,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2249280,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17118198,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100100" => inv <= conv_std_logic_vector(261562,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2314961,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(170834480,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100101" => inv <= conv_std_logic_vector(261560,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2380643,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(190931217,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100110" => inv <= conv_std_logic_vector(261558,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2446326,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(77410414,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100111" => inv <= conv_std_logic_vector(261556,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2512009,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(98709023,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101000" => inv <= conv_std_logic_vector(261554,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2577692,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254830073,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101001" => inv <= conv_std_logic_vector(261552,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2643377,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(8903637,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101010" => inv <= conv_std_logic_vector(261550,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2709061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166239111,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101011" => inv <= conv_std_logic_vector(261548,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2774746,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(189966567,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101100" => inv <= conv_std_logic_vector(261546,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2840432,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80089032,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101101" => inv <= conv_std_logic_vector(261544,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2906118,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(105043970,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101110" => inv <= conv_std_logic_vector(261542,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2971804,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(264832874,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101111" => inv <= conv_std_logic_vector(261540,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3037492,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(22586838,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110000" => inv <= conv_std_logic_vector(261538,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3103179,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(183615258,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110001" => inv <= conv_std_logic_vector(261536,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3168867,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(211048205,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110010" => inv <= conv_std_logic_vector(261534,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3234556,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(104888194,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110011" => inv <= conv_std_logic_vector(261532,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3300245,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(133573199,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110100" => inv <= conv_std_logic_vector(261530,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3365935,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28668746,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110101" => inv <= conv_std_logic_vector(261528,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3431625,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(58613318,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110110" => inv <= conv_std_logic_vector(261526,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3497315,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(223408408,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110111" => inv <= conv_std_logic_vector(261524,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3563006,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254621075,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111000" => inv <= conv_std_logic_vector(261522,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3628698,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152253325,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111001" => inv <= conv_std_logic_vector(261520,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3694390,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(184742106,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111010" => inv <= conv_std_logic_vector(261518,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3760083,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83654478,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111011" => inv <= conv_std_logic_vector(261516,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3825776,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(117428410,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111100" => inv <= conv_std_logic_vector(261514,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3891470,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17629430,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111101" => inv <= conv_std_logic_vector(261512,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3957164,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52695507,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111110" => inv <= conv_std_logic_vector(261510,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4022858,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(222629156,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111111" => inv <= conv_std_logic_vector(261508,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4088553,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258996925,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000000" => inv <= conv_std_logic_vector(261506,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4154249,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(161800305,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000001" => inv <= conv_std_logic_vector(261504,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4219945,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199477266,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000010" => inv <= conv_std_logic_vector(261502,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4285642,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(103593845,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000011" => inv <= conv_std_logic_vector(261500,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4351339,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(142588522,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000100" => inv <= conv_std_logic_vector(261498,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4417037,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(48026823,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000101" => inv <= conv_std_logic_vector(261496,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4482735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(88347226,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000110" => inv <= conv_std_logic_vector(261494,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4548433,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(263551224,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000111" => inv <= conv_std_logic_vector(261492,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4614133,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(36769906,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001000" => inv <= conv_std_logic_vector(261490,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4679832,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213312155,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001001" => inv <= conv_std_logic_vector(261488,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4745532,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256309059,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001010" => inv <= conv_std_logic_vector(261486,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4811233,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(165762621,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001011" => inv <= conv_std_logic_vector(261484,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4876934,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210109787,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001100" => inv <= conv_std_logic_vector(261482,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4942636,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120917613,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001101" => inv <= conv_std_logic_vector(261480,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5008338,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166624068,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001110" => inv <= conv_std_logic_vector(261478,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5074041,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78795186,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001111" => inv <= conv_std_logic_vector(261476,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5139744,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(125868425,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010000" => inv <= conv_std_logic_vector(261474,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5205448,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39410329,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010001" => inv <= conv_std_logic_vector(261472,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5271152,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87859376,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010010" => inv <= conv_std_logic_vector(261470,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5336857,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(2781090,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010011" => inv <= conv_std_logic_vector(261468,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5402562,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52613438,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010100" => inv <= conv_std_logic_vector(261466,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5468267,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237358419,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010101" => inv <= conv_std_logic_vector(261464,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5533974,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(20147124,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010110" => inv <= conv_std_logic_vector(261462,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5599680,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(206287919,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010111" => inv <= conv_std_logic_vector(261460,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5665387,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258912403,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011000" => inv <= conv_std_logic_vector(261458,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5731095,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(178022066,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011001" => inv <= conv_std_logic_vector(261456,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5796803,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232054873,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011010" => inv <= conv_std_logic_vector(261454,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5862512,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152577368,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011011" => inv <= conv_std_logic_vector(261452,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5928221,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208027007,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011100" => inv <= conv_std_logic_vector(261450,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5993931,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(129969822,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011101" => inv <= conv_std_logic_vector(261448,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6059641,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186844290,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011110" => inv <= conv_std_logic_vector(261446,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6125352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(110216442,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011111" => inv <= conv_std_logic_vector(261444,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6191063,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168523735,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100000" => inv <= conv_std_logic_vector(261442,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6256775,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(93333220,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100001" => inv <= conv_std_logic_vector(261440,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6322487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153082353,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100010" => inv <= conv_std_logic_vector(261438,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6388200,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(79337165,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100011" => inv <= conv_std_logic_vector(261436,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6453913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140535621,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100100" => inv <= conv_std_logic_vector(261434,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6519627,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68244774,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100101" => inv <= conv_std_logic_vector(261432,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6585341,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(130901057,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100110" => inv <= conv_std_logic_vector(261430,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6651056,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60072032,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100111" => inv <= conv_std_logic_vector(261428,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6716771,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(124194644,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101000" => inv <= conv_std_logic_vector(261426,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6782487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54835943,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101001" => inv <= conv_std_logic_vector(261424,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6848203,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120433383,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101010" => inv <= conv_std_logic_vector(261422,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6913920,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52552996,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101011" => inv <= conv_std_logic_vector(261421,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6946778,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(203440839,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101100" => inv <= conv_std_logic_vector(261419,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7012496,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(69566203,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101101" => inv <= conv_std_logic_vector(261417,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7078214,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70654699,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101110" => inv <= conv_std_logic_vector(261415,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7143932,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(206708322,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101111" => inv <= conv_std_logic_vector(261413,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7209651,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209294124,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110000" => inv <= conv_std_logic_vector(261411,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7275371,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78413591,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110001" => inv <= conv_std_logic_vector(261409,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7341091,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(82505197,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110010" => inv <= conv_std_logic_vector(261407,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7406811,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(221569406,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110011" => inv <= conv_std_logic_vector(261405,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7472532,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227174289,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110100" => inv <= conv_std_logic_vector(261403,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7538254,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(99321843,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110101" => inv <= conv_std_logic_vector(261401,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7603976,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106448498,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110110" => inv <= conv_std_logic_vector(261399,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7669698,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(248557271,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110111" => inv <= conv_std_logic_vector(261397,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7735421,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257214192,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111000" => inv <= conv_std_logic_vector(261395,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7801145,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132421765,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111001" => inv <= conv_std_logic_vector(261393,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7866869,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(142616931,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111010" => inv <= conv_std_logic_vector(261391,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7932594,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19367251,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111011" => inv <= conv_std_logic_vector(261389,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7998319,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31109665,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111100" => inv <= conv_std_logic_vector(261387,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8064044,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(177846168,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111101" => inv <= conv_std_logic_vector(261385,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8129770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(191143299,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111110" => inv <= conv_std_logic_vector(261383,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8195497,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71003562,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111111" => inv <= conv_std_logic_vector(261381,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8261224,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85863897,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000000" => inv <= conv_std_logic_vector(261379,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8326951,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(235726809,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000001" => inv <= conv_std_logic_vector(261377,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8392679,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(252159347,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000010" => inv <= conv_std_logic_vector(261375,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8458408,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135162483,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000011" => inv <= conv_std_logic_vector(261373,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8524137,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153174178,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000100" => inv <= conv_std_logic_vector(261371,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8589867,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(37761480,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000101" => inv <= conv_std_logic_vector(261369,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8655597,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57361328,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000110" => inv <= conv_std_logic_vector(261367,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8721327,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(211976226,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000111" => inv <= conv_std_logic_vector(261365,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8787058,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(233172711,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001000" => inv <= conv_std_logic_vector(261363,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8852790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120952266,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001001" => inv <= conv_std_logic_vector(261361,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8918522,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(143753360,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001010" => inv <= conv_std_logic_vector(261359,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8984255,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33142020,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001011" => inv <= conv_std_logic_vector(261357,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9049988,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57555694,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001100" => inv <= conv_std_logic_vector(261355,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9115721,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216996886,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001101" => inv <= conv_std_logic_vector(261353,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9181455,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243031622,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001110" => inv <= conv_std_logic_vector(261351,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9247190,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135662403,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001111" => inv <= conv_std_logic_vector(261349,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9312925,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(163326679,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010000" => inv <= conv_std_logic_vector(261347,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9378661,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57590984,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010001" => inv <= conv_std_logic_vector(261345,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9444397,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(86893278,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010010" => inv <= conv_std_logic_vector(261343,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9510133,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251234532,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010011" => inv <= conv_std_logic_vector(261341,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9575871,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(13747355,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010100" => inv <= conv_std_logic_vector(261339,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9641608,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(179738576,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010101" => inv <= conv_std_logic_vector(261337,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9707346,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(212340806,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010110" => inv <= conv_std_logic_vector(261335,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9773085,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111555525,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010111" => inv <= conv_std_logic_vector(261333,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9838824,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145820180,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011000" => inv <= conv_std_logic_vector(261331,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9904564,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46701306,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011001" => inv <= conv_std_logic_vector(261329,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9970304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(82636350,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011010" => inv <= conv_std_logic_vector(261327,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10036044,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(253627813,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011011" => inv <= conv_std_logic_vector(261325,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10101786,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(22806772,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011100" => inv <= conv_std_logic_vector(261323,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10167527,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195481587,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011101" => inv <= conv_std_logic_vector(261321,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10233269,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234782825,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011110" => inv <= conv_std_logic_vector(261319,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10299012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140713496,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011111" => inv <= conv_std_logic_vector(261317,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10364755,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(181710537,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100000" => inv <= conv_std_logic_vector(261315,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10430499,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(89340991,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100001" => inv <= conv_std_logic_vector(261313,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10496243,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132041793,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100010" => inv <= conv_std_logic_vector(261311,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10561988,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(41379987,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100011" => inv <= conv_std_logic_vector(261309,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10627733,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85793018,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100100" => inv <= conv_std_logic_vector(261307,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10693478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(265282876,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100101" => inv <= conv_std_logic_vector(261305,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10759225,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42980638,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100110" => inv <= conv_std_logic_vector(261303,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10824971,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(224195170,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100111" => inv <= conv_std_logic_vector(261301,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10890719,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3621583,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101000" => inv <= conv_std_logic_vector(261299,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10956466,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186568744,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101001" => inv <= conv_std_logic_vector(261297,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11022214,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236167729,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101010" => inv <= conv_std_logic_vector(261295,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11087963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152420527,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101011" => inv <= conv_std_logic_vector(261293,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11153712,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(203764581,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101100" => inv <= conv_std_logic_vector(261291,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11219462,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(121766424,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101101" => inv <= conv_std_logic_vector(261289,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11285212,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174864010,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101110" => inv <= conv_std_logic_vector(261287,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11350963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94623359,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101111" => inv <= conv_std_logic_vector(261285,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11416714,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(149482427,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110000" => inv <= conv_std_logic_vector(261283,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11482466,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71008255,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110001" => inv <= conv_std_logic_vector(261281,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11548218,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(127637265,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110010" => inv <= conv_std_logic_vector(261279,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11613971,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50936498,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110011" => inv <= conv_std_logic_vector(261277,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11679724,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(109344419,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110100" => inv <= conv_std_logic_vector(261275,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11745478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34426028,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110101" => inv <= conv_std_logic_vector(261273,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11811232,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94620297,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110110" => inv <= conv_std_logic_vector(261271,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11876987,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21492737,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110111" => inv <= conv_std_logic_vector(261269,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11942742,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83481301,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111000" => inv <= conv_std_logic_vector(261267,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12008498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12153029,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111001" => inv <= conv_std_logic_vector(261265,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12074254,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(75944853,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111010" => inv <= conv_std_logic_vector(261263,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12140011,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(6423814,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111011" => inv <= conv_std_logic_vector(261261,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12205768,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72026842,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111100" => inv <= conv_std_logic_vector(261259,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12271526,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(4320978,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111101" => inv <= conv_std_logic_vector(261258,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12304405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21141383,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111110" => inv <= conv_std_logic_vector(261256,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12370163,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(156129342,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111111" => inv <= conv_std_logic_vector(261254,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12435922,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(157813374,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000000" => inv <= conv_std_logic_vector(261252,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12501682,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(26195972,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000001" => inv <= conv_std_logic_vector(261250,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12567442,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29714068,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000010" => inv <= conv_std_logic_vector(261248,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12633202,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168370156,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000011" => inv <= conv_std_logic_vector(261246,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12698963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173730767,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000100" => inv <= conv_std_logic_vector(261244,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12764725,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(45797884,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000101" => inv <= conv_std_logic_vector(261242,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12830487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(53009458,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000110" => inv <= conv_std_logic_vector(261240,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12896249,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195366963,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000111" => inv <= conv_std_logic_vector(261238,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12962012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(204436928,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001000" => inv <= conv_std_logic_vector(261236,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13027776,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80222356,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001001" => inv <= conv_std_logic_vector(261234,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13093540,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(91159668,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001010" => inv <= conv_std_logic_vector(261232,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13159304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237251358,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001011" => inv <= conv_std_logic_vector(261230,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13225069,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(250064462,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001100" => inv <= conv_std_logic_vector(261228,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13290835,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(129600456,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001101" => inv <= conv_std_logic_vector(261226,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13356601,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144297287,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001110" => inv <= conv_std_logic_vector(261224,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13422368,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(25721484,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001111" => inv <= conv_std_logic_vector(261222,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13488135,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42309975,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010000" => inv <= conv_std_logic_vector(261220,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13553902,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(194065764,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010001" => inv <= conv_std_logic_vector(261218,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13619670,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(212554867,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010010" => inv <= conv_std_logic_vector(261216,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13685439,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97779777,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010011" => inv <= conv_std_logic_vector(261214,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13751208,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118177423,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010100" => inv <= conv_std_logic_vector(261212,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13816978,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5314840,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010101" => inv <= conv_std_logic_vector(261210,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13882748,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(27629467,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010110" => inv <= conv_std_logic_vector(261208,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13948518,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(185123287,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010111" => inv <= conv_std_logic_vector(261206,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14014289,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209363335,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011000" => inv <= conv_std_logic_vector(261204,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14080061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100351083,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011001" => inv <= conv_std_logic_vector(261202,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14145833,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(126524479,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011010" => inv <= conv_std_logic_vector(261200,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14211606,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19449539,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011011" => inv <= conv_std_logic_vector(261198,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14277379,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47564719,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011100" => inv <= conv_std_logic_vector(261196,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14343152,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210871490,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011101" => inv <= conv_std_logic_vector(261194,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14408926,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(240936379,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011110" => inv <= conv_std_logic_vector(261192,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14474701,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137761877,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011111" => inv <= conv_std_logic_vector(261190,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14540476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(169784908,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100000" => inv <= conv_std_logic_vector(261188,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14606252,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68573020,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100001" => inv <= conv_std_logic_vector(261186,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14672028,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(102562628,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100010" => inv <= conv_std_logic_vector(261184,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100011" => inv <= conv_std_logic_vector(261182,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14803582,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39285891,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100100" => inv <= conv_std_logic_vector(261180,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14869359,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210458963,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100101" => inv <= conv_std_logic_vector(261178,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14935137,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(248407016,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100110" => inv <= conv_std_logic_vector(261176,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15000916,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153132030,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100111" => inv <= conv_std_logic_vector(261174,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15066695,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(193071440,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101000" => inv <= conv_std_logic_vector(261172,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15132475,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(99791770,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101001" => inv <= conv_std_logic_vector(261170,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15198255,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(141730965,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101010" => inv <= conv_std_logic_vector(261168,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15264036,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50455039,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101011" => inv <= conv_std_logic_vector(261166,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15329817,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94401937,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101100" => inv <= conv_std_logic_vector(261164,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15395599,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5138692,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101101" => inv <= conv_std_logic_vector(261162,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15461381,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(51101718,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101110" => inv <= conv_std_logic_vector(261160,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15527163,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232294014,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101111" => inv <= conv_std_logic_vector(261158,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15592947,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(11846647,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110000" => inv <= conv_std_logic_vector(261156,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15658730,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195067454,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110001" => inv <= conv_std_logic_vector(261154,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15724514,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(245088520,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110010" => inv <= conv_std_logic_vector(261152,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15790299,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(161910803,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110011" => inv <= conv_std_logic_vector(261150,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15856084,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213972758,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110100" => inv <= conv_std_logic_vector(261148,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15921870,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132840397,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110101" => inv <= conv_std_logic_vector(261146,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15987656,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186951663,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110110" => inv <= conv_std_logic_vector(261144,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16053443,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(107873077,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110111" => inv <= conv_std_logic_vector(261142,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16119230,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(164042073,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111000" => inv <= conv_std_logic_vector(261140,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16185018,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87025173,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111001" => inv <= conv_std_logic_vector(261138,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16250806,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145260319,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111010" => inv <= conv_std_logic_vector(261136,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16316595,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70314033,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111011" => inv <= conv_std_logic_vector(261134,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16382384,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(130623237,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111100" => inv <= conv_std_logic_vector(261132,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16448174,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57754962,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111101" => inv <= conv_std_logic_vector(261130,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16513964,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120146640,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111110" => inv <= conv_std_logic_vector(261128,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16579755,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(49365303,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111111" => inv <= conv_std_logic_vector(261126,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16645546,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113847871,28); logexp <= conv_std_logic_vector(1014,11); WHEN others => inv <= conv_std_logic_vector(0,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28); logexp <= conv_std_logic_vector(0,11); END CASE; END PROCESS; END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** DP_LNLUT18.VHD *** --*** *** --*** Function: Look Up Table - LN() *** --*** *** --*** Generated by MATLAB Utility *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_lnlut18 IS PORT ( add : IN STD_LOGIC_VECTOR (9 DOWNTO 1); inv : OUT STD_LOGIC_VECTOR (18 DOWNTO 1); logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1) ); END dp_lnlut18; ARCHITECTURE rtl OF dp_lnlut18 IS BEGIN pca: PROCESS (add) BEGIN CASE add IS WHEN "000000000" => inv <= conv_std_logic_vector(131072,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28); logexp <= conv_std_logic_vector(0,11); WHEN "000000001" => inv <= conv_std_logic_vector(262143,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(31,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(268391765,28); logexp <= conv_std_logic_vector(1005,11); WHEN "000000010" => inv <= conv_std_logic_vector(262141,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8388752,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(327672,28); logexp <= conv_std_logic_vector(1006,11); WHEN "000000011" => inv <= conv_std_logic_vector(262139,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4194504,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(666254,28); logexp <= conv_std_logic_vector(1007,11); WHEN "000000100" => inv <= conv_std_logic_vector(262137,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12583304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1889508,28); logexp <= conv_std_logic_vector(1007,11); WHEN "000000101" => inv <= conv_std_logic_vector(262135,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2097476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1982311,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000000110" => inv <= conv_std_logic_vector(262133,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6291940,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3642366,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000000111" => inv <= conv_std_logic_vector(262131,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10486436,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5990414,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000001000" => inv <= conv_std_logic_vector(262129,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14680964,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9223005,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000001001" => inv <= conv_std_logic_vector(262127,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1049154,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(6702808,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001010" => inv <= conv_std_logic_vector(262125,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3146450,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9367390,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001011" => inv <= conv_std_logic_vector(262123,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5243762,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12637977,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001100" => inv <= conv_std_logic_vector(262121,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7341090,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(16612827,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001101" => inv <= conv_std_logic_vector(262119,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9438434,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21341043,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001110" => inv <= conv_std_logic_vector(262117,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11535794,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(26871724,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001111" => inv <= conv_std_logic_vector(262115,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13633170,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33303113,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000010000" => inv <= conv_std_logic_vector(262113,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15730562,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40684301,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000010001" => inv <= conv_std_logic_vector(262111,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(525377,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(24532187,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010010" => inv <= conv_std_logic_vector(262109,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1574089,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29270780,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010011" => inv <= conv_std_logic_vector(262107,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2622809,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34582468,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010100" => inv <= conv_std_logic_vector(262105,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3671537,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40499978,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010101" => inv <= conv_std_logic_vector(262103,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4720273,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47056037,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010110" => inv <= conv_std_logic_vector(262101,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5769017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54283367,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010111" => inv <= conv_std_logic_vector(262099,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6817769,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(62214689,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011000" => inv <= conv_std_logic_vector(262097,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7866529,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70882721,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011001" => inv <= conv_std_logic_vector(262095,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8915297,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80328369,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011010" => inv <= conv_std_logic_vector(262093,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9964073,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90567968,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011011" => inv <= conv_std_logic_vector(262091,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11012857,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(101650610,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011100" => inv <= conv_std_logic_vector(262089,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12061649,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113592623,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011101" => inv <= conv_std_logic_vector(262087,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13110449,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(126443095,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011110" => inv <= conv_std_logic_vector(262085,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14159257,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140226541,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011111" => inv <= conv_std_logic_vector(262083,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15208073,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(154975663,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000100000" => inv <= conv_std_logic_vector(262081,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16256897,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(170731353,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000100001" => inv <= conv_std_logic_vector(262079,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(264256,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227972692,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100010" => inv <= conv_std_logic_vector(262077,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(788676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236897961,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100011" => inv <= conv_std_logic_vector(262075,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1313100,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(246371462,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100100" => inv <= conv_std_logic_vector(262073,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1837528,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256409541,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100101" => inv <= conv_std_logic_vector(262071,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2361960,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(267028543,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100110" => inv <= conv_std_logic_vector(262069,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2886397,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9813450,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100111" => inv <= conv_std_logic_vector(262067,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3410837,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21647423,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101000" => inv <= conv_std_logic_vector(262065,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3935281,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34111345,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101001" => inv <= conv_std_logic_vector(262063,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4459729,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47221557,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101010" => inv <= conv_std_logic_vector(262061,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4984181,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60998494,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101011" => inv <= conv_std_logic_vector(262059,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5508637,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(75454397,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101100" => inv <= conv_std_logic_vector(262057,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6033097,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90605604,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101101" => inv <= conv_std_logic_vector(262055,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6557561,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106472545,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101110" => inv <= conv_std_logic_vector(262053,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7082029,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(123067460,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101111" => inv <= conv_std_logic_vector(262051,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7606501,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140410777,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110000" => inv <= conv_std_logic_vector(262049,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8130977,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(158510638,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110001" => inv <= conv_std_logic_vector(262047,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8655457,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(177395659,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110010" => inv <= conv_std_logic_vector(262045,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9179941,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197069887,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110011" => inv <= conv_std_logic_vector(262043,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9704429,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(217561932,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110100" => inv <= conv_std_logic_vector(262041,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10228921,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238875840,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110101" => inv <= conv_std_logic_vector(262039,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10753417,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(261036127,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110110" => inv <= conv_std_logic_vector(262037,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11277918,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(15623661,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110111" => inv <= conv_std_logic_vector(262035,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11802422,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39521584,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111000" => inv <= conv_std_logic_vector(262033,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12326930,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(64314859,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111001" => inv <= conv_std_logic_vector(262031,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12851442,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90015713,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111010" => inv <= conv_std_logic_vector(262029,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13375958,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(116644561,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111011" => inv <= conv_std_logic_vector(262027,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13900478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144213628,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111100" => inv <= conv_std_logic_vector(262025,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14425002,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(172743328,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111101" => inv <= conv_std_logic_vector(262023,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14949530,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(202249979,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111110" => inv <= conv_std_logic_vector(262021,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15474062,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232745802,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111111" => inv <= conv_std_logic_vector(262019,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15998598,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(264251207,28); logexp <= conv_std_logic_vector(1011,11); WHEN "001000000" => inv <= conv_std_logic_vector(262017,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16523139,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28347053,28); logexp <= conv_std_logic_vector(1011,11); WHEN "001000001" => inv <= conv_std_logic_vector(262015,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(135233,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(165175963,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000010" => inv <= conv_std_logic_vector(262013,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(397507,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(182491979,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000011" => inv <= conv_std_logic_vector(262011,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(659783,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(200343364,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000100" => inv <= conv_std_logic_vector(262009,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(922061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(218740319,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000101" => inv <= conv_std_logic_vector(262007,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1184341,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237688954,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000110" => inv <= conv_std_logic_vector(262005,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1446623,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257201513,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000111" => inv <= conv_std_logic_vector(262003,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1708908,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(8846602,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001000" => inv <= conv_std_logic_vector(262001,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1971194,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29507379,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001001" => inv <= conv_std_logic_vector(261999,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2233482,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50752445,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001010" => inv <= conv_std_logic_vector(261997,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2495772,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72592000,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001011" => inv <= conv_std_logic_vector(261995,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2758064,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(95036240,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001100" => inv <= conv_std_logic_vector(261993,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3020358,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118089223,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001101" => inv <= conv_std_logic_vector(261991,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3282654,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(141761145,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001110" => inv <= conv_std_logic_vector(261989,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3544952,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166062202,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001111" => inv <= conv_std_logic_vector(261987,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3807252,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(190996450,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010000" => inv <= conv_std_logic_vector(261985,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4069554,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216576129,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010001" => inv <= conv_std_logic_vector(261983,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4331858,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242805295,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010010" => inv <= conv_std_logic_vector(261981,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4594165,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1260730,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010011" => inv <= conv_std_logic_vector(261979,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4856473,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28821495,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010100" => inv <= conv_std_logic_vector(261977,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5118783,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57056185,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010101" => inv <= conv_std_logic_vector(261975,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5381095,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85977038,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010110" => inv <= conv_std_logic_vector(261973,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5643409,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(115590153,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010111" => inv <= conv_std_logic_vector(261971,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5905725,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145903675,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011000" => inv <= conv_std_logic_vector(261969,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6168043,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(176927792,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011001" => inv <= conv_std_logic_vector(261967,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6430363,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208668602,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011010" => inv <= conv_std_logic_vector(261965,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6692685,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(241134248,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011011" => inv <= conv_std_logic_vector(261963,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6955010,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5901507,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011100" => inv <= conv_std_logic_vector(261961,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7217336,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39843294,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011101" => inv <= conv_std_logic_vector(261959,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7479664,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(74536387,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011110" => inv <= conv_std_logic_vector(261957,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7741994,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(109988926,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011111" => inv <= conv_std_logic_vector(261955,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8004326,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146207004,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100000" => inv <= conv_std_logic_vector(261953,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8266660,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(183200806,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100001" => inv <= conv_std_logic_vector(261951,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8528996,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(220976425,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100010" => inv <= conv_std_logic_vector(261949,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8791334,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259546091,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100011" => inv <= conv_std_logic_vector(261947,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9053675,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(30478393,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100100" => inv <= conv_std_logic_vector(261945,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9316017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70652380,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100101" => inv <= conv_std_logic_vector(261943,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9578361,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111644825,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100110" => inv <= conv_std_logic_vector(261941,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9840707,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153461817,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100111" => inv <= conv_std_logic_vector(261939,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10103055,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(196109445,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101000" => inv <= conv_std_logic_vector(261937,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10365405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239597890,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101001" => inv <= conv_std_logic_vector(261935,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10627758,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(15499830,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101010" => inv <= conv_std_logic_vector(261933,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10890112,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60696356,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101011" => inv <= conv_std_logic_vector(261931,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11152468,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106756053,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101100" => inv <= conv_std_logic_vector(261929,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11414826,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153689099,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101101" => inv <= conv_std_logic_vector(261927,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11677186,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(201505673,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101110" => inv <= conv_std_logic_vector(261925,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11939548,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(250209813,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101111" => inv <= conv_std_logic_vector(261923,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12201913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31378287,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110000" => inv <= conv_std_logic_vector(261921,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12464279,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(81888089,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110001" => inv <= conv_std_logic_vector(261919,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12726647,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(133313941,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110010" => inv <= conv_std_logic_vector(261917,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12989017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(185659877,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110011" => inv <= conv_std_logic_vector(261915,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13251389,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238938120,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110100" => inv <= conv_std_logic_vector(261913,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13513764,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(24721341,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110101" => inv <= conv_std_logic_vector(261911,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13776140,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(79886532,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110110" => inv <= conv_std_logic_vector(261909,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14038518,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(136006365,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110111" => inv <= conv_std_logic_vector(261907,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14300898,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(193091012,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111000" => inv <= conv_std_logic_vector(261905,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14563280,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251146552,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111001" => inv <= conv_std_logic_vector(261903,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14825665,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(41747701,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111010" => inv <= conv_std_logic_vector(261901,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15088051,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(101773495,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111011" => inv <= conv_std_logic_vector(261899,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15350439,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162796601,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111100" => inv <= conv_std_logic_vector(261897,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15612829,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(224823097,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111101" => inv <= conv_std_logic_vector(261895,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15875222,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19427696,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111110" => inv <= conv_std_logic_vector(261893,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16137616,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83489431,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111111" => inv <= conv_std_logic_vector(261891,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16400012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(148578923,28); logexp <= conv_std_logic_vector(1012,11); WHEN "010000000" => inv <= conv_std_logic_vector(261889,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16662410,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(214708384,28); logexp <= conv_std_logic_vector(1012,11); WHEN "010000001" => inv <= conv_std_logic_vector(261887,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(73797,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140941945,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000010" => inv <= conv_std_logic_vector(261885,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(204998,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(175055756,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000011" => inv <= conv_std_logic_vector(261883,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(336200,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209701733,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000100" => inv <= conv_std_logic_vector(261881,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(467403,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(244882910,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000101" => inv <= conv_std_logic_vector(261879,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(598608,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12167891,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000110" => inv <= conv_std_logic_vector(261877,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(729813,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(48431647,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000111" => inv <= conv_std_logic_vector(261875,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(861019,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85243804,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001000" => inv <= conv_std_logic_vector(261873,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(992226,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(122607396,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001001" => inv <= conv_std_logic_vector(261871,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1123434,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160526482,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001010" => inv <= conv_std_logic_vector(261869,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1254643,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199006142,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001011" => inv <= conv_std_logic_vector(261867,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1385853,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238049411,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001100" => inv <= conv_std_logic_vector(261865,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1517065,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9224891,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001101" => inv <= conv_std_logic_vector(261863,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1648277,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(49409594,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001110" => inv <= conv_std_logic_vector(261861,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1779490,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90169054,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001111" => inv <= conv_std_logic_vector(261859,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1910704,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(131510396,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010000" => inv <= conv_std_logic_vector(261857,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2041919,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173435630,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010001" => inv <= conv_std_logic_vector(261855,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2173135,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(215949833,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010010" => inv <= conv_std_logic_vector(261853,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2304352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259057063,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010011" => inv <= conv_std_logic_vector(261851,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2435571,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34325917,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010100" => inv <= conv_std_logic_vector(261849,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2566790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78630340,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010101" => inv <= conv_std_logic_vector(261847,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2698010,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(123540976,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010110" => inv <= conv_std_logic_vector(261845,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2829231,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(169060858,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010111" => inv <= conv_std_logic_vector(261843,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2960453,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(215194037,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011000" => inv <= conv_std_logic_vector(261841,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3091676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(261943547,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011001" => inv <= conv_std_logic_vector(261839,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3222901,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40881052,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011010" => inv <= conv_std_logic_vector(261837,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3354126,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(88878449,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011011" => inv <= conv_std_logic_vector(261835,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3485352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137506381,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011100" => inv <= conv_std_logic_vector(261833,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3616579,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186767878,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011101" => inv <= conv_std_logic_vector(261831,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3747807,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236666992,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011110" => inv <= conv_std_logic_vector(261829,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3879037,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(18774364,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011111" => inv <= conv_std_logic_vector(261827,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4010267,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(69961890,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100000" => inv <= conv_std_logic_vector(261825,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4141498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(121800212,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100001" => inv <= conv_std_logic_vector(261823,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4272730,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174293379,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100010" => inv <= conv_std_logic_vector(261821,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4403963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227445444,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100011" => inv <= conv_std_logic_vector(261819,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4535198,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12823978,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100100" => inv <= conv_std_logic_vector(261817,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4666433,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(67305989,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100101" => inv <= conv_std_logic_vector(261815,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4797669,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(122458025,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100110" => inv <= conv_std_logic_vector(261813,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4928906,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(178285160,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100111" => inv <= conv_std_logic_vector(261811,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5060144,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234791442,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101000" => inv <= conv_std_logic_vector(261809,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5191384,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(23545465,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101001" => inv <= conv_std_logic_vector(261807,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5322624,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(81422190,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101010" => inv <= conv_std_logic_vector(261805,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5453865,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(139991231,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101011" => inv <= conv_std_logic_vector(261803,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5585107,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199255616,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101100" => inv <= conv_std_logic_vector(261801,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5716350,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259219392,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101101" => inv <= conv_std_logic_vector(261799,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5847595,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(51452173,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101110" => inv <= conv_std_logic_vector(261797,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5978840,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(112827896,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101111" => inv <= conv_std_logic_vector(261795,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6110086,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174915153,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110000" => inv <= conv_std_logic_vector(261793,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6241333,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237719013,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110001" => inv <= conv_std_logic_vector(261791,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6372582,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(32808067,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110010" => inv <= conv_std_logic_vector(261789,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6503831,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97057274,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110011" => inv <= conv_std_logic_vector(261787,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6635081,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162034200,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110100" => inv <= conv_std_logic_vector(261785,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6766332,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227743914,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110101" => inv <= conv_std_logic_vector(261783,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6897585,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(25755007,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110110" => inv <= conv_std_logic_vector(261781,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7028838,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(92942434,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110111" => inv <= conv_std_logic_vector(261779,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7160092,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160875808,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111000" => inv <= conv_std_logic_vector(261777,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7291347,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(229557128,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111001" => inv <= conv_std_logic_vector(261775,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7422604,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(30556006,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111010" => inv <= conv_std_logic_vector(261773,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7553861,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100748419,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111011" => inv <= conv_std_logic_vector(261771,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7685119,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(171701934,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111100" => inv <= conv_std_logic_vector(261769,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7816378,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243420594,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111101" => inv <= conv_std_logic_vector(261767,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7947639,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47474008,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111110" => inv <= conv_std_logic_vector(261765,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8078900,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120736110,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111111" => inv <= conv_std_logic_vector(261763,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8210162,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(194776508,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000000" => inv <= conv_std_logic_vector(261761,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8341426,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1163789,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000001" => inv <= conv_std_logic_vector(261759,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8472690,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(76771885,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000010" => inv <= conv_std_logic_vector(261757,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8603955,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153170403,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000011" => inv <= conv_std_logic_vector(261755,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8735221,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(230363387,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000100" => inv <= conv_std_logic_vector(261753,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8866489,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39919420,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000101" => inv <= conv_std_logic_vector(261751,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8997757,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118713456,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000110" => inv <= conv_std_logic_vector(261749,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9129026,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(198314080,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000111" => inv <= conv_std_logic_vector(261747,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9260297,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(10289876,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001000" => inv <= conv_std_logic_vector(261745,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9391568,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(91515797,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001001" => inv <= conv_std_logic_vector(261743,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9522840,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173561448,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001010" => inv <= conv_std_logic_vector(261741,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9654113,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256429848,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001011" => inv <= conv_std_logic_vector(261739,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9785388,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71690601,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001100" => inv <= conv_std_logic_vector(261737,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9916663,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(156217637,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001101" => inv <= conv_std_logic_vector(261735,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10047939,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(241580560,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001110" => inv <= conv_std_logic_vector(261733,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10179217,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(59346931,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001111" => inv <= conv_std_logic_vector(261731,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10310495,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146392723,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010000" => inv <= conv_std_logic_vector(261729,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10441774,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234286516,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010001" => inv <= conv_std_logic_vector(261727,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10573055,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54596894,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010010" => inv <= conv_std_logic_vector(261725,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10704336,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144198805,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010011" => inv <= conv_std_logic_vector(261723,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10835618,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234660830,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010100" => inv <= conv_std_logic_vector(261721,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10966902,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57551551,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010101" => inv <= conv_std_logic_vector(261719,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11098186,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(149745915,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010110" => inv <= conv_std_logic_vector(261717,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11229471,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242812504,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010111" => inv <= conv_std_logic_vector(261715,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11360758,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68320919,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011000" => inv <= conv_std_logic_vector(261713,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11492045,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(163146107,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011001" => inv <= conv_std_logic_vector(261711,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11623333,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258854605,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011010" => inv <= conv_std_logic_vector(261709,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11754623,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87018057,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011011" => inv <= conv_std_logic_vector(261707,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11885913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(184509366,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011100" => inv <= conv_std_logic_vector(261705,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12017205,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(14462677,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011101" => inv <= conv_std_logic_vector(261703,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12148497,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113752937,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011110" => inv <= conv_std_logic_vector(261701,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12279790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213947700,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011111" => inv <= conv_std_logic_vector(261699,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12411085,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46617589,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100000" => inv <= conv_std_logic_vector(261697,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12542380,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(148636528,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100001" => inv <= conv_std_logic_vector(261695,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12673676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251573093,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100010" => inv <= conv_std_logic_vector(261693,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12804974,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(86995861,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100011" => inv <= conv_std_logic_vector(261691,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12936272,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(191780799,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100100" => inv <= conv_std_logic_vector(261689,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13067572,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29060005,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100101" => inv <= conv_std_logic_vector(261687,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13198872,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135708424,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100110" => inv <= conv_std_logic_vector(261685,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13330173,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243295652,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100111" => inv <= conv_std_logic_vector(261683,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13461476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83390266,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101000" => inv <= conv_std_logic_vector(261681,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13592779,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(192867209,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101001" => inv <= conv_std_logic_vector(261679,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13724084,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34858577,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101010" => inv <= conv_std_logic_vector(261677,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13855389,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146240336,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101011" => inv <= conv_std_logic_vector(261675,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13986695,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258581058,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101100" => inv <= conv_std_logic_vector(261673,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14118003,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(103450342,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101101" => inv <= conv_std_logic_vector(261671,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14249311,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(217721083,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101110" => inv <= conv_std_logic_vector(261669,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14380621,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(64528444,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101111" => inv <= conv_std_logic_vector(261667,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14511931,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(180746345,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110000" => inv <= conv_std_logic_vector(261665,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14643243,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29508923,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110001" => inv <= conv_std_logic_vector(261663,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14774555,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(147690097,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110010" => inv <= conv_std_logic_vector(261661,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14905868,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(266859463,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110011" => inv <= conv_std_logic_vector(261659,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15037183,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118585591,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110100" => inv <= conv_std_logic_vector(261657,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15168498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239742400,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110101" => inv <= conv_std_logic_vector(261655,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15299815,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(93464027,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110110" => inv <= conv_std_logic_vector(261653,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15431132,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216625411,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110111" => inv <= conv_std_logic_vector(261651,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15562451,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72360690,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111000" => inv <= conv_std_logic_vector(261649,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15693770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197542758,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111001" => inv <= conv_std_logic_vector(261647,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15825091,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(55306773,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111010" => inv <= conv_std_logic_vector(261645,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15956412,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(182526652,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111011" => inv <= conv_std_logic_vector(261643,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16087735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42335507,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111100" => inv <= conv_std_logic_vector(261641,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16219058,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(171609300,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111101" => inv <= conv_std_logic_vector(261639,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16350383,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33481142,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111110" => inv <= conv_std_logic_vector(261637,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16481708,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(164824949,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111111" => inv <= conv_std_logic_vector(261635,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16613035,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28774856,28); logexp <= conv_std_logic_vector(1013,11); WHEN "100000000" => inv <= conv_std_logic_vector(261633,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16744362,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162205798,28); logexp <= conv_std_logic_vector(1013,11); WHEN "100000001" => inv <= conv_std_logic_vector(261632,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97880094,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000010" => inv <= conv_std_logic_vector(261630,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(82069,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232492642,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000011" => inv <= conv_std_logic_vector(261628,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(147734,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(233414771,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000100" => inv <= conv_std_logic_vector(261626,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(213400,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100649515,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000101" => inv <= conv_std_logic_vector(261624,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(279066,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(102634341,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000110" => inv <= conv_std_logic_vector(261622,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(344732,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239370238,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000111" => inv <= conv_std_logic_vector(261620,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(410399,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242424784,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001000" => inv <= conv_std_logic_vector(261618,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(476067,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111799989,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001001" => inv <= conv_std_logic_vector(261616,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(541735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(115933322,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001010" => inv <= conv_std_logic_vector(261614,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(607403,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254826281,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001011" => inv <= conv_std_logic_vector(261612,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(673072,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(260045933,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001100" => inv <= conv_std_logic_vector(261610,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(738742,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(131594288,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001101" => inv <= conv_std_logic_vector(261608,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(804412,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137909323,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001110" => inv <= conv_std_logic_vector(261606,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(870083,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(10556570,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001111" => inv <= conv_std_logic_vector(261604,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(935754,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17974519,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010000" => inv <= conv_std_logic_vector(261602,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1001425,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160164667,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010001" => inv <= conv_std_logic_vector(261600,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1067097,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168694080,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010010" => inv <= conv_std_logic_vector(261598,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1132770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(43564256,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010011" => inv <= conv_std_logic_vector(261596,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1198443,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(53213172,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010100" => inv <= conv_std_logic_vector(261594,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1264116,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197642837,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010101" => inv <= conv_std_logic_vector(261592,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1329790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208419294,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010110" => inv <= conv_std_logic_vector(261590,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1395465,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85545574,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010111" => inv <= conv_std_logic_vector(261588,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1461140,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97458630,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011000" => inv <= conv_std_logic_vector(261586,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1526815,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(244160472,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011001" => inv <= conv_std_logic_vector(261584,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1592491,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257217653,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011010" => inv <= conv_std_logic_vector(261582,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1658168,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(136632180,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011011" => inv <= conv_std_logic_vector(261580,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1723845,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(150842541,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011100" => inv <= conv_std_logic_vector(261578,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1789523,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31413754,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011101" => inv <= conv_std_logic_vector(261576,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1855201,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46784817,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011110" => inv <= conv_std_logic_vector(261574,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1920879,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(196956715,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011111" => inv <= conv_std_logic_vector(261572,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1986558,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213496513,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100000" => inv <= conv_std_logic_vector(261570,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2052238,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(96406727,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100001" => inv <= conv_std_logic_vector(261568,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2117918,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(114123801,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100010" => inv <= conv_std_logic_vector(261566,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2183598,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(266650763,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100011" => inv <= conv_std_logic_vector(261564,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2249280,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17118198,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100100" => inv <= conv_std_logic_vector(261562,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2314961,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(170834480,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100101" => inv <= conv_std_logic_vector(261560,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2380643,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(190931217,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100110" => inv <= conv_std_logic_vector(261558,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2446326,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(77410414,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100111" => inv <= conv_std_logic_vector(261556,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2512009,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(98709023,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101000" => inv <= conv_std_logic_vector(261554,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2577692,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254830073,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101001" => inv <= conv_std_logic_vector(261552,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2643377,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(8903637,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101010" => inv <= conv_std_logic_vector(261550,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2709061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166239111,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101011" => inv <= conv_std_logic_vector(261548,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2774746,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(189966567,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101100" => inv <= conv_std_logic_vector(261546,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2840432,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80089032,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101101" => inv <= conv_std_logic_vector(261544,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2906118,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(105043970,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101110" => inv <= conv_std_logic_vector(261542,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2971804,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(264832874,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101111" => inv <= conv_std_logic_vector(261540,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3037492,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(22586838,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110000" => inv <= conv_std_logic_vector(261538,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3103179,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(183615258,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110001" => inv <= conv_std_logic_vector(261536,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3168867,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(211048205,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110010" => inv <= conv_std_logic_vector(261534,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3234556,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(104888194,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110011" => inv <= conv_std_logic_vector(261532,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3300245,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(133573199,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110100" => inv <= conv_std_logic_vector(261530,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3365935,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28668746,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110101" => inv <= conv_std_logic_vector(261528,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3431625,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(58613318,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110110" => inv <= conv_std_logic_vector(261526,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3497315,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(223408408,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110111" => inv <= conv_std_logic_vector(261524,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3563006,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254621075,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111000" => inv <= conv_std_logic_vector(261522,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3628698,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152253325,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111001" => inv <= conv_std_logic_vector(261520,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3694390,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(184742106,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111010" => inv <= conv_std_logic_vector(261518,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3760083,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83654478,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111011" => inv <= conv_std_logic_vector(261516,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3825776,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(117428410,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111100" => inv <= conv_std_logic_vector(261514,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3891470,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17629430,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111101" => inv <= conv_std_logic_vector(261512,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3957164,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52695507,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111110" => inv <= conv_std_logic_vector(261510,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4022858,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(222629156,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111111" => inv <= conv_std_logic_vector(261508,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4088553,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258996925,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000000" => inv <= conv_std_logic_vector(261506,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4154249,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(161800305,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000001" => inv <= conv_std_logic_vector(261504,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4219945,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199477266,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000010" => inv <= conv_std_logic_vector(261502,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4285642,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(103593845,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000011" => inv <= conv_std_logic_vector(261500,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4351339,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(142588522,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000100" => inv <= conv_std_logic_vector(261498,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4417037,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(48026823,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000101" => inv <= conv_std_logic_vector(261496,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4482735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(88347226,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000110" => inv <= conv_std_logic_vector(261494,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4548433,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(263551224,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000111" => inv <= conv_std_logic_vector(261492,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4614133,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(36769906,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001000" => inv <= conv_std_logic_vector(261490,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4679832,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213312155,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001001" => inv <= conv_std_logic_vector(261488,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4745532,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256309059,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001010" => inv <= conv_std_logic_vector(261486,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4811233,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(165762621,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001011" => inv <= conv_std_logic_vector(261484,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4876934,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210109787,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001100" => inv <= conv_std_logic_vector(261482,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4942636,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120917613,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001101" => inv <= conv_std_logic_vector(261480,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5008338,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166624068,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001110" => inv <= conv_std_logic_vector(261478,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5074041,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78795186,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001111" => inv <= conv_std_logic_vector(261476,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5139744,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(125868425,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010000" => inv <= conv_std_logic_vector(261474,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5205448,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39410329,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010001" => inv <= conv_std_logic_vector(261472,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5271152,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87859376,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010010" => inv <= conv_std_logic_vector(261470,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5336857,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(2781090,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010011" => inv <= conv_std_logic_vector(261468,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5402562,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52613438,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010100" => inv <= conv_std_logic_vector(261466,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5468267,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237358419,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010101" => inv <= conv_std_logic_vector(261464,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5533974,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(20147124,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010110" => inv <= conv_std_logic_vector(261462,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5599680,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(206287919,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010111" => inv <= conv_std_logic_vector(261460,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5665387,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258912403,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011000" => inv <= conv_std_logic_vector(261458,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5731095,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(178022066,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011001" => inv <= conv_std_logic_vector(261456,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5796803,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232054873,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011010" => inv <= conv_std_logic_vector(261454,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5862512,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152577368,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011011" => inv <= conv_std_logic_vector(261452,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5928221,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208027007,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011100" => inv <= conv_std_logic_vector(261450,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5993931,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(129969822,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011101" => inv <= conv_std_logic_vector(261448,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6059641,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186844290,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011110" => inv <= conv_std_logic_vector(261446,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6125352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(110216442,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011111" => inv <= conv_std_logic_vector(261444,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6191063,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168523735,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100000" => inv <= conv_std_logic_vector(261442,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6256775,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(93333220,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100001" => inv <= conv_std_logic_vector(261440,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6322487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153082353,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100010" => inv <= conv_std_logic_vector(261438,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6388200,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(79337165,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100011" => inv <= conv_std_logic_vector(261436,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6453913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140535621,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100100" => inv <= conv_std_logic_vector(261434,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6519627,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68244774,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100101" => inv <= conv_std_logic_vector(261432,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6585341,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(130901057,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100110" => inv <= conv_std_logic_vector(261430,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6651056,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60072032,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100111" => inv <= conv_std_logic_vector(261428,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6716771,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(124194644,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101000" => inv <= conv_std_logic_vector(261426,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6782487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54835943,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101001" => inv <= conv_std_logic_vector(261424,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6848203,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120433383,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101010" => inv <= conv_std_logic_vector(261422,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6913920,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52552996,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101011" => inv <= conv_std_logic_vector(261421,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6946778,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(203440839,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101100" => inv <= conv_std_logic_vector(261419,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7012496,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(69566203,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101101" => inv <= conv_std_logic_vector(261417,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7078214,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70654699,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101110" => inv <= conv_std_logic_vector(261415,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7143932,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(206708322,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101111" => inv <= conv_std_logic_vector(261413,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7209651,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209294124,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110000" => inv <= conv_std_logic_vector(261411,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7275371,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78413591,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110001" => inv <= conv_std_logic_vector(261409,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7341091,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(82505197,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110010" => inv <= conv_std_logic_vector(261407,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7406811,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(221569406,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110011" => inv <= conv_std_logic_vector(261405,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7472532,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227174289,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110100" => inv <= conv_std_logic_vector(261403,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7538254,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(99321843,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110101" => inv <= conv_std_logic_vector(261401,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7603976,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106448498,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110110" => inv <= conv_std_logic_vector(261399,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7669698,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(248557271,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110111" => inv <= conv_std_logic_vector(261397,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7735421,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257214192,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111000" => inv <= conv_std_logic_vector(261395,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7801145,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132421765,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111001" => inv <= conv_std_logic_vector(261393,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7866869,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(142616931,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111010" => inv <= conv_std_logic_vector(261391,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7932594,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19367251,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111011" => inv <= conv_std_logic_vector(261389,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7998319,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31109665,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111100" => inv <= conv_std_logic_vector(261387,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8064044,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(177846168,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111101" => inv <= conv_std_logic_vector(261385,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8129770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(191143299,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111110" => inv <= conv_std_logic_vector(261383,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8195497,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71003562,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111111" => inv <= conv_std_logic_vector(261381,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8261224,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85863897,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000000" => inv <= conv_std_logic_vector(261379,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8326951,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(235726809,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000001" => inv <= conv_std_logic_vector(261377,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8392679,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(252159347,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000010" => inv <= conv_std_logic_vector(261375,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8458408,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135162483,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000011" => inv <= conv_std_logic_vector(261373,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8524137,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153174178,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000100" => inv <= conv_std_logic_vector(261371,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8589867,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(37761480,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000101" => inv <= conv_std_logic_vector(261369,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8655597,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57361328,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000110" => inv <= conv_std_logic_vector(261367,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8721327,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(211976226,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000111" => inv <= conv_std_logic_vector(261365,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8787058,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(233172711,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001000" => inv <= conv_std_logic_vector(261363,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8852790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120952266,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001001" => inv <= conv_std_logic_vector(261361,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8918522,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(143753360,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001010" => inv <= conv_std_logic_vector(261359,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8984255,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33142020,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001011" => inv <= conv_std_logic_vector(261357,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9049988,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57555694,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001100" => inv <= conv_std_logic_vector(261355,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9115721,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216996886,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001101" => inv <= conv_std_logic_vector(261353,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9181455,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243031622,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001110" => inv <= conv_std_logic_vector(261351,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9247190,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135662403,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001111" => inv <= conv_std_logic_vector(261349,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9312925,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(163326679,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010000" => inv <= conv_std_logic_vector(261347,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9378661,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57590984,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010001" => inv <= conv_std_logic_vector(261345,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9444397,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(86893278,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010010" => inv <= conv_std_logic_vector(261343,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9510133,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251234532,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010011" => inv <= conv_std_logic_vector(261341,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9575871,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(13747355,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010100" => inv <= conv_std_logic_vector(261339,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9641608,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(179738576,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010101" => inv <= conv_std_logic_vector(261337,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9707346,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(212340806,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010110" => inv <= conv_std_logic_vector(261335,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9773085,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111555525,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010111" => inv <= conv_std_logic_vector(261333,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9838824,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145820180,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011000" => inv <= conv_std_logic_vector(261331,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9904564,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46701306,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011001" => inv <= conv_std_logic_vector(261329,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9970304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(82636350,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011010" => inv <= conv_std_logic_vector(261327,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10036044,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(253627813,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011011" => inv <= conv_std_logic_vector(261325,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10101786,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(22806772,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011100" => inv <= conv_std_logic_vector(261323,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10167527,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195481587,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011101" => inv <= conv_std_logic_vector(261321,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10233269,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234782825,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011110" => inv <= conv_std_logic_vector(261319,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10299012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140713496,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011111" => inv <= conv_std_logic_vector(261317,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10364755,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(181710537,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100000" => inv <= conv_std_logic_vector(261315,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10430499,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(89340991,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100001" => inv <= conv_std_logic_vector(261313,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10496243,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132041793,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100010" => inv <= conv_std_logic_vector(261311,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10561988,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(41379987,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100011" => inv <= conv_std_logic_vector(261309,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10627733,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85793018,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100100" => inv <= conv_std_logic_vector(261307,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10693478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(265282876,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100101" => inv <= conv_std_logic_vector(261305,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10759225,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42980638,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100110" => inv <= conv_std_logic_vector(261303,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10824971,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(224195170,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100111" => inv <= conv_std_logic_vector(261301,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10890719,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3621583,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101000" => inv <= conv_std_logic_vector(261299,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10956466,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186568744,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101001" => inv <= conv_std_logic_vector(261297,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11022214,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236167729,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101010" => inv <= conv_std_logic_vector(261295,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11087963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152420527,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101011" => inv <= conv_std_logic_vector(261293,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11153712,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(203764581,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101100" => inv <= conv_std_logic_vector(261291,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11219462,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(121766424,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101101" => inv <= conv_std_logic_vector(261289,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11285212,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174864010,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101110" => inv <= conv_std_logic_vector(261287,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11350963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94623359,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101111" => inv <= conv_std_logic_vector(261285,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11416714,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(149482427,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110000" => inv <= conv_std_logic_vector(261283,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11482466,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71008255,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110001" => inv <= conv_std_logic_vector(261281,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11548218,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(127637265,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110010" => inv <= conv_std_logic_vector(261279,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11613971,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50936498,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110011" => inv <= conv_std_logic_vector(261277,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11679724,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(109344419,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110100" => inv <= conv_std_logic_vector(261275,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11745478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34426028,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110101" => inv <= conv_std_logic_vector(261273,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11811232,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94620297,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110110" => inv <= conv_std_logic_vector(261271,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11876987,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21492737,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110111" => inv <= conv_std_logic_vector(261269,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11942742,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83481301,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111000" => inv <= conv_std_logic_vector(261267,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12008498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12153029,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111001" => inv <= conv_std_logic_vector(261265,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12074254,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(75944853,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111010" => inv <= conv_std_logic_vector(261263,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12140011,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(6423814,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111011" => inv <= conv_std_logic_vector(261261,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12205768,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72026842,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111100" => inv <= conv_std_logic_vector(261259,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12271526,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(4320978,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111101" => inv <= conv_std_logic_vector(261258,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12304405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21141383,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111110" => inv <= conv_std_logic_vector(261256,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12370163,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(156129342,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111111" => inv <= conv_std_logic_vector(261254,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12435922,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(157813374,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000000" => inv <= conv_std_logic_vector(261252,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12501682,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(26195972,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000001" => inv <= conv_std_logic_vector(261250,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12567442,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29714068,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000010" => inv <= conv_std_logic_vector(261248,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12633202,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168370156,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000011" => inv <= conv_std_logic_vector(261246,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12698963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173730767,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000100" => inv <= conv_std_logic_vector(261244,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12764725,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(45797884,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000101" => inv <= conv_std_logic_vector(261242,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12830487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(53009458,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000110" => inv <= conv_std_logic_vector(261240,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12896249,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195366963,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000111" => inv <= conv_std_logic_vector(261238,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12962012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(204436928,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001000" => inv <= conv_std_logic_vector(261236,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13027776,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80222356,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001001" => inv <= conv_std_logic_vector(261234,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13093540,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(91159668,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001010" => inv <= conv_std_logic_vector(261232,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13159304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237251358,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001011" => inv <= conv_std_logic_vector(261230,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13225069,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(250064462,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001100" => inv <= conv_std_logic_vector(261228,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13290835,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(129600456,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001101" => inv <= conv_std_logic_vector(261226,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13356601,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144297287,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001110" => inv <= conv_std_logic_vector(261224,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13422368,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(25721484,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001111" => inv <= conv_std_logic_vector(261222,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13488135,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42309975,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010000" => inv <= conv_std_logic_vector(261220,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13553902,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(194065764,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010001" => inv <= conv_std_logic_vector(261218,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13619670,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(212554867,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010010" => inv <= conv_std_logic_vector(261216,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13685439,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97779777,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010011" => inv <= conv_std_logic_vector(261214,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13751208,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118177423,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010100" => inv <= conv_std_logic_vector(261212,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13816978,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5314840,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010101" => inv <= conv_std_logic_vector(261210,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13882748,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(27629467,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010110" => inv <= conv_std_logic_vector(261208,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13948518,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(185123287,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010111" => inv <= conv_std_logic_vector(261206,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14014289,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209363335,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011000" => inv <= conv_std_logic_vector(261204,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14080061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100351083,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011001" => inv <= conv_std_logic_vector(261202,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14145833,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(126524479,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011010" => inv <= conv_std_logic_vector(261200,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14211606,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19449539,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011011" => inv <= conv_std_logic_vector(261198,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14277379,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47564719,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011100" => inv <= conv_std_logic_vector(261196,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14343152,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210871490,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011101" => inv <= conv_std_logic_vector(261194,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14408926,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(240936379,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011110" => inv <= conv_std_logic_vector(261192,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14474701,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137761877,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011111" => inv <= conv_std_logic_vector(261190,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14540476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(169784908,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100000" => inv <= conv_std_logic_vector(261188,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14606252,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68573020,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100001" => inv <= conv_std_logic_vector(261186,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14672028,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(102562628,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100010" => inv <= conv_std_logic_vector(261184,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100011" => inv <= conv_std_logic_vector(261182,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14803582,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39285891,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100100" => inv <= conv_std_logic_vector(261180,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14869359,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210458963,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100101" => inv <= conv_std_logic_vector(261178,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14935137,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(248407016,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100110" => inv <= conv_std_logic_vector(261176,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15000916,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153132030,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100111" => inv <= conv_std_logic_vector(261174,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15066695,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(193071440,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101000" => inv <= conv_std_logic_vector(261172,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15132475,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(99791770,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101001" => inv <= conv_std_logic_vector(261170,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15198255,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(141730965,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101010" => inv <= conv_std_logic_vector(261168,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15264036,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50455039,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101011" => inv <= conv_std_logic_vector(261166,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15329817,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94401937,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101100" => inv <= conv_std_logic_vector(261164,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15395599,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5138692,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101101" => inv <= conv_std_logic_vector(261162,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15461381,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(51101718,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101110" => inv <= conv_std_logic_vector(261160,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15527163,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232294014,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101111" => inv <= conv_std_logic_vector(261158,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15592947,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(11846647,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110000" => inv <= conv_std_logic_vector(261156,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15658730,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195067454,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110001" => inv <= conv_std_logic_vector(261154,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15724514,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(245088520,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110010" => inv <= conv_std_logic_vector(261152,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15790299,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(161910803,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110011" => inv <= conv_std_logic_vector(261150,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15856084,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213972758,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110100" => inv <= conv_std_logic_vector(261148,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15921870,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132840397,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110101" => inv <= conv_std_logic_vector(261146,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15987656,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186951663,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110110" => inv <= conv_std_logic_vector(261144,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16053443,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(107873077,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110111" => inv <= conv_std_logic_vector(261142,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16119230,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(164042073,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111000" => inv <= conv_std_logic_vector(261140,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16185018,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87025173,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111001" => inv <= conv_std_logic_vector(261138,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16250806,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145260319,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111010" => inv <= conv_std_logic_vector(261136,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16316595,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70314033,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111011" => inv <= conv_std_logic_vector(261134,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16382384,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(130623237,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111100" => inv <= conv_std_logic_vector(261132,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16448174,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57754962,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111101" => inv <= conv_std_logic_vector(261130,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16513964,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120146640,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111110" => inv <= conv_std_logic_vector(261128,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16579755,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(49365303,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111111" => inv <= conv_std_logic_vector(261126,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16645546,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113847871,28); logexp <= conv_std_logic_vector(1014,11); WHEN others => inv <= conv_std_logic_vector(0,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28); logexp <= conv_std_logic_vector(0,11); END CASE; END PROCESS; END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** DP_LNLUT18.VHD *** --*** *** --*** Function: Look Up Table - LN() *** --*** *** --*** Generated by MATLAB Utility *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_lnlut18 IS PORT ( add : IN STD_LOGIC_VECTOR (9 DOWNTO 1); inv : OUT STD_LOGIC_VECTOR (18 DOWNTO 1); logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1) ); END dp_lnlut18; ARCHITECTURE rtl OF dp_lnlut18 IS BEGIN pca: PROCESS (add) BEGIN CASE add IS WHEN "000000000" => inv <= conv_std_logic_vector(131072,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28); logexp <= conv_std_logic_vector(0,11); WHEN "000000001" => inv <= conv_std_logic_vector(262143,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(31,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(268391765,28); logexp <= conv_std_logic_vector(1005,11); WHEN "000000010" => inv <= conv_std_logic_vector(262141,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8388752,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(327672,28); logexp <= conv_std_logic_vector(1006,11); WHEN "000000011" => inv <= conv_std_logic_vector(262139,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4194504,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(666254,28); logexp <= conv_std_logic_vector(1007,11); WHEN "000000100" => inv <= conv_std_logic_vector(262137,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12583304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1889508,28); logexp <= conv_std_logic_vector(1007,11); WHEN "000000101" => inv <= conv_std_logic_vector(262135,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2097476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1982311,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000000110" => inv <= conv_std_logic_vector(262133,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6291940,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3642366,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000000111" => inv <= conv_std_logic_vector(262131,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10486436,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5990414,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000001000" => inv <= conv_std_logic_vector(262129,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14680964,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9223005,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000001001" => inv <= conv_std_logic_vector(262127,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1049154,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(6702808,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001010" => inv <= conv_std_logic_vector(262125,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3146450,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9367390,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001011" => inv <= conv_std_logic_vector(262123,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5243762,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12637977,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001100" => inv <= conv_std_logic_vector(262121,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7341090,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(16612827,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001101" => inv <= conv_std_logic_vector(262119,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9438434,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21341043,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001110" => inv <= conv_std_logic_vector(262117,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11535794,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(26871724,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001111" => inv <= conv_std_logic_vector(262115,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13633170,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33303113,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000010000" => inv <= conv_std_logic_vector(262113,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15730562,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40684301,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000010001" => inv <= conv_std_logic_vector(262111,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(525377,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(24532187,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010010" => inv <= conv_std_logic_vector(262109,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1574089,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29270780,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010011" => inv <= conv_std_logic_vector(262107,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2622809,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34582468,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010100" => inv <= conv_std_logic_vector(262105,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3671537,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40499978,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010101" => inv <= conv_std_logic_vector(262103,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4720273,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47056037,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010110" => inv <= conv_std_logic_vector(262101,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5769017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54283367,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010111" => inv <= conv_std_logic_vector(262099,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6817769,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(62214689,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011000" => inv <= conv_std_logic_vector(262097,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7866529,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70882721,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011001" => inv <= conv_std_logic_vector(262095,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8915297,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80328369,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011010" => inv <= conv_std_logic_vector(262093,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9964073,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90567968,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011011" => inv <= conv_std_logic_vector(262091,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11012857,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(101650610,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011100" => inv <= conv_std_logic_vector(262089,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12061649,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113592623,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011101" => inv <= conv_std_logic_vector(262087,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13110449,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(126443095,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011110" => inv <= conv_std_logic_vector(262085,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14159257,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140226541,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011111" => inv <= conv_std_logic_vector(262083,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15208073,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(154975663,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000100000" => inv <= conv_std_logic_vector(262081,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16256897,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(170731353,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000100001" => inv <= conv_std_logic_vector(262079,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(264256,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227972692,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100010" => inv <= conv_std_logic_vector(262077,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(788676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236897961,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100011" => inv <= conv_std_logic_vector(262075,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1313100,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(246371462,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100100" => inv <= conv_std_logic_vector(262073,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1837528,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256409541,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100101" => inv <= conv_std_logic_vector(262071,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2361960,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(267028543,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100110" => inv <= conv_std_logic_vector(262069,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2886397,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9813450,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100111" => inv <= conv_std_logic_vector(262067,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3410837,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21647423,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101000" => inv <= conv_std_logic_vector(262065,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3935281,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34111345,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101001" => inv <= conv_std_logic_vector(262063,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4459729,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47221557,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101010" => inv <= conv_std_logic_vector(262061,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4984181,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60998494,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101011" => inv <= conv_std_logic_vector(262059,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5508637,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(75454397,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101100" => inv <= conv_std_logic_vector(262057,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6033097,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90605604,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101101" => inv <= conv_std_logic_vector(262055,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6557561,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106472545,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101110" => inv <= conv_std_logic_vector(262053,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7082029,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(123067460,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101111" => inv <= conv_std_logic_vector(262051,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7606501,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140410777,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110000" => inv <= conv_std_logic_vector(262049,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8130977,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(158510638,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110001" => inv <= conv_std_logic_vector(262047,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8655457,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(177395659,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110010" => inv <= conv_std_logic_vector(262045,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9179941,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197069887,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110011" => inv <= conv_std_logic_vector(262043,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9704429,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(217561932,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110100" => inv <= conv_std_logic_vector(262041,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10228921,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238875840,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110101" => inv <= conv_std_logic_vector(262039,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10753417,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(261036127,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110110" => inv <= conv_std_logic_vector(262037,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11277918,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(15623661,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110111" => inv <= conv_std_logic_vector(262035,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11802422,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39521584,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111000" => inv <= conv_std_logic_vector(262033,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12326930,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(64314859,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111001" => inv <= conv_std_logic_vector(262031,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12851442,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90015713,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111010" => inv <= conv_std_logic_vector(262029,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13375958,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(116644561,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111011" => inv <= conv_std_logic_vector(262027,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13900478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144213628,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111100" => inv <= conv_std_logic_vector(262025,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14425002,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(172743328,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111101" => inv <= conv_std_logic_vector(262023,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14949530,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(202249979,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111110" => inv <= conv_std_logic_vector(262021,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15474062,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232745802,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111111" => inv <= conv_std_logic_vector(262019,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15998598,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(264251207,28); logexp <= conv_std_logic_vector(1011,11); WHEN "001000000" => inv <= conv_std_logic_vector(262017,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16523139,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28347053,28); logexp <= conv_std_logic_vector(1011,11); WHEN "001000001" => inv <= conv_std_logic_vector(262015,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(135233,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(165175963,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000010" => inv <= conv_std_logic_vector(262013,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(397507,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(182491979,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000011" => inv <= conv_std_logic_vector(262011,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(659783,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(200343364,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000100" => inv <= conv_std_logic_vector(262009,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(922061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(218740319,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000101" => inv <= conv_std_logic_vector(262007,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1184341,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237688954,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000110" => inv <= conv_std_logic_vector(262005,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1446623,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257201513,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000111" => inv <= conv_std_logic_vector(262003,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1708908,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(8846602,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001000" => inv <= conv_std_logic_vector(262001,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1971194,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29507379,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001001" => inv <= conv_std_logic_vector(261999,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2233482,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50752445,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001010" => inv <= conv_std_logic_vector(261997,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2495772,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72592000,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001011" => inv <= conv_std_logic_vector(261995,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2758064,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(95036240,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001100" => inv <= conv_std_logic_vector(261993,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3020358,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118089223,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001101" => inv <= conv_std_logic_vector(261991,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3282654,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(141761145,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001110" => inv <= conv_std_logic_vector(261989,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3544952,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166062202,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001111" => inv <= conv_std_logic_vector(261987,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3807252,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(190996450,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010000" => inv <= conv_std_logic_vector(261985,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4069554,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216576129,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010001" => inv <= conv_std_logic_vector(261983,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4331858,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242805295,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010010" => inv <= conv_std_logic_vector(261981,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4594165,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1260730,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010011" => inv <= conv_std_logic_vector(261979,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4856473,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28821495,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010100" => inv <= conv_std_logic_vector(261977,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5118783,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57056185,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010101" => inv <= conv_std_logic_vector(261975,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5381095,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85977038,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010110" => inv <= conv_std_logic_vector(261973,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5643409,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(115590153,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010111" => inv <= conv_std_logic_vector(261971,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5905725,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145903675,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011000" => inv <= conv_std_logic_vector(261969,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6168043,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(176927792,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011001" => inv <= conv_std_logic_vector(261967,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6430363,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208668602,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011010" => inv <= conv_std_logic_vector(261965,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6692685,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(241134248,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011011" => inv <= conv_std_logic_vector(261963,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6955010,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5901507,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011100" => inv <= conv_std_logic_vector(261961,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7217336,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39843294,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011101" => inv <= conv_std_logic_vector(261959,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7479664,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(74536387,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011110" => inv <= conv_std_logic_vector(261957,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7741994,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(109988926,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011111" => inv <= conv_std_logic_vector(261955,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8004326,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146207004,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100000" => inv <= conv_std_logic_vector(261953,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8266660,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(183200806,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100001" => inv <= conv_std_logic_vector(261951,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8528996,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(220976425,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100010" => inv <= conv_std_logic_vector(261949,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8791334,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259546091,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100011" => inv <= conv_std_logic_vector(261947,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9053675,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(30478393,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100100" => inv <= conv_std_logic_vector(261945,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9316017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70652380,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100101" => inv <= conv_std_logic_vector(261943,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9578361,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111644825,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100110" => inv <= conv_std_logic_vector(261941,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9840707,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153461817,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100111" => inv <= conv_std_logic_vector(261939,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10103055,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(196109445,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101000" => inv <= conv_std_logic_vector(261937,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10365405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239597890,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101001" => inv <= conv_std_logic_vector(261935,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10627758,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(15499830,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101010" => inv <= conv_std_logic_vector(261933,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10890112,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60696356,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101011" => inv <= conv_std_logic_vector(261931,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11152468,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106756053,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101100" => inv <= conv_std_logic_vector(261929,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11414826,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153689099,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101101" => inv <= conv_std_logic_vector(261927,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11677186,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(201505673,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101110" => inv <= conv_std_logic_vector(261925,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11939548,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(250209813,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101111" => inv <= conv_std_logic_vector(261923,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12201913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31378287,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110000" => inv <= conv_std_logic_vector(261921,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12464279,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(81888089,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110001" => inv <= conv_std_logic_vector(261919,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12726647,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(133313941,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110010" => inv <= conv_std_logic_vector(261917,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12989017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(185659877,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110011" => inv <= conv_std_logic_vector(261915,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13251389,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238938120,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110100" => inv <= conv_std_logic_vector(261913,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13513764,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(24721341,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110101" => inv <= conv_std_logic_vector(261911,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13776140,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(79886532,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110110" => inv <= conv_std_logic_vector(261909,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14038518,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(136006365,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110111" => inv <= conv_std_logic_vector(261907,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14300898,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(193091012,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111000" => inv <= conv_std_logic_vector(261905,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14563280,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251146552,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111001" => inv <= conv_std_logic_vector(261903,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14825665,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(41747701,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111010" => inv <= conv_std_logic_vector(261901,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15088051,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(101773495,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111011" => inv <= conv_std_logic_vector(261899,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15350439,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162796601,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111100" => inv <= conv_std_logic_vector(261897,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15612829,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(224823097,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111101" => inv <= conv_std_logic_vector(261895,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15875222,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19427696,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111110" => inv <= conv_std_logic_vector(261893,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16137616,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83489431,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111111" => inv <= conv_std_logic_vector(261891,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16400012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(148578923,28); logexp <= conv_std_logic_vector(1012,11); WHEN "010000000" => inv <= conv_std_logic_vector(261889,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16662410,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(214708384,28); logexp <= conv_std_logic_vector(1012,11); WHEN "010000001" => inv <= conv_std_logic_vector(261887,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(73797,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140941945,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000010" => inv <= conv_std_logic_vector(261885,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(204998,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(175055756,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000011" => inv <= conv_std_logic_vector(261883,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(336200,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209701733,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000100" => inv <= conv_std_logic_vector(261881,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(467403,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(244882910,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000101" => inv <= conv_std_logic_vector(261879,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(598608,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12167891,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000110" => inv <= conv_std_logic_vector(261877,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(729813,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(48431647,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000111" => inv <= conv_std_logic_vector(261875,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(861019,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85243804,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001000" => inv <= conv_std_logic_vector(261873,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(992226,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(122607396,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001001" => inv <= conv_std_logic_vector(261871,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1123434,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160526482,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001010" => inv <= conv_std_logic_vector(261869,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1254643,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199006142,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001011" => inv <= conv_std_logic_vector(261867,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1385853,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238049411,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001100" => inv <= conv_std_logic_vector(261865,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1517065,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9224891,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001101" => inv <= conv_std_logic_vector(261863,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1648277,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(49409594,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001110" => inv <= conv_std_logic_vector(261861,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1779490,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90169054,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001111" => inv <= conv_std_logic_vector(261859,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1910704,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(131510396,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010000" => inv <= conv_std_logic_vector(261857,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2041919,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173435630,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010001" => inv <= conv_std_logic_vector(261855,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2173135,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(215949833,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010010" => inv <= conv_std_logic_vector(261853,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2304352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259057063,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010011" => inv <= conv_std_logic_vector(261851,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2435571,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34325917,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010100" => inv <= conv_std_logic_vector(261849,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2566790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78630340,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010101" => inv <= conv_std_logic_vector(261847,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2698010,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(123540976,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010110" => inv <= conv_std_logic_vector(261845,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2829231,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(169060858,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010111" => inv <= conv_std_logic_vector(261843,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2960453,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(215194037,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011000" => inv <= conv_std_logic_vector(261841,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3091676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(261943547,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011001" => inv <= conv_std_logic_vector(261839,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3222901,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40881052,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011010" => inv <= conv_std_logic_vector(261837,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3354126,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(88878449,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011011" => inv <= conv_std_logic_vector(261835,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3485352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137506381,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011100" => inv <= conv_std_logic_vector(261833,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3616579,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186767878,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011101" => inv <= conv_std_logic_vector(261831,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3747807,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236666992,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011110" => inv <= conv_std_logic_vector(261829,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3879037,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(18774364,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011111" => inv <= conv_std_logic_vector(261827,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4010267,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(69961890,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100000" => inv <= conv_std_logic_vector(261825,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4141498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(121800212,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100001" => inv <= conv_std_logic_vector(261823,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4272730,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174293379,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100010" => inv <= conv_std_logic_vector(261821,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4403963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227445444,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100011" => inv <= conv_std_logic_vector(261819,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4535198,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12823978,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100100" => inv <= conv_std_logic_vector(261817,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4666433,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(67305989,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100101" => inv <= conv_std_logic_vector(261815,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4797669,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(122458025,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100110" => inv <= conv_std_logic_vector(261813,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4928906,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(178285160,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100111" => inv <= conv_std_logic_vector(261811,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5060144,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234791442,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101000" => inv <= conv_std_logic_vector(261809,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5191384,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(23545465,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101001" => inv <= conv_std_logic_vector(261807,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5322624,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(81422190,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101010" => inv <= conv_std_logic_vector(261805,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5453865,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(139991231,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101011" => inv <= conv_std_logic_vector(261803,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5585107,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199255616,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101100" => inv <= conv_std_logic_vector(261801,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5716350,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259219392,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101101" => inv <= conv_std_logic_vector(261799,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5847595,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(51452173,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101110" => inv <= conv_std_logic_vector(261797,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5978840,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(112827896,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101111" => inv <= conv_std_logic_vector(261795,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6110086,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174915153,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110000" => inv <= conv_std_logic_vector(261793,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6241333,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237719013,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110001" => inv <= conv_std_logic_vector(261791,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6372582,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(32808067,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110010" => inv <= conv_std_logic_vector(261789,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6503831,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97057274,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110011" => inv <= conv_std_logic_vector(261787,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6635081,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162034200,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110100" => inv <= conv_std_logic_vector(261785,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6766332,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227743914,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110101" => inv <= conv_std_logic_vector(261783,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6897585,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(25755007,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110110" => inv <= conv_std_logic_vector(261781,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7028838,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(92942434,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110111" => inv <= conv_std_logic_vector(261779,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7160092,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160875808,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111000" => inv <= conv_std_logic_vector(261777,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7291347,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(229557128,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111001" => inv <= conv_std_logic_vector(261775,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7422604,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(30556006,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111010" => inv <= conv_std_logic_vector(261773,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7553861,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100748419,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111011" => inv <= conv_std_logic_vector(261771,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7685119,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(171701934,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111100" => inv <= conv_std_logic_vector(261769,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7816378,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243420594,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111101" => inv <= conv_std_logic_vector(261767,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7947639,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47474008,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111110" => inv <= conv_std_logic_vector(261765,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8078900,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120736110,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111111" => inv <= conv_std_logic_vector(261763,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8210162,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(194776508,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000000" => inv <= conv_std_logic_vector(261761,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8341426,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1163789,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000001" => inv <= conv_std_logic_vector(261759,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8472690,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(76771885,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000010" => inv <= conv_std_logic_vector(261757,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8603955,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153170403,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000011" => inv <= conv_std_logic_vector(261755,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8735221,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(230363387,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000100" => inv <= conv_std_logic_vector(261753,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8866489,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39919420,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000101" => inv <= conv_std_logic_vector(261751,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8997757,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118713456,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000110" => inv <= conv_std_logic_vector(261749,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9129026,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(198314080,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000111" => inv <= conv_std_logic_vector(261747,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9260297,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(10289876,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001000" => inv <= conv_std_logic_vector(261745,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9391568,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(91515797,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001001" => inv <= conv_std_logic_vector(261743,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9522840,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173561448,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001010" => inv <= conv_std_logic_vector(261741,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9654113,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256429848,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001011" => inv <= conv_std_logic_vector(261739,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9785388,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71690601,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001100" => inv <= conv_std_logic_vector(261737,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9916663,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(156217637,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001101" => inv <= conv_std_logic_vector(261735,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10047939,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(241580560,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001110" => inv <= conv_std_logic_vector(261733,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10179217,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(59346931,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001111" => inv <= conv_std_logic_vector(261731,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10310495,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146392723,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010000" => inv <= conv_std_logic_vector(261729,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10441774,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234286516,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010001" => inv <= conv_std_logic_vector(261727,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10573055,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54596894,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010010" => inv <= conv_std_logic_vector(261725,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10704336,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144198805,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010011" => inv <= conv_std_logic_vector(261723,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10835618,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234660830,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010100" => inv <= conv_std_logic_vector(261721,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10966902,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57551551,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010101" => inv <= conv_std_logic_vector(261719,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11098186,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(149745915,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010110" => inv <= conv_std_logic_vector(261717,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11229471,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242812504,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010111" => inv <= conv_std_logic_vector(261715,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11360758,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68320919,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011000" => inv <= conv_std_logic_vector(261713,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11492045,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(163146107,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011001" => inv <= conv_std_logic_vector(261711,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11623333,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258854605,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011010" => inv <= conv_std_logic_vector(261709,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11754623,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87018057,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011011" => inv <= conv_std_logic_vector(261707,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11885913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(184509366,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011100" => inv <= conv_std_logic_vector(261705,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12017205,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(14462677,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011101" => inv <= conv_std_logic_vector(261703,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12148497,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113752937,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011110" => inv <= conv_std_logic_vector(261701,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12279790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213947700,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011111" => inv <= conv_std_logic_vector(261699,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12411085,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46617589,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100000" => inv <= conv_std_logic_vector(261697,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12542380,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(148636528,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100001" => inv <= conv_std_logic_vector(261695,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12673676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251573093,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100010" => inv <= conv_std_logic_vector(261693,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12804974,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(86995861,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100011" => inv <= conv_std_logic_vector(261691,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12936272,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(191780799,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100100" => inv <= conv_std_logic_vector(261689,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13067572,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29060005,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100101" => inv <= conv_std_logic_vector(261687,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13198872,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135708424,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100110" => inv <= conv_std_logic_vector(261685,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13330173,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243295652,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100111" => inv <= conv_std_logic_vector(261683,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13461476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83390266,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101000" => inv <= conv_std_logic_vector(261681,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13592779,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(192867209,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101001" => inv <= conv_std_logic_vector(261679,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13724084,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34858577,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101010" => inv <= conv_std_logic_vector(261677,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13855389,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146240336,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101011" => inv <= conv_std_logic_vector(261675,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13986695,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258581058,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101100" => inv <= conv_std_logic_vector(261673,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14118003,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(103450342,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101101" => inv <= conv_std_logic_vector(261671,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14249311,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(217721083,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101110" => inv <= conv_std_logic_vector(261669,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14380621,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(64528444,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101111" => inv <= conv_std_logic_vector(261667,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14511931,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(180746345,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110000" => inv <= conv_std_logic_vector(261665,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14643243,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29508923,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110001" => inv <= conv_std_logic_vector(261663,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14774555,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(147690097,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110010" => inv <= conv_std_logic_vector(261661,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14905868,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(266859463,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110011" => inv <= conv_std_logic_vector(261659,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15037183,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118585591,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110100" => inv <= conv_std_logic_vector(261657,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15168498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239742400,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110101" => inv <= conv_std_logic_vector(261655,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15299815,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(93464027,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110110" => inv <= conv_std_logic_vector(261653,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15431132,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216625411,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110111" => inv <= conv_std_logic_vector(261651,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15562451,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72360690,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111000" => inv <= conv_std_logic_vector(261649,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15693770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197542758,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111001" => inv <= conv_std_logic_vector(261647,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15825091,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(55306773,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111010" => inv <= conv_std_logic_vector(261645,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15956412,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(182526652,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111011" => inv <= conv_std_logic_vector(261643,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16087735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42335507,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111100" => inv <= conv_std_logic_vector(261641,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16219058,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(171609300,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111101" => inv <= conv_std_logic_vector(261639,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16350383,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33481142,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111110" => inv <= conv_std_logic_vector(261637,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16481708,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(164824949,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111111" => inv <= conv_std_logic_vector(261635,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16613035,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28774856,28); logexp <= conv_std_logic_vector(1013,11); WHEN "100000000" => inv <= conv_std_logic_vector(261633,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16744362,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162205798,28); logexp <= conv_std_logic_vector(1013,11); WHEN "100000001" => inv <= conv_std_logic_vector(261632,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97880094,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000010" => inv <= conv_std_logic_vector(261630,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(82069,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232492642,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000011" => inv <= conv_std_logic_vector(261628,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(147734,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(233414771,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000100" => inv <= conv_std_logic_vector(261626,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(213400,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100649515,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000101" => inv <= conv_std_logic_vector(261624,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(279066,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(102634341,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000110" => inv <= conv_std_logic_vector(261622,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(344732,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239370238,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000111" => inv <= conv_std_logic_vector(261620,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(410399,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242424784,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001000" => inv <= conv_std_logic_vector(261618,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(476067,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111799989,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001001" => inv <= conv_std_logic_vector(261616,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(541735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(115933322,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001010" => inv <= conv_std_logic_vector(261614,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(607403,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254826281,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001011" => inv <= conv_std_logic_vector(261612,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(673072,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(260045933,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001100" => inv <= conv_std_logic_vector(261610,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(738742,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(131594288,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001101" => inv <= conv_std_logic_vector(261608,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(804412,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137909323,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001110" => inv <= conv_std_logic_vector(261606,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(870083,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(10556570,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001111" => inv <= conv_std_logic_vector(261604,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(935754,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17974519,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010000" => inv <= conv_std_logic_vector(261602,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1001425,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160164667,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010001" => inv <= conv_std_logic_vector(261600,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1067097,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168694080,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010010" => inv <= conv_std_logic_vector(261598,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1132770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(43564256,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010011" => inv <= conv_std_logic_vector(261596,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1198443,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(53213172,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010100" => inv <= conv_std_logic_vector(261594,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1264116,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197642837,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010101" => inv <= conv_std_logic_vector(261592,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1329790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208419294,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010110" => inv <= conv_std_logic_vector(261590,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1395465,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85545574,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010111" => inv <= conv_std_logic_vector(261588,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1461140,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97458630,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011000" => inv <= conv_std_logic_vector(261586,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1526815,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(244160472,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011001" => inv <= conv_std_logic_vector(261584,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1592491,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257217653,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011010" => inv <= conv_std_logic_vector(261582,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1658168,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(136632180,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011011" => inv <= conv_std_logic_vector(261580,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1723845,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(150842541,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011100" => inv <= conv_std_logic_vector(261578,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1789523,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31413754,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011101" => inv <= conv_std_logic_vector(261576,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1855201,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46784817,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011110" => inv <= conv_std_logic_vector(261574,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1920879,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(196956715,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011111" => inv <= conv_std_logic_vector(261572,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1986558,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213496513,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100000" => inv <= conv_std_logic_vector(261570,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2052238,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(96406727,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100001" => inv <= conv_std_logic_vector(261568,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2117918,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(114123801,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100010" => inv <= conv_std_logic_vector(261566,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2183598,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(266650763,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100011" => inv <= conv_std_logic_vector(261564,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2249280,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17118198,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100100" => inv <= conv_std_logic_vector(261562,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2314961,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(170834480,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100101" => inv <= conv_std_logic_vector(261560,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2380643,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(190931217,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100110" => inv <= conv_std_logic_vector(261558,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2446326,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(77410414,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100111" => inv <= conv_std_logic_vector(261556,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2512009,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(98709023,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101000" => inv <= conv_std_logic_vector(261554,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2577692,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254830073,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101001" => inv <= conv_std_logic_vector(261552,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2643377,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(8903637,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101010" => inv <= conv_std_logic_vector(261550,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2709061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166239111,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101011" => inv <= conv_std_logic_vector(261548,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2774746,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(189966567,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101100" => inv <= conv_std_logic_vector(261546,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2840432,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80089032,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101101" => inv <= conv_std_logic_vector(261544,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2906118,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(105043970,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101110" => inv <= conv_std_logic_vector(261542,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2971804,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(264832874,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101111" => inv <= conv_std_logic_vector(261540,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3037492,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(22586838,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110000" => inv <= conv_std_logic_vector(261538,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3103179,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(183615258,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110001" => inv <= conv_std_logic_vector(261536,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3168867,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(211048205,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110010" => inv <= conv_std_logic_vector(261534,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3234556,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(104888194,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110011" => inv <= conv_std_logic_vector(261532,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3300245,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(133573199,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110100" => inv <= conv_std_logic_vector(261530,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3365935,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28668746,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110101" => inv <= conv_std_logic_vector(261528,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3431625,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(58613318,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110110" => inv <= conv_std_logic_vector(261526,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3497315,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(223408408,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110111" => inv <= conv_std_logic_vector(261524,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3563006,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254621075,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111000" => inv <= conv_std_logic_vector(261522,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3628698,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152253325,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111001" => inv <= conv_std_logic_vector(261520,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3694390,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(184742106,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111010" => inv <= conv_std_logic_vector(261518,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3760083,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83654478,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111011" => inv <= conv_std_logic_vector(261516,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3825776,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(117428410,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111100" => inv <= conv_std_logic_vector(261514,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3891470,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17629430,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111101" => inv <= conv_std_logic_vector(261512,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3957164,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52695507,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111110" => inv <= conv_std_logic_vector(261510,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4022858,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(222629156,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111111" => inv <= conv_std_logic_vector(261508,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4088553,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258996925,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000000" => inv <= conv_std_logic_vector(261506,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4154249,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(161800305,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000001" => inv <= conv_std_logic_vector(261504,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4219945,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199477266,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000010" => inv <= conv_std_logic_vector(261502,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4285642,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(103593845,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000011" => inv <= conv_std_logic_vector(261500,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4351339,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(142588522,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000100" => inv <= conv_std_logic_vector(261498,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4417037,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(48026823,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000101" => inv <= conv_std_logic_vector(261496,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4482735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(88347226,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000110" => inv <= conv_std_logic_vector(261494,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4548433,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(263551224,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000111" => inv <= conv_std_logic_vector(261492,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4614133,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(36769906,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001000" => inv <= conv_std_logic_vector(261490,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4679832,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213312155,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001001" => inv <= conv_std_logic_vector(261488,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4745532,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256309059,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001010" => inv <= conv_std_logic_vector(261486,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4811233,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(165762621,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001011" => inv <= conv_std_logic_vector(261484,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4876934,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210109787,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001100" => inv <= conv_std_logic_vector(261482,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4942636,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120917613,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001101" => inv <= conv_std_logic_vector(261480,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5008338,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166624068,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001110" => inv <= conv_std_logic_vector(261478,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5074041,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78795186,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001111" => inv <= conv_std_logic_vector(261476,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5139744,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(125868425,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010000" => inv <= conv_std_logic_vector(261474,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5205448,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39410329,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010001" => inv <= conv_std_logic_vector(261472,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5271152,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87859376,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010010" => inv <= conv_std_logic_vector(261470,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5336857,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(2781090,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010011" => inv <= conv_std_logic_vector(261468,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5402562,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52613438,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010100" => inv <= conv_std_logic_vector(261466,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5468267,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237358419,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010101" => inv <= conv_std_logic_vector(261464,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5533974,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(20147124,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010110" => inv <= conv_std_logic_vector(261462,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5599680,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(206287919,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010111" => inv <= conv_std_logic_vector(261460,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5665387,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258912403,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011000" => inv <= conv_std_logic_vector(261458,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5731095,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(178022066,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011001" => inv <= conv_std_logic_vector(261456,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5796803,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232054873,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011010" => inv <= conv_std_logic_vector(261454,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5862512,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152577368,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011011" => inv <= conv_std_logic_vector(261452,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5928221,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208027007,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011100" => inv <= conv_std_logic_vector(261450,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5993931,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(129969822,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011101" => inv <= conv_std_logic_vector(261448,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6059641,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186844290,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011110" => inv <= conv_std_logic_vector(261446,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6125352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(110216442,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011111" => inv <= conv_std_logic_vector(261444,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6191063,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168523735,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100000" => inv <= conv_std_logic_vector(261442,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6256775,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(93333220,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100001" => inv <= conv_std_logic_vector(261440,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6322487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153082353,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100010" => inv <= conv_std_logic_vector(261438,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6388200,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(79337165,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100011" => inv <= conv_std_logic_vector(261436,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6453913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140535621,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100100" => inv <= conv_std_logic_vector(261434,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6519627,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68244774,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100101" => inv <= conv_std_logic_vector(261432,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6585341,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(130901057,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100110" => inv <= conv_std_logic_vector(261430,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6651056,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60072032,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100111" => inv <= conv_std_logic_vector(261428,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6716771,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(124194644,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101000" => inv <= conv_std_logic_vector(261426,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6782487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54835943,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101001" => inv <= conv_std_logic_vector(261424,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6848203,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120433383,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101010" => inv <= conv_std_logic_vector(261422,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6913920,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52552996,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101011" => inv <= conv_std_logic_vector(261421,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6946778,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(203440839,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101100" => inv <= conv_std_logic_vector(261419,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7012496,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(69566203,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101101" => inv <= conv_std_logic_vector(261417,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7078214,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70654699,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101110" => inv <= conv_std_logic_vector(261415,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7143932,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(206708322,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101111" => inv <= conv_std_logic_vector(261413,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7209651,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209294124,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110000" => inv <= conv_std_logic_vector(261411,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7275371,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78413591,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110001" => inv <= conv_std_logic_vector(261409,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7341091,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(82505197,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110010" => inv <= conv_std_logic_vector(261407,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7406811,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(221569406,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110011" => inv <= conv_std_logic_vector(261405,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7472532,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227174289,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110100" => inv <= conv_std_logic_vector(261403,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7538254,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(99321843,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110101" => inv <= conv_std_logic_vector(261401,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7603976,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106448498,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110110" => inv <= conv_std_logic_vector(261399,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7669698,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(248557271,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110111" => inv <= conv_std_logic_vector(261397,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7735421,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257214192,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111000" => inv <= conv_std_logic_vector(261395,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7801145,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132421765,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111001" => inv <= conv_std_logic_vector(261393,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7866869,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(142616931,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111010" => inv <= conv_std_logic_vector(261391,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7932594,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19367251,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111011" => inv <= conv_std_logic_vector(261389,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7998319,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31109665,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111100" => inv <= conv_std_logic_vector(261387,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8064044,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(177846168,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111101" => inv <= conv_std_logic_vector(261385,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8129770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(191143299,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111110" => inv <= conv_std_logic_vector(261383,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8195497,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71003562,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111111" => inv <= conv_std_logic_vector(261381,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8261224,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85863897,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000000" => inv <= conv_std_logic_vector(261379,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8326951,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(235726809,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000001" => inv <= conv_std_logic_vector(261377,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8392679,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(252159347,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000010" => inv <= conv_std_logic_vector(261375,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8458408,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135162483,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000011" => inv <= conv_std_logic_vector(261373,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8524137,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153174178,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000100" => inv <= conv_std_logic_vector(261371,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8589867,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(37761480,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000101" => inv <= conv_std_logic_vector(261369,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8655597,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57361328,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000110" => inv <= conv_std_logic_vector(261367,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8721327,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(211976226,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000111" => inv <= conv_std_logic_vector(261365,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8787058,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(233172711,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001000" => inv <= conv_std_logic_vector(261363,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8852790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120952266,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001001" => inv <= conv_std_logic_vector(261361,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8918522,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(143753360,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001010" => inv <= conv_std_logic_vector(261359,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8984255,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33142020,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001011" => inv <= conv_std_logic_vector(261357,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9049988,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57555694,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001100" => inv <= conv_std_logic_vector(261355,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9115721,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216996886,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001101" => inv <= conv_std_logic_vector(261353,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9181455,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243031622,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001110" => inv <= conv_std_logic_vector(261351,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9247190,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135662403,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001111" => inv <= conv_std_logic_vector(261349,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9312925,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(163326679,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010000" => inv <= conv_std_logic_vector(261347,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9378661,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57590984,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010001" => inv <= conv_std_logic_vector(261345,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9444397,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(86893278,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010010" => inv <= conv_std_logic_vector(261343,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9510133,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251234532,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010011" => inv <= conv_std_logic_vector(261341,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9575871,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(13747355,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010100" => inv <= conv_std_logic_vector(261339,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9641608,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(179738576,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010101" => inv <= conv_std_logic_vector(261337,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9707346,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(212340806,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010110" => inv <= conv_std_logic_vector(261335,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9773085,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111555525,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010111" => inv <= conv_std_logic_vector(261333,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9838824,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145820180,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011000" => inv <= conv_std_logic_vector(261331,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9904564,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46701306,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011001" => inv <= conv_std_logic_vector(261329,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9970304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(82636350,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011010" => inv <= conv_std_logic_vector(261327,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10036044,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(253627813,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011011" => inv <= conv_std_logic_vector(261325,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10101786,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(22806772,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011100" => inv <= conv_std_logic_vector(261323,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10167527,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195481587,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011101" => inv <= conv_std_logic_vector(261321,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10233269,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234782825,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011110" => inv <= conv_std_logic_vector(261319,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10299012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140713496,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011111" => inv <= conv_std_logic_vector(261317,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10364755,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(181710537,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100000" => inv <= conv_std_logic_vector(261315,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10430499,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(89340991,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100001" => inv <= conv_std_logic_vector(261313,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10496243,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132041793,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100010" => inv <= conv_std_logic_vector(261311,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10561988,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(41379987,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100011" => inv <= conv_std_logic_vector(261309,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10627733,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85793018,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100100" => inv <= conv_std_logic_vector(261307,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10693478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(265282876,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100101" => inv <= conv_std_logic_vector(261305,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10759225,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42980638,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100110" => inv <= conv_std_logic_vector(261303,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10824971,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(224195170,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100111" => inv <= conv_std_logic_vector(261301,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10890719,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3621583,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101000" => inv <= conv_std_logic_vector(261299,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10956466,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186568744,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101001" => inv <= conv_std_logic_vector(261297,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11022214,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236167729,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101010" => inv <= conv_std_logic_vector(261295,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11087963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152420527,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101011" => inv <= conv_std_logic_vector(261293,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11153712,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(203764581,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101100" => inv <= conv_std_logic_vector(261291,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11219462,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(121766424,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101101" => inv <= conv_std_logic_vector(261289,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11285212,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174864010,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101110" => inv <= conv_std_logic_vector(261287,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11350963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94623359,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101111" => inv <= conv_std_logic_vector(261285,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11416714,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(149482427,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110000" => inv <= conv_std_logic_vector(261283,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11482466,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71008255,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110001" => inv <= conv_std_logic_vector(261281,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11548218,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(127637265,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110010" => inv <= conv_std_logic_vector(261279,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11613971,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50936498,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110011" => inv <= conv_std_logic_vector(261277,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11679724,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(109344419,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110100" => inv <= conv_std_logic_vector(261275,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11745478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34426028,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110101" => inv <= conv_std_logic_vector(261273,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11811232,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94620297,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110110" => inv <= conv_std_logic_vector(261271,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11876987,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21492737,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110111" => inv <= conv_std_logic_vector(261269,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11942742,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83481301,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111000" => inv <= conv_std_logic_vector(261267,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12008498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12153029,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111001" => inv <= conv_std_logic_vector(261265,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12074254,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(75944853,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111010" => inv <= conv_std_logic_vector(261263,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12140011,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(6423814,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111011" => inv <= conv_std_logic_vector(261261,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12205768,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72026842,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111100" => inv <= conv_std_logic_vector(261259,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12271526,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(4320978,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111101" => inv <= conv_std_logic_vector(261258,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12304405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21141383,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111110" => inv <= conv_std_logic_vector(261256,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12370163,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(156129342,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111111" => inv <= conv_std_logic_vector(261254,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12435922,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(157813374,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000000" => inv <= conv_std_logic_vector(261252,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12501682,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(26195972,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000001" => inv <= conv_std_logic_vector(261250,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12567442,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29714068,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000010" => inv <= conv_std_logic_vector(261248,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12633202,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168370156,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000011" => inv <= conv_std_logic_vector(261246,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12698963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173730767,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000100" => inv <= conv_std_logic_vector(261244,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12764725,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(45797884,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000101" => inv <= conv_std_logic_vector(261242,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12830487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(53009458,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000110" => inv <= conv_std_logic_vector(261240,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12896249,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195366963,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000111" => inv <= conv_std_logic_vector(261238,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12962012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(204436928,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001000" => inv <= conv_std_logic_vector(261236,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13027776,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80222356,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001001" => inv <= conv_std_logic_vector(261234,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13093540,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(91159668,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001010" => inv <= conv_std_logic_vector(261232,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13159304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237251358,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001011" => inv <= conv_std_logic_vector(261230,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13225069,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(250064462,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001100" => inv <= conv_std_logic_vector(261228,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13290835,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(129600456,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001101" => inv <= conv_std_logic_vector(261226,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13356601,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144297287,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001110" => inv <= conv_std_logic_vector(261224,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13422368,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(25721484,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001111" => inv <= conv_std_logic_vector(261222,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13488135,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42309975,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010000" => inv <= conv_std_logic_vector(261220,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13553902,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(194065764,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010001" => inv <= conv_std_logic_vector(261218,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13619670,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(212554867,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010010" => inv <= conv_std_logic_vector(261216,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13685439,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97779777,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010011" => inv <= conv_std_logic_vector(261214,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13751208,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118177423,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010100" => inv <= conv_std_logic_vector(261212,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13816978,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5314840,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010101" => inv <= conv_std_logic_vector(261210,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13882748,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(27629467,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010110" => inv <= conv_std_logic_vector(261208,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13948518,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(185123287,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010111" => inv <= conv_std_logic_vector(261206,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14014289,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209363335,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011000" => inv <= conv_std_logic_vector(261204,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14080061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100351083,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011001" => inv <= conv_std_logic_vector(261202,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14145833,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(126524479,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011010" => inv <= conv_std_logic_vector(261200,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14211606,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19449539,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011011" => inv <= conv_std_logic_vector(261198,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14277379,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47564719,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011100" => inv <= conv_std_logic_vector(261196,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14343152,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210871490,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011101" => inv <= conv_std_logic_vector(261194,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14408926,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(240936379,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011110" => inv <= conv_std_logic_vector(261192,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14474701,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137761877,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011111" => inv <= conv_std_logic_vector(261190,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14540476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(169784908,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100000" => inv <= conv_std_logic_vector(261188,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14606252,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68573020,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100001" => inv <= conv_std_logic_vector(261186,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14672028,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(102562628,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100010" => inv <= conv_std_logic_vector(261184,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100011" => inv <= conv_std_logic_vector(261182,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14803582,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39285891,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100100" => inv <= conv_std_logic_vector(261180,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14869359,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210458963,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100101" => inv <= conv_std_logic_vector(261178,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14935137,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(248407016,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100110" => inv <= conv_std_logic_vector(261176,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15000916,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153132030,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100111" => inv <= conv_std_logic_vector(261174,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15066695,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(193071440,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101000" => inv <= conv_std_logic_vector(261172,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15132475,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(99791770,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101001" => inv <= conv_std_logic_vector(261170,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15198255,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(141730965,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101010" => inv <= conv_std_logic_vector(261168,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15264036,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50455039,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101011" => inv <= conv_std_logic_vector(261166,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15329817,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94401937,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101100" => inv <= conv_std_logic_vector(261164,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15395599,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5138692,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101101" => inv <= conv_std_logic_vector(261162,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15461381,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(51101718,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101110" => inv <= conv_std_logic_vector(261160,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15527163,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232294014,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101111" => inv <= conv_std_logic_vector(261158,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15592947,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(11846647,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110000" => inv <= conv_std_logic_vector(261156,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15658730,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195067454,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110001" => inv <= conv_std_logic_vector(261154,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15724514,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(245088520,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110010" => inv <= conv_std_logic_vector(261152,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15790299,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(161910803,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110011" => inv <= conv_std_logic_vector(261150,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15856084,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213972758,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110100" => inv <= conv_std_logic_vector(261148,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15921870,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132840397,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110101" => inv <= conv_std_logic_vector(261146,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15987656,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186951663,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110110" => inv <= conv_std_logic_vector(261144,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16053443,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(107873077,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110111" => inv <= conv_std_logic_vector(261142,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16119230,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(164042073,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111000" => inv <= conv_std_logic_vector(261140,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16185018,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87025173,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111001" => inv <= conv_std_logic_vector(261138,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16250806,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145260319,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111010" => inv <= conv_std_logic_vector(261136,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16316595,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70314033,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111011" => inv <= conv_std_logic_vector(261134,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16382384,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(130623237,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111100" => inv <= conv_std_logic_vector(261132,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16448174,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57754962,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111101" => inv <= conv_std_logic_vector(261130,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16513964,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120146640,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111110" => inv <= conv_std_logic_vector(261128,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16579755,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(49365303,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111111" => inv <= conv_std_logic_vector(261126,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16645546,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113847871,28); logexp <= conv_std_logic_vector(1014,11); WHEN others => inv <= conv_std_logic_vector(0,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28); logexp <= conv_std_logic_vector(0,11); END CASE; END PROCESS; END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** DP_LNLUT18.VHD *** --*** *** --*** Function: Look Up Table - LN() *** --*** *** --*** Generated by MATLAB Utility *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_lnlut18 IS PORT ( add : IN STD_LOGIC_VECTOR (9 DOWNTO 1); inv : OUT STD_LOGIC_VECTOR (18 DOWNTO 1); logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1) ); END dp_lnlut18; ARCHITECTURE rtl OF dp_lnlut18 IS BEGIN pca: PROCESS (add) BEGIN CASE add IS WHEN "000000000" => inv <= conv_std_logic_vector(131072,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28); logexp <= conv_std_logic_vector(0,11); WHEN "000000001" => inv <= conv_std_logic_vector(262143,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(31,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(268391765,28); logexp <= conv_std_logic_vector(1005,11); WHEN "000000010" => inv <= conv_std_logic_vector(262141,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8388752,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(327672,28); logexp <= conv_std_logic_vector(1006,11); WHEN "000000011" => inv <= conv_std_logic_vector(262139,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4194504,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(666254,28); logexp <= conv_std_logic_vector(1007,11); WHEN "000000100" => inv <= conv_std_logic_vector(262137,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12583304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1889508,28); logexp <= conv_std_logic_vector(1007,11); WHEN "000000101" => inv <= conv_std_logic_vector(262135,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2097476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1982311,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000000110" => inv <= conv_std_logic_vector(262133,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6291940,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3642366,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000000111" => inv <= conv_std_logic_vector(262131,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10486436,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5990414,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000001000" => inv <= conv_std_logic_vector(262129,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14680964,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9223005,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000001001" => inv <= conv_std_logic_vector(262127,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1049154,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(6702808,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001010" => inv <= conv_std_logic_vector(262125,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3146450,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9367390,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001011" => inv <= conv_std_logic_vector(262123,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5243762,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12637977,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001100" => inv <= conv_std_logic_vector(262121,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7341090,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(16612827,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001101" => inv <= conv_std_logic_vector(262119,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9438434,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21341043,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001110" => inv <= conv_std_logic_vector(262117,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11535794,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(26871724,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001111" => inv <= conv_std_logic_vector(262115,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13633170,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33303113,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000010000" => inv <= conv_std_logic_vector(262113,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15730562,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40684301,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000010001" => inv <= conv_std_logic_vector(262111,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(525377,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(24532187,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010010" => inv <= conv_std_logic_vector(262109,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1574089,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29270780,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010011" => inv <= conv_std_logic_vector(262107,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2622809,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34582468,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010100" => inv <= conv_std_logic_vector(262105,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3671537,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40499978,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010101" => inv <= conv_std_logic_vector(262103,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4720273,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47056037,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010110" => inv <= conv_std_logic_vector(262101,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5769017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54283367,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010111" => inv <= conv_std_logic_vector(262099,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6817769,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(62214689,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011000" => inv <= conv_std_logic_vector(262097,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7866529,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70882721,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011001" => inv <= conv_std_logic_vector(262095,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8915297,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80328369,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011010" => inv <= conv_std_logic_vector(262093,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9964073,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90567968,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011011" => inv <= conv_std_logic_vector(262091,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11012857,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(101650610,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011100" => inv <= conv_std_logic_vector(262089,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12061649,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113592623,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011101" => inv <= conv_std_logic_vector(262087,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13110449,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(126443095,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011110" => inv <= conv_std_logic_vector(262085,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14159257,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140226541,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011111" => inv <= conv_std_logic_vector(262083,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15208073,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(154975663,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000100000" => inv <= conv_std_logic_vector(262081,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16256897,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(170731353,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000100001" => inv <= conv_std_logic_vector(262079,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(264256,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227972692,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100010" => inv <= conv_std_logic_vector(262077,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(788676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236897961,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100011" => inv <= conv_std_logic_vector(262075,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1313100,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(246371462,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100100" => inv <= conv_std_logic_vector(262073,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1837528,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256409541,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100101" => inv <= conv_std_logic_vector(262071,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2361960,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(267028543,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100110" => inv <= conv_std_logic_vector(262069,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2886397,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9813450,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100111" => inv <= conv_std_logic_vector(262067,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3410837,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21647423,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101000" => inv <= conv_std_logic_vector(262065,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3935281,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34111345,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101001" => inv <= conv_std_logic_vector(262063,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4459729,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47221557,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101010" => inv <= conv_std_logic_vector(262061,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4984181,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60998494,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101011" => inv <= conv_std_logic_vector(262059,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5508637,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(75454397,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101100" => inv <= conv_std_logic_vector(262057,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6033097,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90605604,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101101" => inv <= conv_std_logic_vector(262055,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6557561,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106472545,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101110" => inv <= conv_std_logic_vector(262053,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7082029,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(123067460,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101111" => inv <= conv_std_logic_vector(262051,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7606501,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140410777,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110000" => inv <= conv_std_logic_vector(262049,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8130977,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(158510638,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110001" => inv <= conv_std_logic_vector(262047,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8655457,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(177395659,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110010" => inv <= conv_std_logic_vector(262045,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9179941,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197069887,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110011" => inv <= conv_std_logic_vector(262043,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9704429,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(217561932,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110100" => inv <= conv_std_logic_vector(262041,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10228921,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238875840,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110101" => inv <= conv_std_logic_vector(262039,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10753417,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(261036127,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110110" => inv <= conv_std_logic_vector(262037,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11277918,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(15623661,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110111" => inv <= conv_std_logic_vector(262035,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11802422,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39521584,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111000" => inv <= conv_std_logic_vector(262033,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12326930,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(64314859,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111001" => inv <= conv_std_logic_vector(262031,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12851442,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90015713,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111010" => inv <= conv_std_logic_vector(262029,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13375958,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(116644561,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111011" => inv <= conv_std_logic_vector(262027,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13900478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144213628,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111100" => inv <= conv_std_logic_vector(262025,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14425002,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(172743328,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111101" => inv <= conv_std_logic_vector(262023,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14949530,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(202249979,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111110" => inv <= conv_std_logic_vector(262021,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15474062,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232745802,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111111" => inv <= conv_std_logic_vector(262019,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15998598,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(264251207,28); logexp <= conv_std_logic_vector(1011,11); WHEN "001000000" => inv <= conv_std_logic_vector(262017,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16523139,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28347053,28); logexp <= conv_std_logic_vector(1011,11); WHEN "001000001" => inv <= conv_std_logic_vector(262015,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(135233,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(165175963,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000010" => inv <= conv_std_logic_vector(262013,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(397507,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(182491979,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000011" => inv <= conv_std_logic_vector(262011,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(659783,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(200343364,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000100" => inv <= conv_std_logic_vector(262009,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(922061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(218740319,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000101" => inv <= conv_std_logic_vector(262007,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1184341,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237688954,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000110" => inv <= conv_std_logic_vector(262005,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1446623,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257201513,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000111" => inv <= conv_std_logic_vector(262003,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1708908,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(8846602,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001000" => inv <= conv_std_logic_vector(262001,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1971194,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29507379,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001001" => inv <= conv_std_logic_vector(261999,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2233482,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50752445,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001010" => inv <= conv_std_logic_vector(261997,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2495772,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72592000,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001011" => inv <= conv_std_logic_vector(261995,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2758064,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(95036240,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001100" => inv <= conv_std_logic_vector(261993,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3020358,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118089223,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001101" => inv <= conv_std_logic_vector(261991,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3282654,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(141761145,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001110" => inv <= conv_std_logic_vector(261989,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3544952,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166062202,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001111" => inv <= conv_std_logic_vector(261987,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3807252,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(190996450,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010000" => inv <= conv_std_logic_vector(261985,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4069554,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216576129,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010001" => inv <= conv_std_logic_vector(261983,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4331858,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242805295,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010010" => inv <= conv_std_logic_vector(261981,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4594165,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1260730,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010011" => inv <= conv_std_logic_vector(261979,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4856473,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28821495,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010100" => inv <= conv_std_logic_vector(261977,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5118783,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57056185,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010101" => inv <= conv_std_logic_vector(261975,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5381095,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85977038,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010110" => inv <= conv_std_logic_vector(261973,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5643409,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(115590153,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010111" => inv <= conv_std_logic_vector(261971,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5905725,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145903675,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011000" => inv <= conv_std_logic_vector(261969,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6168043,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(176927792,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011001" => inv <= conv_std_logic_vector(261967,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6430363,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208668602,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011010" => inv <= conv_std_logic_vector(261965,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6692685,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(241134248,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011011" => inv <= conv_std_logic_vector(261963,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6955010,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5901507,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011100" => inv <= conv_std_logic_vector(261961,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7217336,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39843294,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011101" => inv <= conv_std_logic_vector(261959,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7479664,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(74536387,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011110" => inv <= conv_std_logic_vector(261957,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7741994,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(109988926,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011111" => inv <= conv_std_logic_vector(261955,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8004326,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146207004,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100000" => inv <= conv_std_logic_vector(261953,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8266660,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(183200806,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100001" => inv <= conv_std_logic_vector(261951,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8528996,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(220976425,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100010" => inv <= conv_std_logic_vector(261949,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8791334,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259546091,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100011" => inv <= conv_std_logic_vector(261947,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9053675,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(30478393,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100100" => inv <= conv_std_logic_vector(261945,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9316017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70652380,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100101" => inv <= conv_std_logic_vector(261943,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9578361,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111644825,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100110" => inv <= conv_std_logic_vector(261941,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9840707,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153461817,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100111" => inv <= conv_std_logic_vector(261939,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10103055,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(196109445,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101000" => inv <= conv_std_logic_vector(261937,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10365405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239597890,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101001" => inv <= conv_std_logic_vector(261935,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10627758,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(15499830,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101010" => inv <= conv_std_logic_vector(261933,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10890112,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60696356,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101011" => inv <= conv_std_logic_vector(261931,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11152468,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106756053,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101100" => inv <= conv_std_logic_vector(261929,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11414826,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153689099,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101101" => inv <= conv_std_logic_vector(261927,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11677186,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(201505673,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101110" => inv <= conv_std_logic_vector(261925,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11939548,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(250209813,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101111" => inv <= conv_std_logic_vector(261923,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12201913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31378287,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110000" => inv <= conv_std_logic_vector(261921,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12464279,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(81888089,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110001" => inv <= conv_std_logic_vector(261919,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12726647,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(133313941,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110010" => inv <= conv_std_logic_vector(261917,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12989017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(185659877,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110011" => inv <= conv_std_logic_vector(261915,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13251389,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238938120,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110100" => inv <= conv_std_logic_vector(261913,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13513764,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(24721341,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110101" => inv <= conv_std_logic_vector(261911,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13776140,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(79886532,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110110" => inv <= conv_std_logic_vector(261909,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14038518,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(136006365,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110111" => inv <= conv_std_logic_vector(261907,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14300898,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(193091012,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111000" => inv <= conv_std_logic_vector(261905,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14563280,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251146552,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111001" => inv <= conv_std_logic_vector(261903,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14825665,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(41747701,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111010" => inv <= conv_std_logic_vector(261901,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15088051,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(101773495,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111011" => inv <= conv_std_logic_vector(261899,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15350439,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162796601,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111100" => inv <= conv_std_logic_vector(261897,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15612829,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(224823097,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111101" => inv <= conv_std_logic_vector(261895,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15875222,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19427696,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111110" => inv <= conv_std_logic_vector(261893,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16137616,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83489431,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111111" => inv <= conv_std_logic_vector(261891,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16400012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(148578923,28); logexp <= conv_std_logic_vector(1012,11); WHEN "010000000" => inv <= conv_std_logic_vector(261889,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16662410,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(214708384,28); logexp <= conv_std_logic_vector(1012,11); WHEN "010000001" => inv <= conv_std_logic_vector(261887,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(73797,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140941945,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000010" => inv <= conv_std_logic_vector(261885,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(204998,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(175055756,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000011" => inv <= conv_std_logic_vector(261883,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(336200,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209701733,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000100" => inv <= conv_std_logic_vector(261881,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(467403,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(244882910,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000101" => inv <= conv_std_logic_vector(261879,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(598608,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12167891,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000110" => inv <= conv_std_logic_vector(261877,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(729813,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(48431647,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000111" => inv <= conv_std_logic_vector(261875,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(861019,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85243804,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001000" => inv <= conv_std_logic_vector(261873,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(992226,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(122607396,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001001" => inv <= conv_std_logic_vector(261871,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1123434,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160526482,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001010" => inv <= conv_std_logic_vector(261869,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1254643,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199006142,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001011" => inv <= conv_std_logic_vector(261867,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1385853,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238049411,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001100" => inv <= conv_std_logic_vector(261865,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1517065,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9224891,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001101" => inv <= conv_std_logic_vector(261863,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1648277,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(49409594,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001110" => inv <= conv_std_logic_vector(261861,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1779490,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90169054,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001111" => inv <= conv_std_logic_vector(261859,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1910704,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(131510396,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010000" => inv <= conv_std_logic_vector(261857,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2041919,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173435630,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010001" => inv <= conv_std_logic_vector(261855,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2173135,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(215949833,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010010" => inv <= conv_std_logic_vector(261853,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2304352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259057063,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010011" => inv <= conv_std_logic_vector(261851,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2435571,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34325917,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010100" => inv <= conv_std_logic_vector(261849,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2566790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78630340,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010101" => inv <= conv_std_logic_vector(261847,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2698010,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(123540976,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010110" => inv <= conv_std_logic_vector(261845,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2829231,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(169060858,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010111" => inv <= conv_std_logic_vector(261843,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2960453,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(215194037,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011000" => inv <= conv_std_logic_vector(261841,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3091676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(261943547,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011001" => inv <= conv_std_logic_vector(261839,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3222901,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40881052,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011010" => inv <= conv_std_logic_vector(261837,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3354126,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(88878449,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011011" => inv <= conv_std_logic_vector(261835,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3485352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137506381,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011100" => inv <= conv_std_logic_vector(261833,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3616579,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186767878,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011101" => inv <= conv_std_logic_vector(261831,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3747807,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236666992,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011110" => inv <= conv_std_logic_vector(261829,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3879037,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(18774364,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011111" => inv <= conv_std_logic_vector(261827,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4010267,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(69961890,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100000" => inv <= conv_std_logic_vector(261825,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4141498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(121800212,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100001" => inv <= conv_std_logic_vector(261823,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4272730,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174293379,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100010" => inv <= conv_std_logic_vector(261821,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4403963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227445444,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100011" => inv <= conv_std_logic_vector(261819,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4535198,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12823978,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100100" => inv <= conv_std_logic_vector(261817,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4666433,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(67305989,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100101" => inv <= conv_std_logic_vector(261815,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4797669,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(122458025,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100110" => inv <= conv_std_logic_vector(261813,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4928906,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(178285160,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100111" => inv <= conv_std_logic_vector(261811,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5060144,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234791442,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101000" => inv <= conv_std_logic_vector(261809,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5191384,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(23545465,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101001" => inv <= conv_std_logic_vector(261807,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5322624,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(81422190,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101010" => inv <= conv_std_logic_vector(261805,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5453865,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(139991231,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101011" => inv <= conv_std_logic_vector(261803,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5585107,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199255616,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101100" => inv <= conv_std_logic_vector(261801,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5716350,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259219392,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101101" => inv <= conv_std_logic_vector(261799,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5847595,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(51452173,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101110" => inv <= conv_std_logic_vector(261797,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5978840,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(112827896,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101111" => inv <= conv_std_logic_vector(261795,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6110086,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174915153,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110000" => inv <= conv_std_logic_vector(261793,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6241333,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237719013,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110001" => inv <= conv_std_logic_vector(261791,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6372582,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(32808067,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110010" => inv <= conv_std_logic_vector(261789,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6503831,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97057274,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110011" => inv <= conv_std_logic_vector(261787,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6635081,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162034200,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110100" => inv <= conv_std_logic_vector(261785,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6766332,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227743914,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110101" => inv <= conv_std_logic_vector(261783,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6897585,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(25755007,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110110" => inv <= conv_std_logic_vector(261781,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7028838,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(92942434,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110111" => inv <= conv_std_logic_vector(261779,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7160092,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160875808,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111000" => inv <= conv_std_logic_vector(261777,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7291347,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(229557128,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111001" => inv <= conv_std_logic_vector(261775,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7422604,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(30556006,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111010" => inv <= conv_std_logic_vector(261773,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7553861,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100748419,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111011" => inv <= conv_std_logic_vector(261771,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7685119,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(171701934,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111100" => inv <= conv_std_logic_vector(261769,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7816378,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243420594,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111101" => inv <= conv_std_logic_vector(261767,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7947639,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47474008,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111110" => inv <= conv_std_logic_vector(261765,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8078900,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120736110,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111111" => inv <= conv_std_logic_vector(261763,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8210162,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(194776508,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000000" => inv <= conv_std_logic_vector(261761,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8341426,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1163789,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000001" => inv <= conv_std_logic_vector(261759,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8472690,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(76771885,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000010" => inv <= conv_std_logic_vector(261757,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8603955,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153170403,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000011" => inv <= conv_std_logic_vector(261755,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8735221,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(230363387,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000100" => inv <= conv_std_logic_vector(261753,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8866489,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39919420,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000101" => inv <= conv_std_logic_vector(261751,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8997757,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118713456,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000110" => inv <= conv_std_logic_vector(261749,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9129026,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(198314080,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000111" => inv <= conv_std_logic_vector(261747,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9260297,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(10289876,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001000" => inv <= conv_std_logic_vector(261745,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9391568,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(91515797,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001001" => inv <= conv_std_logic_vector(261743,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9522840,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173561448,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001010" => inv <= conv_std_logic_vector(261741,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9654113,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256429848,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001011" => inv <= conv_std_logic_vector(261739,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9785388,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71690601,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001100" => inv <= conv_std_logic_vector(261737,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9916663,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(156217637,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001101" => inv <= conv_std_logic_vector(261735,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10047939,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(241580560,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001110" => inv <= conv_std_logic_vector(261733,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10179217,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(59346931,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001111" => inv <= conv_std_logic_vector(261731,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10310495,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146392723,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010000" => inv <= conv_std_logic_vector(261729,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10441774,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234286516,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010001" => inv <= conv_std_logic_vector(261727,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10573055,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54596894,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010010" => inv <= conv_std_logic_vector(261725,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10704336,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144198805,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010011" => inv <= conv_std_logic_vector(261723,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10835618,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234660830,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010100" => inv <= conv_std_logic_vector(261721,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10966902,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57551551,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010101" => inv <= conv_std_logic_vector(261719,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11098186,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(149745915,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010110" => inv <= conv_std_logic_vector(261717,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11229471,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242812504,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010111" => inv <= conv_std_logic_vector(261715,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11360758,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68320919,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011000" => inv <= conv_std_logic_vector(261713,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11492045,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(163146107,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011001" => inv <= conv_std_logic_vector(261711,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11623333,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258854605,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011010" => inv <= conv_std_logic_vector(261709,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11754623,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87018057,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011011" => inv <= conv_std_logic_vector(261707,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11885913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(184509366,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011100" => inv <= conv_std_logic_vector(261705,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12017205,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(14462677,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011101" => inv <= conv_std_logic_vector(261703,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12148497,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113752937,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011110" => inv <= conv_std_logic_vector(261701,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12279790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213947700,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011111" => inv <= conv_std_logic_vector(261699,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12411085,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46617589,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100000" => inv <= conv_std_logic_vector(261697,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12542380,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(148636528,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100001" => inv <= conv_std_logic_vector(261695,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12673676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251573093,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100010" => inv <= conv_std_logic_vector(261693,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12804974,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(86995861,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100011" => inv <= conv_std_logic_vector(261691,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12936272,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(191780799,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100100" => inv <= conv_std_logic_vector(261689,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13067572,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29060005,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100101" => inv <= conv_std_logic_vector(261687,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13198872,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135708424,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100110" => inv <= conv_std_logic_vector(261685,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13330173,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243295652,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100111" => inv <= conv_std_logic_vector(261683,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13461476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83390266,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101000" => inv <= conv_std_logic_vector(261681,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13592779,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(192867209,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101001" => inv <= conv_std_logic_vector(261679,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13724084,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34858577,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101010" => inv <= conv_std_logic_vector(261677,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13855389,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146240336,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101011" => inv <= conv_std_logic_vector(261675,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13986695,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258581058,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101100" => inv <= conv_std_logic_vector(261673,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14118003,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(103450342,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101101" => inv <= conv_std_logic_vector(261671,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14249311,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(217721083,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101110" => inv <= conv_std_logic_vector(261669,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14380621,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(64528444,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101111" => inv <= conv_std_logic_vector(261667,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14511931,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(180746345,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110000" => inv <= conv_std_logic_vector(261665,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14643243,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29508923,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110001" => inv <= conv_std_logic_vector(261663,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14774555,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(147690097,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110010" => inv <= conv_std_logic_vector(261661,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14905868,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(266859463,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110011" => inv <= conv_std_logic_vector(261659,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15037183,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118585591,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110100" => inv <= conv_std_logic_vector(261657,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15168498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239742400,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110101" => inv <= conv_std_logic_vector(261655,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15299815,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(93464027,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110110" => inv <= conv_std_logic_vector(261653,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15431132,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216625411,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110111" => inv <= conv_std_logic_vector(261651,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15562451,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72360690,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111000" => inv <= conv_std_logic_vector(261649,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15693770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197542758,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111001" => inv <= conv_std_logic_vector(261647,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15825091,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(55306773,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111010" => inv <= conv_std_logic_vector(261645,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15956412,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(182526652,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111011" => inv <= conv_std_logic_vector(261643,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16087735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42335507,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111100" => inv <= conv_std_logic_vector(261641,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16219058,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(171609300,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111101" => inv <= conv_std_logic_vector(261639,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16350383,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33481142,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111110" => inv <= conv_std_logic_vector(261637,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16481708,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(164824949,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111111" => inv <= conv_std_logic_vector(261635,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16613035,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28774856,28); logexp <= conv_std_logic_vector(1013,11); WHEN "100000000" => inv <= conv_std_logic_vector(261633,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16744362,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162205798,28); logexp <= conv_std_logic_vector(1013,11); WHEN "100000001" => inv <= conv_std_logic_vector(261632,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97880094,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000010" => inv <= conv_std_logic_vector(261630,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(82069,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232492642,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000011" => inv <= conv_std_logic_vector(261628,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(147734,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(233414771,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000100" => inv <= conv_std_logic_vector(261626,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(213400,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100649515,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000101" => inv <= conv_std_logic_vector(261624,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(279066,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(102634341,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000110" => inv <= conv_std_logic_vector(261622,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(344732,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239370238,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000111" => inv <= conv_std_logic_vector(261620,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(410399,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242424784,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001000" => inv <= conv_std_logic_vector(261618,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(476067,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111799989,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001001" => inv <= conv_std_logic_vector(261616,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(541735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(115933322,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001010" => inv <= conv_std_logic_vector(261614,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(607403,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254826281,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001011" => inv <= conv_std_logic_vector(261612,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(673072,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(260045933,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001100" => inv <= conv_std_logic_vector(261610,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(738742,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(131594288,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001101" => inv <= conv_std_logic_vector(261608,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(804412,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137909323,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001110" => inv <= conv_std_logic_vector(261606,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(870083,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(10556570,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001111" => inv <= conv_std_logic_vector(261604,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(935754,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17974519,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010000" => inv <= conv_std_logic_vector(261602,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1001425,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160164667,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010001" => inv <= conv_std_logic_vector(261600,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1067097,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168694080,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010010" => inv <= conv_std_logic_vector(261598,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1132770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(43564256,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010011" => inv <= conv_std_logic_vector(261596,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1198443,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(53213172,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010100" => inv <= conv_std_logic_vector(261594,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1264116,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197642837,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010101" => inv <= conv_std_logic_vector(261592,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1329790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208419294,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010110" => inv <= conv_std_logic_vector(261590,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1395465,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85545574,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010111" => inv <= conv_std_logic_vector(261588,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1461140,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97458630,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011000" => inv <= conv_std_logic_vector(261586,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1526815,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(244160472,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011001" => inv <= conv_std_logic_vector(261584,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1592491,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257217653,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011010" => inv <= conv_std_logic_vector(261582,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1658168,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(136632180,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011011" => inv <= conv_std_logic_vector(261580,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1723845,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(150842541,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011100" => inv <= conv_std_logic_vector(261578,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1789523,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31413754,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011101" => inv <= conv_std_logic_vector(261576,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1855201,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46784817,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011110" => inv <= conv_std_logic_vector(261574,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1920879,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(196956715,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011111" => inv <= conv_std_logic_vector(261572,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1986558,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213496513,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100000" => inv <= conv_std_logic_vector(261570,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2052238,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(96406727,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100001" => inv <= conv_std_logic_vector(261568,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2117918,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(114123801,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100010" => inv <= conv_std_logic_vector(261566,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2183598,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(266650763,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100011" => inv <= conv_std_logic_vector(261564,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2249280,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17118198,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100100" => inv <= conv_std_logic_vector(261562,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2314961,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(170834480,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100101" => inv <= conv_std_logic_vector(261560,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2380643,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(190931217,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100110" => inv <= conv_std_logic_vector(261558,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2446326,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(77410414,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100111" => inv <= conv_std_logic_vector(261556,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2512009,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(98709023,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101000" => inv <= conv_std_logic_vector(261554,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2577692,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254830073,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101001" => inv <= conv_std_logic_vector(261552,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2643377,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(8903637,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101010" => inv <= conv_std_logic_vector(261550,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2709061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166239111,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101011" => inv <= conv_std_logic_vector(261548,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2774746,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(189966567,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101100" => inv <= conv_std_logic_vector(261546,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2840432,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80089032,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101101" => inv <= conv_std_logic_vector(261544,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2906118,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(105043970,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101110" => inv <= conv_std_logic_vector(261542,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2971804,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(264832874,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101111" => inv <= conv_std_logic_vector(261540,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3037492,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(22586838,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110000" => inv <= conv_std_logic_vector(261538,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3103179,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(183615258,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110001" => inv <= conv_std_logic_vector(261536,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3168867,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(211048205,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110010" => inv <= conv_std_logic_vector(261534,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3234556,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(104888194,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110011" => inv <= conv_std_logic_vector(261532,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3300245,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(133573199,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110100" => inv <= conv_std_logic_vector(261530,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3365935,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28668746,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110101" => inv <= conv_std_logic_vector(261528,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3431625,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(58613318,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110110" => inv <= conv_std_logic_vector(261526,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3497315,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(223408408,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110111" => inv <= conv_std_logic_vector(261524,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3563006,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254621075,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111000" => inv <= conv_std_logic_vector(261522,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3628698,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152253325,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111001" => inv <= conv_std_logic_vector(261520,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3694390,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(184742106,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111010" => inv <= conv_std_logic_vector(261518,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3760083,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83654478,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111011" => inv <= conv_std_logic_vector(261516,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3825776,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(117428410,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111100" => inv <= conv_std_logic_vector(261514,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3891470,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17629430,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111101" => inv <= conv_std_logic_vector(261512,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3957164,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52695507,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111110" => inv <= conv_std_logic_vector(261510,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4022858,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(222629156,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111111" => inv <= conv_std_logic_vector(261508,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4088553,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258996925,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000000" => inv <= conv_std_logic_vector(261506,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4154249,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(161800305,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000001" => inv <= conv_std_logic_vector(261504,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4219945,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199477266,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000010" => inv <= conv_std_logic_vector(261502,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4285642,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(103593845,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000011" => inv <= conv_std_logic_vector(261500,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4351339,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(142588522,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000100" => inv <= conv_std_logic_vector(261498,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4417037,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(48026823,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000101" => inv <= conv_std_logic_vector(261496,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4482735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(88347226,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000110" => inv <= conv_std_logic_vector(261494,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4548433,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(263551224,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000111" => inv <= conv_std_logic_vector(261492,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4614133,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(36769906,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001000" => inv <= conv_std_logic_vector(261490,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4679832,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213312155,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001001" => inv <= conv_std_logic_vector(261488,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4745532,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256309059,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001010" => inv <= conv_std_logic_vector(261486,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4811233,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(165762621,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001011" => inv <= conv_std_logic_vector(261484,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4876934,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210109787,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001100" => inv <= conv_std_logic_vector(261482,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4942636,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120917613,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001101" => inv <= conv_std_logic_vector(261480,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5008338,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166624068,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001110" => inv <= conv_std_logic_vector(261478,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5074041,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78795186,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001111" => inv <= conv_std_logic_vector(261476,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5139744,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(125868425,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010000" => inv <= conv_std_logic_vector(261474,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5205448,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39410329,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010001" => inv <= conv_std_logic_vector(261472,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5271152,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87859376,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010010" => inv <= conv_std_logic_vector(261470,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5336857,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(2781090,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010011" => inv <= conv_std_logic_vector(261468,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5402562,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52613438,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010100" => inv <= conv_std_logic_vector(261466,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5468267,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237358419,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010101" => inv <= conv_std_logic_vector(261464,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5533974,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(20147124,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010110" => inv <= conv_std_logic_vector(261462,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5599680,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(206287919,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010111" => inv <= conv_std_logic_vector(261460,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5665387,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258912403,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011000" => inv <= conv_std_logic_vector(261458,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5731095,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(178022066,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011001" => inv <= conv_std_logic_vector(261456,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5796803,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232054873,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011010" => inv <= conv_std_logic_vector(261454,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5862512,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152577368,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011011" => inv <= conv_std_logic_vector(261452,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5928221,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208027007,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011100" => inv <= conv_std_logic_vector(261450,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5993931,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(129969822,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011101" => inv <= conv_std_logic_vector(261448,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6059641,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186844290,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011110" => inv <= conv_std_logic_vector(261446,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6125352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(110216442,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011111" => inv <= conv_std_logic_vector(261444,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6191063,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168523735,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100000" => inv <= conv_std_logic_vector(261442,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6256775,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(93333220,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100001" => inv <= conv_std_logic_vector(261440,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6322487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153082353,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100010" => inv <= conv_std_logic_vector(261438,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6388200,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(79337165,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100011" => inv <= conv_std_logic_vector(261436,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6453913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140535621,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100100" => inv <= conv_std_logic_vector(261434,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6519627,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68244774,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100101" => inv <= conv_std_logic_vector(261432,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6585341,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(130901057,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100110" => inv <= conv_std_logic_vector(261430,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6651056,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60072032,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100111" => inv <= conv_std_logic_vector(261428,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6716771,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(124194644,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101000" => inv <= conv_std_logic_vector(261426,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6782487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54835943,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101001" => inv <= conv_std_logic_vector(261424,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6848203,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120433383,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101010" => inv <= conv_std_logic_vector(261422,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6913920,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52552996,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101011" => inv <= conv_std_logic_vector(261421,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6946778,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(203440839,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101100" => inv <= conv_std_logic_vector(261419,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7012496,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(69566203,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101101" => inv <= conv_std_logic_vector(261417,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7078214,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70654699,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101110" => inv <= conv_std_logic_vector(261415,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7143932,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(206708322,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101111" => inv <= conv_std_logic_vector(261413,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7209651,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209294124,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110000" => inv <= conv_std_logic_vector(261411,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7275371,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78413591,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110001" => inv <= conv_std_logic_vector(261409,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7341091,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(82505197,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110010" => inv <= conv_std_logic_vector(261407,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7406811,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(221569406,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110011" => inv <= conv_std_logic_vector(261405,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7472532,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227174289,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110100" => inv <= conv_std_logic_vector(261403,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7538254,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(99321843,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110101" => inv <= conv_std_logic_vector(261401,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7603976,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106448498,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110110" => inv <= conv_std_logic_vector(261399,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7669698,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(248557271,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110111" => inv <= conv_std_logic_vector(261397,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7735421,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257214192,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111000" => inv <= conv_std_logic_vector(261395,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7801145,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132421765,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111001" => inv <= conv_std_logic_vector(261393,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7866869,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(142616931,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111010" => inv <= conv_std_logic_vector(261391,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7932594,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19367251,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111011" => inv <= conv_std_logic_vector(261389,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7998319,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31109665,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111100" => inv <= conv_std_logic_vector(261387,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8064044,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(177846168,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111101" => inv <= conv_std_logic_vector(261385,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8129770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(191143299,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111110" => inv <= conv_std_logic_vector(261383,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8195497,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71003562,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111111" => inv <= conv_std_logic_vector(261381,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8261224,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85863897,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000000" => inv <= conv_std_logic_vector(261379,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8326951,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(235726809,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000001" => inv <= conv_std_logic_vector(261377,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8392679,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(252159347,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000010" => inv <= conv_std_logic_vector(261375,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8458408,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135162483,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000011" => inv <= conv_std_logic_vector(261373,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8524137,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153174178,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000100" => inv <= conv_std_logic_vector(261371,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8589867,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(37761480,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000101" => inv <= conv_std_logic_vector(261369,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8655597,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57361328,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000110" => inv <= conv_std_logic_vector(261367,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8721327,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(211976226,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000111" => inv <= conv_std_logic_vector(261365,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8787058,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(233172711,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001000" => inv <= conv_std_logic_vector(261363,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8852790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120952266,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001001" => inv <= conv_std_logic_vector(261361,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8918522,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(143753360,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001010" => inv <= conv_std_logic_vector(261359,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8984255,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33142020,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001011" => inv <= conv_std_logic_vector(261357,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9049988,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57555694,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001100" => inv <= conv_std_logic_vector(261355,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9115721,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216996886,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001101" => inv <= conv_std_logic_vector(261353,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9181455,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243031622,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001110" => inv <= conv_std_logic_vector(261351,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9247190,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135662403,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001111" => inv <= conv_std_logic_vector(261349,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9312925,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(163326679,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010000" => inv <= conv_std_logic_vector(261347,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9378661,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57590984,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010001" => inv <= conv_std_logic_vector(261345,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9444397,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(86893278,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010010" => inv <= conv_std_logic_vector(261343,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9510133,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251234532,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010011" => inv <= conv_std_logic_vector(261341,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9575871,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(13747355,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010100" => inv <= conv_std_logic_vector(261339,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9641608,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(179738576,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010101" => inv <= conv_std_logic_vector(261337,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9707346,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(212340806,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010110" => inv <= conv_std_logic_vector(261335,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9773085,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111555525,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010111" => inv <= conv_std_logic_vector(261333,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9838824,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145820180,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011000" => inv <= conv_std_logic_vector(261331,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9904564,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46701306,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011001" => inv <= conv_std_logic_vector(261329,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9970304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(82636350,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011010" => inv <= conv_std_logic_vector(261327,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10036044,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(253627813,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011011" => inv <= conv_std_logic_vector(261325,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10101786,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(22806772,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011100" => inv <= conv_std_logic_vector(261323,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10167527,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195481587,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011101" => inv <= conv_std_logic_vector(261321,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10233269,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234782825,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011110" => inv <= conv_std_logic_vector(261319,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10299012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140713496,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011111" => inv <= conv_std_logic_vector(261317,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10364755,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(181710537,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100000" => inv <= conv_std_logic_vector(261315,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10430499,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(89340991,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100001" => inv <= conv_std_logic_vector(261313,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10496243,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132041793,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100010" => inv <= conv_std_logic_vector(261311,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10561988,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(41379987,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100011" => inv <= conv_std_logic_vector(261309,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10627733,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85793018,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100100" => inv <= conv_std_logic_vector(261307,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10693478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(265282876,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100101" => inv <= conv_std_logic_vector(261305,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10759225,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42980638,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100110" => inv <= conv_std_logic_vector(261303,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10824971,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(224195170,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100111" => inv <= conv_std_logic_vector(261301,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10890719,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3621583,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101000" => inv <= conv_std_logic_vector(261299,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10956466,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186568744,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101001" => inv <= conv_std_logic_vector(261297,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11022214,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236167729,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101010" => inv <= conv_std_logic_vector(261295,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11087963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152420527,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101011" => inv <= conv_std_logic_vector(261293,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11153712,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(203764581,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101100" => inv <= conv_std_logic_vector(261291,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11219462,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(121766424,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101101" => inv <= conv_std_logic_vector(261289,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11285212,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174864010,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101110" => inv <= conv_std_logic_vector(261287,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11350963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94623359,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101111" => inv <= conv_std_logic_vector(261285,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11416714,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(149482427,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110000" => inv <= conv_std_logic_vector(261283,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11482466,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71008255,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110001" => inv <= conv_std_logic_vector(261281,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11548218,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(127637265,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110010" => inv <= conv_std_logic_vector(261279,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11613971,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50936498,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110011" => inv <= conv_std_logic_vector(261277,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11679724,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(109344419,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110100" => inv <= conv_std_logic_vector(261275,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11745478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34426028,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110101" => inv <= conv_std_logic_vector(261273,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11811232,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94620297,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110110" => inv <= conv_std_logic_vector(261271,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11876987,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21492737,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110111" => inv <= conv_std_logic_vector(261269,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11942742,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83481301,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111000" => inv <= conv_std_logic_vector(261267,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12008498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12153029,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111001" => inv <= conv_std_logic_vector(261265,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12074254,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(75944853,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111010" => inv <= conv_std_logic_vector(261263,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12140011,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(6423814,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111011" => inv <= conv_std_logic_vector(261261,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12205768,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72026842,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111100" => inv <= conv_std_logic_vector(261259,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12271526,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(4320978,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111101" => inv <= conv_std_logic_vector(261258,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12304405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21141383,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111110" => inv <= conv_std_logic_vector(261256,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12370163,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(156129342,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111111" => inv <= conv_std_logic_vector(261254,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12435922,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(157813374,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000000" => inv <= conv_std_logic_vector(261252,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12501682,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(26195972,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000001" => inv <= conv_std_logic_vector(261250,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12567442,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29714068,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000010" => inv <= conv_std_logic_vector(261248,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12633202,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168370156,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000011" => inv <= conv_std_logic_vector(261246,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12698963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173730767,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000100" => inv <= conv_std_logic_vector(261244,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12764725,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(45797884,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000101" => inv <= conv_std_logic_vector(261242,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12830487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(53009458,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000110" => inv <= conv_std_logic_vector(261240,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12896249,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195366963,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000111" => inv <= conv_std_logic_vector(261238,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12962012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(204436928,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001000" => inv <= conv_std_logic_vector(261236,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13027776,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80222356,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001001" => inv <= conv_std_logic_vector(261234,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13093540,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(91159668,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001010" => inv <= conv_std_logic_vector(261232,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13159304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237251358,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001011" => inv <= conv_std_logic_vector(261230,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13225069,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(250064462,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001100" => inv <= conv_std_logic_vector(261228,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13290835,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(129600456,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001101" => inv <= conv_std_logic_vector(261226,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13356601,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144297287,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001110" => inv <= conv_std_logic_vector(261224,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13422368,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(25721484,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001111" => inv <= conv_std_logic_vector(261222,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13488135,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42309975,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010000" => inv <= conv_std_logic_vector(261220,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13553902,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(194065764,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010001" => inv <= conv_std_logic_vector(261218,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13619670,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(212554867,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010010" => inv <= conv_std_logic_vector(261216,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13685439,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97779777,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010011" => inv <= conv_std_logic_vector(261214,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13751208,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118177423,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010100" => inv <= conv_std_logic_vector(261212,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13816978,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5314840,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010101" => inv <= conv_std_logic_vector(261210,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13882748,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(27629467,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010110" => inv <= conv_std_logic_vector(261208,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13948518,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(185123287,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010111" => inv <= conv_std_logic_vector(261206,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14014289,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209363335,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011000" => inv <= conv_std_logic_vector(261204,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14080061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100351083,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011001" => inv <= conv_std_logic_vector(261202,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14145833,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(126524479,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011010" => inv <= conv_std_logic_vector(261200,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14211606,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19449539,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011011" => inv <= conv_std_logic_vector(261198,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14277379,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47564719,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011100" => inv <= conv_std_logic_vector(261196,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14343152,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210871490,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011101" => inv <= conv_std_logic_vector(261194,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14408926,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(240936379,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011110" => inv <= conv_std_logic_vector(261192,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14474701,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137761877,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011111" => inv <= conv_std_logic_vector(261190,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14540476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(169784908,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100000" => inv <= conv_std_logic_vector(261188,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14606252,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68573020,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100001" => inv <= conv_std_logic_vector(261186,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14672028,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(102562628,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100010" => inv <= conv_std_logic_vector(261184,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100011" => inv <= conv_std_logic_vector(261182,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14803582,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39285891,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100100" => inv <= conv_std_logic_vector(261180,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14869359,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210458963,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100101" => inv <= conv_std_logic_vector(261178,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14935137,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(248407016,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100110" => inv <= conv_std_logic_vector(261176,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15000916,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153132030,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100111" => inv <= conv_std_logic_vector(261174,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15066695,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(193071440,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101000" => inv <= conv_std_logic_vector(261172,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15132475,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(99791770,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101001" => inv <= conv_std_logic_vector(261170,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15198255,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(141730965,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101010" => inv <= conv_std_logic_vector(261168,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15264036,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50455039,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101011" => inv <= conv_std_logic_vector(261166,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15329817,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94401937,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101100" => inv <= conv_std_logic_vector(261164,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15395599,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5138692,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101101" => inv <= conv_std_logic_vector(261162,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15461381,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(51101718,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101110" => inv <= conv_std_logic_vector(261160,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15527163,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232294014,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101111" => inv <= conv_std_logic_vector(261158,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15592947,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(11846647,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110000" => inv <= conv_std_logic_vector(261156,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15658730,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195067454,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110001" => inv <= conv_std_logic_vector(261154,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15724514,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(245088520,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110010" => inv <= conv_std_logic_vector(261152,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15790299,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(161910803,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110011" => inv <= conv_std_logic_vector(261150,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15856084,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213972758,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110100" => inv <= conv_std_logic_vector(261148,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15921870,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132840397,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110101" => inv <= conv_std_logic_vector(261146,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15987656,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186951663,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110110" => inv <= conv_std_logic_vector(261144,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16053443,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(107873077,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110111" => inv <= conv_std_logic_vector(261142,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16119230,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(164042073,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111000" => inv <= conv_std_logic_vector(261140,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16185018,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87025173,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111001" => inv <= conv_std_logic_vector(261138,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16250806,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145260319,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111010" => inv <= conv_std_logic_vector(261136,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16316595,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70314033,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111011" => inv <= conv_std_logic_vector(261134,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16382384,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(130623237,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111100" => inv <= conv_std_logic_vector(261132,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16448174,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57754962,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111101" => inv <= conv_std_logic_vector(261130,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16513964,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120146640,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111110" => inv <= conv_std_logic_vector(261128,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16579755,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(49365303,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111111" => inv <= conv_std_logic_vector(261126,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16645546,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113847871,28); logexp <= conv_std_logic_vector(1014,11); WHEN others => inv <= conv_std_logic_vector(0,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28); logexp <= conv_std_logic_vector(0,11); END CASE; END PROCESS; END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** DP_LNLUT18.VHD *** --*** *** --*** Function: Look Up Table - LN() *** --*** *** --*** Generated by MATLAB Utility *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_lnlut18 IS PORT ( add : IN STD_LOGIC_VECTOR (9 DOWNTO 1); inv : OUT STD_LOGIC_VECTOR (18 DOWNTO 1); logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1) ); END dp_lnlut18; ARCHITECTURE rtl OF dp_lnlut18 IS BEGIN pca: PROCESS (add) BEGIN CASE add IS WHEN "000000000" => inv <= conv_std_logic_vector(131072,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28); logexp <= conv_std_logic_vector(0,11); WHEN "000000001" => inv <= conv_std_logic_vector(262143,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(31,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(268391765,28); logexp <= conv_std_logic_vector(1005,11); WHEN "000000010" => inv <= conv_std_logic_vector(262141,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8388752,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(327672,28); logexp <= conv_std_logic_vector(1006,11); WHEN "000000011" => inv <= conv_std_logic_vector(262139,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4194504,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(666254,28); logexp <= conv_std_logic_vector(1007,11); WHEN "000000100" => inv <= conv_std_logic_vector(262137,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12583304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1889508,28); logexp <= conv_std_logic_vector(1007,11); WHEN "000000101" => inv <= conv_std_logic_vector(262135,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2097476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1982311,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000000110" => inv <= conv_std_logic_vector(262133,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6291940,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3642366,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000000111" => inv <= conv_std_logic_vector(262131,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10486436,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5990414,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000001000" => inv <= conv_std_logic_vector(262129,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14680964,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9223005,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000001001" => inv <= conv_std_logic_vector(262127,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1049154,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(6702808,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001010" => inv <= conv_std_logic_vector(262125,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3146450,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9367390,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001011" => inv <= conv_std_logic_vector(262123,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5243762,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12637977,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001100" => inv <= conv_std_logic_vector(262121,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7341090,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(16612827,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001101" => inv <= conv_std_logic_vector(262119,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9438434,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21341043,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001110" => inv <= conv_std_logic_vector(262117,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11535794,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(26871724,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001111" => inv <= conv_std_logic_vector(262115,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13633170,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33303113,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000010000" => inv <= conv_std_logic_vector(262113,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15730562,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40684301,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000010001" => inv <= conv_std_logic_vector(262111,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(525377,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(24532187,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010010" => inv <= conv_std_logic_vector(262109,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1574089,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29270780,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010011" => inv <= conv_std_logic_vector(262107,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2622809,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34582468,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010100" => inv <= conv_std_logic_vector(262105,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3671537,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40499978,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010101" => inv <= conv_std_logic_vector(262103,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4720273,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47056037,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010110" => inv <= conv_std_logic_vector(262101,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5769017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54283367,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010111" => inv <= conv_std_logic_vector(262099,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6817769,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(62214689,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011000" => inv <= conv_std_logic_vector(262097,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7866529,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70882721,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011001" => inv <= conv_std_logic_vector(262095,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8915297,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80328369,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011010" => inv <= conv_std_logic_vector(262093,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9964073,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90567968,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011011" => inv <= conv_std_logic_vector(262091,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11012857,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(101650610,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011100" => inv <= conv_std_logic_vector(262089,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12061649,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113592623,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011101" => inv <= conv_std_logic_vector(262087,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13110449,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(126443095,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011110" => inv <= conv_std_logic_vector(262085,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14159257,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140226541,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011111" => inv <= conv_std_logic_vector(262083,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15208073,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(154975663,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000100000" => inv <= conv_std_logic_vector(262081,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16256897,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(170731353,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000100001" => inv <= conv_std_logic_vector(262079,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(264256,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227972692,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100010" => inv <= conv_std_logic_vector(262077,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(788676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236897961,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100011" => inv <= conv_std_logic_vector(262075,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1313100,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(246371462,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100100" => inv <= conv_std_logic_vector(262073,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1837528,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256409541,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100101" => inv <= conv_std_logic_vector(262071,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2361960,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(267028543,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100110" => inv <= conv_std_logic_vector(262069,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2886397,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9813450,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100111" => inv <= conv_std_logic_vector(262067,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3410837,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21647423,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101000" => inv <= conv_std_logic_vector(262065,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3935281,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34111345,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101001" => inv <= conv_std_logic_vector(262063,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4459729,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47221557,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101010" => inv <= conv_std_logic_vector(262061,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4984181,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60998494,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101011" => inv <= conv_std_logic_vector(262059,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5508637,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(75454397,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101100" => inv <= conv_std_logic_vector(262057,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6033097,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90605604,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101101" => inv <= conv_std_logic_vector(262055,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6557561,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106472545,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101110" => inv <= conv_std_logic_vector(262053,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7082029,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(123067460,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101111" => inv <= conv_std_logic_vector(262051,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7606501,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140410777,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110000" => inv <= conv_std_logic_vector(262049,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8130977,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(158510638,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110001" => inv <= conv_std_logic_vector(262047,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8655457,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(177395659,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110010" => inv <= conv_std_logic_vector(262045,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9179941,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197069887,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110011" => inv <= conv_std_logic_vector(262043,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9704429,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(217561932,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110100" => inv <= conv_std_logic_vector(262041,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10228921,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238875840,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110101" => inv <= conv_std_logic_vector(262039,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10753417,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(261036127,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110110" => inv <= conv_std_logic_vector(262037,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11277918,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(15623661,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110111" => inv <= conv_std_logic_vector(262035,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11802422,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39521584,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111000" => inv <= conv_std_logic_vector(262033,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12326930,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(64314859,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111001" => inv <= conv_std_logic_vector(262031,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12851442,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90015713,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111010" => inv <= conv_std_logic_vector(262029,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13375958,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(116644561,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111011" => inv <= conv_std_logic_vector(262027,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13900478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144213628,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111100" => inv <= conv_std_logic_vector(262025,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14425002,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(172743328,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111101" => inv <= conv_std_logic_vector(262023,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14949530,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(202249979,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111110" => inv <= conv_std_logic_vector(262021,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15474062,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232745802,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111111" => inv <= conv_std_logic_vector(262019,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15998598,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(264251207,28); logexp <= conv_std_logic_vector(1011,11); WHEN "001000000" => inv <= conv_std_logic_vector(262017,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16523139,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28347053,28); logexp <= conv_std_logic_vector(1011,11); WHEN "001000001" => inv <= conv_std_logic_vector(262015,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(135233,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(165175963,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000010" => inv <= conv_std_logic_vector(262013,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(397507,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(182491979,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000011" => inv <= conv_std_logic_vector(262011,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(659783,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(200343364,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000100" => inv <= conv_std_logic_vector(262009,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(922061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(218740319,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000101" => inv <= conv_std_logic_vector(262007,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1184341,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237688954,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000110" => inv <= conv_std_logic_vector(262005,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1446623,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257201513,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000111" => inv <= conv_std_logic_vector(262003,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1708908,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(8846602,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001000" => inv <= conv_std_logic_vector(262001,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1971194,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29507379,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001001" => inv <= conv_std_logic_vector(261999,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2233482,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50752445,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001010" => inv <= conv_std_logic_vector(261997,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2495772,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72592000,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001011" => inv <= conv_std_logic_vector(261995,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2758064,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(95036240,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001100" => inv <= conv_std_logic_vector(261993,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3020358,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118089223,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001101" => inv <= conv_std_logic_vector(261991,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3282654,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(141761145,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001110" => inv <= conv_std_logic_vector(261989,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3544952,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166062202,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001111" => inv <= conv_std_logic_vector(261987,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3807252,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(190996450,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010000" => inv <= conv_std_logic_vector(261985,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4069554,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216576129,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010001" => inv <= conv_std_logic_vector(261983,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4331858,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242805295,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010010" => inv <= conv_std_logic_vector(261981,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4594165,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1260730,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010011" => inv <= conv_std_logic_vector(261979,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4856473,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28821495,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010100" => inv <= conv_std_logic_vector(261977,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5118783,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57056185,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010101" => inv <= conv_std_logic_vector(261975,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5381095,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85977038,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010110" => inv <= conv_std_logic_vector(261973,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5643409,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(115590153,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010111" => inv <= conv_std_logic_vector(261971,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5905725,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145903675,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011000" => inv <= conv_std_logic_vector(261969,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6168043,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(176927792,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011001" => inv <= conv_std_logic_vector(261967,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6430363,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208668602,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011010" => inv <= conv_std_logic_vector(261965,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6692685,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(241134248,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011011" => inv <= conv_std_logic_vector(261963,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6955010,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5901507,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011100" => inv <= conv_std_logic_vector(261961,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7217336,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39843294,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011101" => inv <= conv_std_logic_vector(261959,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7479664,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(74536387,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011110" => inv <= conv_std_logic_vector(261957,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7741994,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(109988926,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011111" => inv <= conv_std_logic_vector(261955,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8004326,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146207004,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100000" => inv <= conv_std_logic_vector(261953,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8266660,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(183200806,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100001" => inv <= conv_std_logic_vector(261951,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8528996,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(220976425,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100010" => inv <= conv_std_logic_vector(261949,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8791334,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259546091,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100011" => inv <= conv_std_logic_vector(261947,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9053675,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(30478393,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100100" => inv <= conv_std_logic_vector(261945,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9316017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70652380,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100101" => inv <= conv_std_logic_vector(261943,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9578361,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111644825,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100110" => inv <= conv_std_logic_vector(261941,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9840707,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153461817,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100111" => inv <= conv_std_logic_vector(261939,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10103055,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(196109445,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101000" => inv <= conv_std_logic_vector(261937,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10365405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239597890,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101001" => inv <= conv_std_logic_vector(261935,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10627758,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(15499830,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101010" => inv <= conv_std_logic_vector(261933,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10890112,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60696356,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101011" => inv <= conv_std_logic_vector(261931,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11152468,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106756053,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101100" => inv <= conv_std_logic_vector(261929,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11414826,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153689099,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101101" => inv <= conv_std_logic_vector(261927,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11677186,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(201505673,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101110" => inv <= conv_std_logic_vector(261925,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11939548,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(250209813,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101111" => inv <= conv_std_logic_vector(261923,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12201913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31378287,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110000" => inv <= conv_std_logic_vector(261921,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12464279,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(81888089,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110001" => inv <= conv_std_logic_vector(261919,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12726647,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(133313941,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110010" => inv <= conv_std_logic_vector(261917,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12989017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(185659877,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110011" => inv <= conv_std_logic_vector(261915,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13251389,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238938120,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110100" => inv <= conv_std_logic_vector(261913,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13513764,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(24721341,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110101" => inv <= conv_std_logic_vector(261911,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13776140,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(79886532,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110110" => inv <= conv_std_logic_vector(261909,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14038518,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(136006365,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110111" => inv <= conv_std_logic_vector(261907,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14300898,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(193091012,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111000" => inv <= conv_std_logic_vector(261905,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14563280,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251146552,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111001" => inv <= conv_std_logic_vector(261903,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14825665,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(41747701,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111010" => inv <= conv_std_logic_vector(261901,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15088051,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(101773495,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111011" => inv <= conv_std_logic_vector(261899,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15350439,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162796601,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111100" => inv <= conv_std_logic_vector(261897,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15612829,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(224823097,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111101" => inv <= conv_std_logic_vector(261895,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15875222,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19427696,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111110" => inv <= conv_std_logic_vector(261893,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16137616,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83489431,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111111" => inv <= conv_std_logic_vector(261891,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16400012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(148578923,28); logexp <= conv_std_logic_vector(1012,11); WHEN "010000000" => inv <= conv_std_logic_vector(261889,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16662410,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(214708384,28); logexp <= conv_std_logic_vector(1012,11); WHEN "010000001" => inv <= conv_std_logic_vector(261887,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(73797,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140941945,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000010" => inv <= conv_std_logic_vector(261885,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(204998,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(175055756,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000011" => inv <= conv_std_logic_vector(261883,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(336200,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209701733,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000100" => inv <= conv_std_logic_vector(261881,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(467403,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(244882910,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000101" => inv <= conv_std_logic_vector(261879,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(598608,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12167891,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000110" => inv <= conv_std_logic_vector(261877,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(729813,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(48431647,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000111" => inv <= conv_std_logic_vector(261875,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(861019,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85243804,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001000" => inv <= conv_std_logic_vector(261873,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(992226,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(122607396,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001001" => inv <= conv_std_logic_vector(261871,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1123434,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160526482,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001010" => inv <= conv_std_logic_vector(261869,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1254643,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199006142,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001011" => inv <= conv_std_logic_vector(261867,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1385853,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238049411,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001100" => inv <= conv_std_logic_vector(261865,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1517065,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9224891,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001101" => inv <= conv_std_logic_vector(261863,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1648277,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(49409594,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001110" => inv <= conv_std_logic_vector(261861,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1779490,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90169054,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001111" => inv <= conv_std_logic_vector(261859,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1910704,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(131510396,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010000" => inv <= conv_std_logic_vector(261857,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2041919,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173435630,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010001" => inv <= conv_std_logic_vector(261855,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2173135,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(215949833,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010010" => inv <= conv_std_logic_vector(261853,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2304352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259057063,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010011" => inv <= conv_std_logic_vector(261851,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2435571,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34325917,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010100" => inv <= conv_std_logic_vector(261849,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2566790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78630340,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010101" => inv <= conv_std_logic_vector(261847,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2698010,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(123540976,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010110" => inv <= conv_std_logic_vector(261845,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2829231,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(169060858,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010111" => inv <= conv_std_logic_vector(261843,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2960453,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(215194037,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011000" => inv <= conv_std_logic_vector(261841,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3091676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(261943547,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011001" => inv <= conv_std_logic_vector(261839,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3222901,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40881052,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011010" => inv <= conv_std_logic_vector(261837,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3354126,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(88878449,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011011" => inv <= conv_std_logic_vector(261835,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3485352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137506381,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011100" => inv <= conv_std_logic_vector(261833,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3616579,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186767878,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011101" => inv <= conv_std_logic_vector(261831,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3747807,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236666992,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011110" => inv <= conv_std_logic_vector(261829,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3879037,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(18774364,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011111" => inv <= conv_std_logic_vector(261827,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4010267,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(69961890,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100000" => inv <= conv_std_logic_vector(261825,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4141498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(121800212,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100001" => inv <= conv_std_logic_vector(261823,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4272730,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174293379,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100010" => inv <= conv_std_logic_vector(261821,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4403963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227445444,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100011" => inv <= conv_std_logic_vector(261819,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4535198,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12823978,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100100" => inv <= conv_std_logic_vector(261817,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4666433,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(67305989,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100101" => inv <= conv_std_logic_vector(261815,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4797669,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(122458025,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100110" => inv <= conv_std_logic_vector(261813,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4928906,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(178285160,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100111" => inv <= conv_std_logic_vector(261811,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5060144,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234791442,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101000" => inv <= conv_std_logic_vector(261809,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5191384,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(23545465,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101001" => inv <= conv_std_logic_vector(261807,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5322624,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(81422190,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101010" => inv <= conv_std_logic_vector(261805,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5453865,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(139991231,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101011" => inv <= conv_std_logic_vector(261803,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5585107,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199255616,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101100" => inv <= conv_std_logic_vector(261801,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5716350,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259219392,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101101" => inv <= conv_std_logic_vector(261799,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5847595,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(51452173,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101110" => inv <= conv_std_logic_vector(261797,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5978840,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(112827896,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101111" => inv <= conv_std_logic_vector(261795,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6110086,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174915153,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110000" => inv <= conv_std_logic_vector(261793,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6241333,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237719013,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110001" => inv <= conv_std_logic_vector(261791,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6372582,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(32808067,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110010" => inv <= conv_std_logic_vector(261789,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6503831,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97057274,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110011" => inv <= conv_std_logic_vector(261787,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6635081,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162034200,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110100" => inv <= conv_std_logic_vector(261785,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6766332,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227743914,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110101" => inv <= conv_std_logic_vector(261783,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6897585,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(25755007,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110110" => inv <= conv_std_logic_vector(261781,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7028838,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(92942434,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110111" => inv <= conv_std_logic_vector(261779,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7160092,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160875808,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111000" => inv <= conv_std_logic_vector(261777,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7291347,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(229557128,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111001" => inv <= conv_std_logic_vector(261775,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7422604,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(30556006,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111010" => inv <= conv_std_logic_vector(261773,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7553861,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100748419,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111011" => inv <= conv_std_logic_vector(261771,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7685119,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(171701934,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111100" => inv <= conv_std_logic_vector(261769,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7816378,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243420594,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111101" => inv <= conv_std_logic_vector(261767,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7947639,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47474008,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111110" => inv <= conv_std_logic_vector(261765,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8078900,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120736110,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111111" => inv <= conv_std_logic_vector(261763,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8210162,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(194776508,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000000" => inv <= conv_std_logic_vector(261761,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8341426,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1163789,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000001" => inv <= conv_std_logic_vector(261759,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8472690,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(76771885,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000010" => inv <= conv_std_logic_vector(261757,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8603955,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153170403,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000011" => inv <= conv_std_logic_vector(261755,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8735221,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(230363387,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000100" => inv <= conv_std_logic_vector(261753,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8866489,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39919420,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000101" => inv <= conv_std_logic_vector(261751,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8997757,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118713456,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000110" => inv <= conv_std_logic_vector(261749,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9129026,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(198314080,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000111" => inv <= conv_std_logic_vector(261747,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9260297,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(10289876,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001000" => inv <= conv_std_logic_vector(261745,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9391568,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(91515797,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001001" => inv <= conv_std_logic_vector(261743,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9522840,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173561448,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001010" => inv <= conv_std_logic_vector(261741,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9654113,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256429848,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001011" => inv <= conv_std_logic_vector(261739,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9785388,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71690601,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001100" => inv <= conv_std_logic_vector(261737,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9916663,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(156217637,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001101" => inv <= conv_std_logic_vector(261735,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10047939,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(241580560,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001110" => inv <= conv_std_logic_vector(261733,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10179217,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(59346931,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001111" => inv <= conv_std_logic_vector(261731,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10310495,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146392723,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010000" => inv <= conv_std_logic_vector(261729,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10441774,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234286516,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010001" => inv <= conv_std_logic_vector(261727,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10573055,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54596894,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010010" => inv <= conv_std_logic_vector(261725,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10704336,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144198805,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010011" => inv <= conv_std_logic_vector(261723,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10835618,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234660830,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010100" => inv <= conv_std_logic_vector(261721,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10966902,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57551551,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010101" => inv <= conv_std_logic_vector(261719,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11098186,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(149745915,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010110" => inv <= conv_std_logic_vector(261717,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11229471,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242812504,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010111" => inv <= conv_std_logic_vector(261715,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11360758,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68320919,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011000" => inv <= conv_std_logic_vector(261713,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11492045,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(163146107,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011001" => inv <= conv_std_logic_vector(261711,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11623333,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258854605,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011010" => inv <= conv_std_logic_vector(261709,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11754623,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87018057,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011011" => inv <= conv_std_logic_vector(261707,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11885913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(184509366,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011100" => inv <= conv_std_logic_vector(261705,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12017205,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(14462677,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011101" => inv <= conv_std_logic_vector(261703,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12148497,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113752937,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011110" => inv <= conv_std_logic_vector(261701,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12279790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213947700,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011111" => inv <= conv_std_logic_vector(261699,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12411085,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46617589,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100000" => inv <= conv_std_logic_vector(261697,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12542380,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(148636528,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100001" => inv <= conv_std_logic_vector(261695,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12673676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251573093,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100010" => inv <= conv_std_logic_vector(261693,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12804974,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(86995861,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100011" => inv <= conv_std_logic_vector(261691,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12936272,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(191780799,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100100" => inv <= conv_std_logic_vector(261689,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13067572,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29060005,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100101" => inv <= conv_std_logic_vector(261687,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13198872,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135708424,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100110" => inv <= conv_std_logic_vector(261685,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13330173,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243295652,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100111" => inv <= conv_std_logic_vector(261683,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13461476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83390266,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101000" => inv <= conv_std_logic_vector(261681,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13592779,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(192867209,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101001" => inv <= conv_std_logic_vector(261679,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13724084,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34858577,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101010" => inv <= conv_std_logic_vector(261677,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13855389,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146240336,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101011" => inv <= conv_std_logic_vector(261675,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13986695,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258581058,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101100" => inv <= conv_std_logic_vector(261673,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14118003,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(103450342,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101101" => inv <= conv_std_logic_vector(261671,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14249311,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(217721083,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101110" => inv <= conv_std_logic_vector(261669,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14380621,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(64528444,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101111" => inv <= conv_std_logic_vector(261667,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14511931,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(180746345,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110000" => inv <= conv_std_logic_vector(261665,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14643243,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29508923,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110001" => inv <= conv_std_logic_vector(261663,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14774555,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(147690097,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110010" => inv <= conv_std_logic_vector(261661,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14905868,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(266859463,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110011" => inv <= conv_std_logic_vector(261659,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15037183,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118585591,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110100" => inv <= conv_std_logic_vector(261657,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15168498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239742400,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110101" => inv <= conv_std_logic_vector(261655,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15299815,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(93464027,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110110" => inv <= conv_std_logic_vector(261653,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15431132,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216625411,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110111" => inv <= conv_std_logic_vector(261651,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15562451,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72360690,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111000" => inv <= conv_std_logic_vector(261649,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15693770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197542758,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111001" => inv <= conv_std_logic_vector(261647,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15825091,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(55306773,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111010" => inv <= conv_std_logic_vector(261645,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15956412,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(182526652,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111011" => inv <= conv_std_logic_vector(261643,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16087735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42335507,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111100" => inv <= conv_std_logic_vector(261641,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16219058,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(171609300,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111101" => inv <= conv_std_logic_vector(261639,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16350383,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33481142,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111110" => inv <= conv_std_logic_vector(261637,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16481708,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(164824949,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111111" => inv <= conv_std_logic_vector(261635,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16613035,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28774856,28); logexp <= conv_std_logic_vector(1013,11); WHEN "100000000" => inv <= conv_std_logic_vector(261633,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16744362,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162205798,28); logexp <= conv_std_logic_vector(1013,11); WHEN "100000001" => inv <= conv_std_logic_vector(261632,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97880094,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000010" => inv <= conv_std_logic_vector(261630,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(82069,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232492642,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000011" => inv <= conv_std_logic_vector(261628,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(147734,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(233414771,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000100" => inv <= conv_std_logic_vector(261626,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(213400,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100649515,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000101" => inv <= conv_std_logic_vector(261624,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(279066,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(102634341,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000110" => inv <= conv_std_logic_vector(261622,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(344732,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239370238,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000111" => inv <= conv_std_logic_vector(261620,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(410399,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242424784,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001000" => inv <= conv_std_logic_vector(261618,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(476067,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111799989,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001001" => inv <= conv_std_logic_vector(261616,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(541735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(115933322,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001010" => inv <= conv_std_logic_vector(261614,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(607403,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254826281,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001011" => inv <= conv_std_logic_vector(261612,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(673072,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(260045933,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001100" => inv <= conv_std_logic_vector(261610,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(738742,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(131594288,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001101" => inv <= conv_std_logic_vector(261608,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(804412,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137909323,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001110" => inv <= conv_std_logic_vector(261606,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(870083,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(10556570,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001111" => inv <= conv_std_logic_vector(261604,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(935754,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17974519,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010000" => inv <= conv_std_logic_vector(261602,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1001425,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160164667,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010001" => inv <= conv_std_logic_vector(261600,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1067097,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168694080,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010010" => inv <= conv_std_logic_vector(261598,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1132770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(43564256,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010011" => inv <= conv_std_logic_vector(261596,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1198443,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(53213172,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010100" => inv <= conv_std_logic_vector(261594,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1264116,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197642837,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010101" => inv <= conv_std_logic_vector(261592,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1329790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208419294,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010110" => inv <= conv_std_logic_vector(261590,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1395465,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85545574,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010111" => inv <= conv_std_logic_vector(261588,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1461140,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97458630,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011000" => inv <= conv_std_logic_vector(261586,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1526815,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(244160472,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011001" => inv <= conv_std_logic_vector(261584,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1592491,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257217653,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011010" => inv <= conv_std_logic_vector(261582,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1658168,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(136632180,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011011" => inv <= conv_std_logic_vector(261580,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1723845,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(150842541,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011100" => inv <= conv_std_logic_vector(261578,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1789523,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31413754,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011101" => inv <= conv_std_logic_vector(261576,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1855201,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46784817,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011110" => inv <= conv_std_logic_vector(261574,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1920879,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(196956715,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011111" => inv <= conv_std_logic_vector(261572,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1986558,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213496513,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100000" => inv <= conv_std_logic_vector(261570,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2052238,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(96406727,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100001" => inv <= conv_std_logic_vector(261568,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2117918,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(114123801,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100010" => inv <= conv_std_logic_vector(261566,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2183598,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(266650763,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100011" => inv <= conv_std_logic_vector(261564,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2249280,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17118198,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100100" => inv <= conv_std_logic_vector(261562,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2314961,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(170834480,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100101" => inv <= conv_std_logic_vector(261560,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2380643,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(190931217,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100110" => inv <= conv_std_logic_vector(261558,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2446326,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(77410414,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100111" => inv <= conv_std_logic_vector(261556,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2512009,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(98709023,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101000" => inv <= conv_std_logic_vector(261554,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2577692,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254830073,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101001" => inv <= conv_std_logic_vector(261552,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2643377,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(8903637,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101010" => inv <= conv_std_logic_vector(261550,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2709061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166239111,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101011" => inv <= conv_std_logic_vector(261548,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2774746,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(189966567,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101100" => inv <= conv_std_logic_vector(261546,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2840432,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80089032,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101101" => inv <= conv_std_logic_vector(261544,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2906118,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(105043970,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101110" => inv <= conv_std_logic_vector(261542,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2971804,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(264832874,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101111" => inv <= conv_std_logic_vector(261540,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3037492,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(22586838,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110000" => inv <= conv_std_logic_vector(261538,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3103179,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(183615258,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110001" => inv <= conv_std_logic_vector(261536,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3168867,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(211048205,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110010" => inv <= conv_std_logic_vector(261534,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3234556,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(104888194,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110011" => inv <= conv_std_logic_vector(261532,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3300245,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(133573199,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110100" => inv <= conv_std_logic_vector(261530,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3365935,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28668746,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110101" => inv <= conv_std_logic_vector(261528,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3431625,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(58613318,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110110" => inv <= conv_std_logic_vector(261526,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3497315,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(223408408,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110111" => inv <= conv_std_logic_vector(261524,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3563006,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254621075,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111000" => inv <= conv_std_logic_vector(261522,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3628698,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152253325,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111001" => inv <= conv_std_logic_vector(261520,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3694390,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(184742106,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111010" => inv <= conv_std_logic_vector(261518,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3760083,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83654478,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111011" => inv <= conv_std_logic_vector(261516,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3825776,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(117428410,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111100" => inv <= conv_std_logic_vector(261514,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3891470,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17629430,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111101" => inv <= conv_std_logic_vector(261512,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3957164,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52695507,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111110" => inv <= conv_std_logic_vector(261510,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4022858,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(222629156,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111111" => inv <= conv_std_logic_vector(261508,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4088553,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258996925,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000000" => inv <= conv_std_logic_vector(261506,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4154249,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(161800305,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000001" => inv <= conv_std_logic_vector(261504,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4219945,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199477266,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000010" => inv <= conv_std_logic_vector(261502,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4285642,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(103593845,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000011" => inv <= conv_std_logic_vector(261500,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4351339,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(142588522,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000100" => inv <= conv_std_logic_vector(261498,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4417037,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(48026823,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000101" => inv <= conv_std_logic_vector(261496,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4482735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(88347226,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000110" => inv <= conv_std_logic_vector(261494,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4548433,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(263551224,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000111" => inv <= conv_std_logic_vector(261492,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4614133,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(36769906,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001000" => inv <= conv_std_logic_vector(261490,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4679832,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213312155,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001001" => inv <= conv_std_logic_vector(261488,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4745532,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256309059,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001010" => inv <= conv_std_logic_vector(261486,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4811233,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(165762621,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001011" => inv <= conv_std_logic_vector(261484,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4876934,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210109787,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001100" => inv <= conv_std_logic_vector(261482,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4942636,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120917613,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001101" => inv <= conv_std_logic_vector(261480,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5008338,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166624068,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001110" => inv <= conv_std_logic_vector(261478,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5074041,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78795186,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001111" => inv <= conv_std_logic_vector(261476,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5139744,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(125868425,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010000" => inv <= conv_std_logic_vector(261474,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5205448,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39410329,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010001" => inv <= conv_std_logic_vector(261472,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5271152,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87859376,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010010" => inv <= conv_std_logic_vector(261470,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5336857,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(2781090,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010011" => inv <= conv_std_logic_vector(261468,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5402562,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52613438,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010100" => inv <= conv_std_logic_vector(261466,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5468267,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237358419,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010101" => inv <= conv_std_logic_vector(261464,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5533974,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(20147124,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010110" => inv <= conv_std_logic_vector(261462,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5599680,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(206287919,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010111" => inv <= conv_std_logic_vector(261460,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5665387,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258912403,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011000" => inv <= conv_std_logic_vector(261458,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5731095,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(178022066,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011001" => inv <= conv_std_logic_vector(261456,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5796803,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232054873,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011010" => inv <= conv_std_logic_vector(261454,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5862512,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152577368,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011011" => inv <= conv_std_logic_vector(261452,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5928221,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208027007,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011100" => inv <= conv_std_logic_vector(261450,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5993931,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(129969822,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011101" => inv <= conv_std_logic_vector(261448,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6059641,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186844290,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011110" => inv <= conv_std_logic_vector(261446,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6125352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(110216442,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011111" => inv <= conv_std_logic_vector(261444,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6191063,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168523735,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100000" => inv <= conv_std_logic_vector(261442,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6256775,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(93333220,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100001" => inv <= conv_std_logic_vector(261440,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6322487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153082353,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100010" => inv <= conv_std_logic_vector(261438,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6388200,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(79337165,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100011" => inv <= conv_std_logic_vector(261436,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6453913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140535621,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100100" => inv <= conv_std_logic_vector(261434,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6519627,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68244774,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100101" => inv <= conv_std_logic_vector(261432,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6585341,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(130901057,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100110" => inv <= conv_std_logic_vector(261430,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6651056,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60072032,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100111" => inv <= conv_std_logic_vector(261428,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6716771,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(124194644,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101000" => inv <= conv_std_logic_vector(261426,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6782487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54835943,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101001" => inv <= conv_std_logic_vector(261424,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6848203,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120433383,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101010" => inv <= conv_std_logic_vector(261422,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6913920,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52552996,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101011" => inv <= conv_std_logic_vector(261421,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6946778,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(203440839,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101100" => inv <= conv_std_logic_vector(261419,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7012496,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(69566203,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101101" => inv <= conv_std_logic_vector(261417,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7078214,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70654699,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101110" => inv <= conv_std_logic_vector(261415,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7143932,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(206708322,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101111" => inv <= conv_std_logic_vector(261413,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7209651,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209294124,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110000" => inv <= conv_std_logic_vector(261411,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7275371,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78413591,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110001" => inv <= conv_std_logic_vector(261409,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7341091,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(82505197,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110010" => inv <= conv_std_logic_vector(261407,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7406811,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(221569406,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110011" => inv <= conv_std_logic_vector(261405,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7472532,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227174289,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110100" => inv <= conv_std_logic_vector(261403,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7538254,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(99321843,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110101" => inv <= conv_std_logic_vector(261401,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7603976,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106448498,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110110" => inv <= conv_std_logic_vector(261399,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7669698,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(248557271,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110111" => inv <= conv_std_logic_vector(261397,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7735421,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257214192,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111000" => inv <= conv_std_logic_vector(261395,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7801145,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132421765,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111001" => inv <= conv_std_logic_vector(261393,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7866869,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(142616931,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111010" => inv <= conv_std_logic_vector(261391,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7932594,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19367251,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111011" => inv <= conv_std_logic_vector(261389,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7998319,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31109665,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111100" => inv <= conv_std_logic_vector(261387,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8064044,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(177846168,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111101" => inv <= conv_std_logic_vector(261385,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8129770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(191143299,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111110" => inv <= conv_std_logic_vector(261383,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8195497,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71003562,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111111" => inv <= conv_std_logic_vector(261381,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8261224,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85863897,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000000" => inv <= conv_std_logic_vector(261379,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8326951,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(235726809,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000001" => inv <= conv_std_logic_vector(261377,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8392679,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(252159347,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000010" => inv <= conv_std_logic_vector(261375,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8458408,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135162483,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000011" => inv <= conv_std_logic_vector(261373,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8524137,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153174178,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000100" => inv <= conv_std_logic_vector(261371,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8589867,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(37761480,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000101" => inv <= conv_std_logic_vector(261369,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8655597,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57361328,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000110" => inv <= conv_std_logic_vector(261367,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8721327,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(211976226,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000111" => inv <= conv_std_logic_vector(261365,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8787058,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(233172711,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001000" => inv <= conv_std_logic_vector(261363,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8852790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120952266,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001001" => inv <= conv_std_logic_vector(261361,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8918522,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(143753360,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001010" => inv <= conv_std_logic_vector(261359,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8984255,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33142020,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001011" => inv <= conv_std_logic_vector(261357,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9049988,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57555694,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001100" => inv <= conv_std_logic_vector(261355,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9115721,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216996886,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001101" => inv <= conv_std_logic_vector(261353,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9181455,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243031622,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001110" => inv <= conv_std_logic_vector(261351,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9247190,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135662403,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001111" => inv <= conv_std_logic_vector(261349,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9312925,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(163326679,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010000" => inv <= conv_std_logic_vector(261347,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9378661,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57590984,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010001" => inv <= conv_std_logic_vector(261345,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9444397,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(86893278,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010010" => inv <= conv_std_logic_vector(261343,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9510133,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251234532,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010011" => inv <= conv_std_logic_vector(261341,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9575871,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(13747355,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010100" => inv <= conv_std_logic_vector(261339,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9641608,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(179738576,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010101" => inv <= conv_std_logic_vector(261337,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9707346,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(212340806,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010110" => inv <= conv_std_logic_vector(261335,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9773085,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111555525,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010111" => inv <= conv_std_logic_vector(261333,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9838824,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145820180,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011000" => inv <= conv_std_logic_vector(261331,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9904564,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46701306,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011001" => inv <= conv_std_logic_vector(261329,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9970304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(82636350,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011010" => inv <= conv_std_logic_vector(261327,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10036044,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(253627813,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011011" => inv <= conv_std_logic_vector(261325,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10101786,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(22806772,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011100" => inv <= conv_std_logic_vector(261323,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10167527,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195481587,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011101" => inv <= conv_std_logic_vector(261321,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10233269,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234782825,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011110" => inv <= conv_std_logic_vector(261319,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10299012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140713496,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011111" => inv <= conv_std_logic_vector(261317,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10364755,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(181710537,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100000" => inv <= conv_std_logic_vector(261315,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10430499,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(89340991,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100001" => inv <= conv_std_logic_vector(261313,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10496243,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132041793,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100010" => inv <= conv_std_logic_vector(261311,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10561988,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(41379987,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100011" => inv <= conv_std_logic_vector(261309,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10627733,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85793018,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100100" => inv <= conv_std_logic_vector(261307,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10693478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(265282876,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100101" => inv <= conv_std_logic_vector(261305,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10759225,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42980638,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100110" => inv <= conv_std_logic_vector(261303,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10824971,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(224195170,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100111" => inv <= conv_std_logic_vector(261301,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10890719,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3621583,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101000" => inv <= conv_std_logic_vector(261299,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10956466,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186568744,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101001" => inv <= conv_std_logic_vector(261297,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11022214,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236167729,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101010" => inv <= conv_std_logic_vector(261295,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11087963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152420527,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101011" => inv <= conv_std_logic_vector(261293,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11153712,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(203764581,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101100" => inv <= conv_std_logic_vector(261291,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11219462,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(121766424,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101101" => inv <= conv_std_logic_vector(261289,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11285212,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174864010,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101110" => inv <= conv_std_logic_vector(261287,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11350963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94623359,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101111" => inv <= conv_std_logic_vector(261285,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11416714,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(149482427,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110000" => inv <= conv_std_logic_vector(261283,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11482466,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71008255,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110001" => inv <= conv_std_logic_vector(261281,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11548218,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(127637265,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110010" => inv <= conv_std_logic_vector(261279,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11613971,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50936498,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110011" => inv <= conv_std_logic_vector(261277,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11679724,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(109344419,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110100" => inv <= conv_std_logic_vector(261275,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11745478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34426028,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110101" => inv <= conv_std_logic_vector(261273,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11811232,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94620297,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110110" => inv <= conv_std_logic_vector(261271,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11876987,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21492737,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110111" => inv <= conv_std_logic_vector(261269,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11942742,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83481301,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111000" => inv <= conv_std_logic_vector(261267,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12008498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12153029,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111001" => inv <= conv_std_logic_vector(261265,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12074254,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(75944853,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111010" => inv <= conv_std_logic_vector(261263,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12140011,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(6423814,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111011" => inv <= conv_std_logic_vector(261261,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12205768,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72026842,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111100" => inv <= conv_std_logic_vector(261259,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12271526,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(4320978,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111101" => inv <= conv_std_logic_vector(261258,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12304405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21141383,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111110" => inv <= conv_std_logic_vector(261256,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12370163,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(156129342,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111111" => inv <= conv_std_logic_vector(261254,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12435922,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(157813374,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000000" => inv <= conv_std_logic_vector(261252,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12501682,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(26195972,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000001" => inv <= conv_std_logic_vector(261250,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12567442,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29714068,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000010" => inv <= conv_std_logic_vector(261248,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12633202,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168370156,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000011" => inv <= conv_std_logic_vector(261246,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12698963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173730767,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000100" => inv <= conv_std_logic_vector(261244,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12764725,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(45797884,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000101" => inv <= conv_std_logic_vector(261242,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12830487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(53009458,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000110" => inv <= conv_std_logic_vector(261240,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12896249,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195366963,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000111" => inv <= conv_std_logic_vector(261238,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12962012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(204436928,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001000" => inv <= conv_std_logic_vector(261236,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13027776,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80222356,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001001" => inv <= conv_std_logic_vector(261234,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13093540,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(91159668,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001010" => inv <= conv_std_logic_vector(261232,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13159304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237251358,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001011" => inv <= conv_std_logic_vector(261230,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13225069,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(250064462,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001100" => inv <= conv_std_logic_vector(261228,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13290835,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(129600456,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001101" => inv <= conv_std_logic_vector(261226,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13356601,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144297287,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001110" => inv <= conv_std_logic_vector(261224,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13422368,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(25721484,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001111" => inv <= conv_std_logic_vector(261222,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13488135,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42309975,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010000" => inv <= conv_std_logic_vector(261220,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13553902,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(194065764,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010001" => inv <= conv_std_logic_vector(261218,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13619670,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(212554867,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010010" => inv <= conv_std_logic_vector(261216,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13685439,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97779777,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010011" => inv <= conv_std_logic_vector(261214,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13751208,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118177423,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010100" => inv <= conv_std_logic_vector(261212,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13816978,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5314840,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010101" => inv <= conv_std_logic_vector(261210,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13882748,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(27629467,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010110" => inv <= conv_std_logic_vector(261208,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13948518,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(185123287,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010111" => inv <= conv_std_logic_vector(261206,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14014289,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209363335,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011000" => inv <= conv_std_logic_vector(261204,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14080061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100351083,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011001" => inv <= conv_std_logic_vector(261202,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14145833,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(126524479,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011010" => inv <= conv_std_logic_vector(261200,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14211606,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19449539,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011011" => inv <= conv_std_logic_vector(261198,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14277379,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47564719,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011100" => inv <= conv_std_logic_vector(261196,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14343152,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210871490,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011101" => inv <= conv_std_logic_vector(261194,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14408926,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(240936379,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011110" => inv <= conv_std_logic_vector(261192,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14474701,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137761877,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011111" => inv <= conv_std_logic_vector(261190,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14540476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(169784908,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100000" => inv <= conv_std_logic_vector(261188,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14606252,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68573020,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100001" => inv <= conv_std_logic_vector(261186,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14672028,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(102562628,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100010" => inv <= conv_std_logic_vector(261184,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100011" => inv <= conv_std_logic_vector(261182,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14803582,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39285891,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100100" => inv <= conv_std_logic_vector(261180,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14869359,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210458963,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100101" => inv <= conv_std_logic_vector(261178,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14935137,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(248407016,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100110" => inv <= conv_std_logic_vector(261176,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15000916,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153132030,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100111" => inv <= conv_std_logic_vector(261174,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15066695,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(193071440,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101000" => inv <= conv_std_logic_vector(261172,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15132475,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(99791770,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101001" => inv <= conv_std_logic_vector(261170,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15198255,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(141730965,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101010" => inv <= conv_std_logic_vector(261168,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15264036,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50455039,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101011" => inv <= conv_std_logic_vector(261166,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15329817,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94401937,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101100" => inv <= conv_std_logic_vector(261164,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15395599,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5138692,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101101" => inv <= conv_std_logic_vector(261162,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15461381,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(51101718,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101110" => inv <= conv_std_logic_vector(261160,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15527163,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232294014,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101111" => inv <= conv_std_logic_vector(261158,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15592947,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(11846647,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110000" => inv <= conv_std_logic_vector(261156,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15658730,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195067454,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110001" => inv <= conv_std_logic_vector(261154,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15724514,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(245088520,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110010" => inv <= conv_std_logic_vector(261152,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15790299,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(161910803,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110011" => inv <= conv_std_logic_vector(261150,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15856084,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213972758,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110100" => inv <= conv_std_logic_vector(261148,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15921870,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132840397,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110101" => inv <= conv_std_logic_vector(261146,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15987656,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186951663,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110110" => inv <= conv_std_logic_vector(261144,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16053443,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(107873077,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110111" => inv <= conv_std_logic_vector(261142,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16119230,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(164042073,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111000" => inv <= conv_std_logic_vector(261140,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16185018,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87025173,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111001" => inv <= conv_std_logic_vector(261138,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16250806,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145260319,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111010" => inv <= conv_std_logic_vector(261136,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16316595,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70314033,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111011" => inv <= conv_std_logic_vector(261134,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16382384,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(130623237,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111100" => inv <= conv_std_logic_vector(261132,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16448174,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57754962,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111101" => inv <= conv_std_logic_vector(261130,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16513964,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120146640,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111110" => inv <= conv_std_logic_vector(261128,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16579755,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(49365303,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111111" => inv <= conv_std_logic_vector(261126,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16645546,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113847871,28); logexp <= conv_std_logic_vector(1014,11); WHEN others => inv <= conv_std_logic_vector(0,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28); logexp <= conv_std_logic_vector(0,11); END CASE; END PROCESS; END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** DP_LNLUT18.VHD *** --*** *** --*** Function: Look Up Table - LN() *** --*** *** --*** Generated by MATLAB Utility *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_lnlut18 IS PORT ( add : IN STD_LOGIC_VECTOR (9 DOWNTO 1); inv : OUT STD_LOGIC_VECTOR (18 DOWNTO 1); logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1) ); END dp_lnlut18; ARCHITECTURE rtl OF dp_lnlut18 IS BEGIN pca: PROCESS (add) BEGIN CASE add IS WHEN "000000000" => inv <= conv_std_logic_vector(131072,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28); logexp <= conv_std_logic_vector(0,11); WHEN "000000001" => inv <= conv_std_logic_vector(262143,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(31,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(268391765,28); logexp <= conv_std_logic_vector(1005,11); WHEN "000000010" => inv <= conv_std_logic_vector(262141,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8388752,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(327672,28); logexp <= conv_std_logic_vector(1006,11); WHEN "000000011" => inv <= conv_std_logic_vector(262139,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4194504,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(666254,28); logexp <= conv_std_logic_vector(1007,11); WHEN "000000100" => inv <= conv_std_logic_vector(262137,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12583304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1889508,28); logexp <= conv_std_logic_vector(1007,11); WHEN "000000101" => inv <= conv_std_logic_vector(262135,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2097476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1982311,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000000110" => inv <= conv_std_logic_vector(262133,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6291940,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3642366,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000000111" => inv <= conv_std_logic_vector(262131,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10486436,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5990414,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000001000" => inv <= conv_std_logic_vector(262129,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14680964,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9223005,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000001001" => inv <= conv_std_logic_vector(262127,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1049154,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(6702808,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001010" => inv <= conv_std_logic_vector(262125,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3146450,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9367390,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001011" => inv <= conv_std_logic_vector(262123,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5243762,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12637977,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001100" => inv <= conv_std_logic_vector(262121,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7341090,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(16612827,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001101" => inv <= conv_std_logic_vector(262119,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9438434,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21341043,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001110" => inv <= conv_std_logic_vector(262117,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11535794,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(26871724,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001111" => inv <= conv_std_logic_vector(262115,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13633170,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33303113,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000010000" => inv <= conv_std_logic_vector(262113,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15730562,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40684301,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000010001" => inv <= conv_std_logic_vector(262111,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(525377,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(24532187,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010010" => inv <= conv_std_logic_vector(262109,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1574089,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29270780,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010011" => inv <= conv_std_logic_vector(262107,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2622809,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34582468,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010100" => inv <= conv_std_logic_vector(262105,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3671537,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40499978,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010101" => inv <= conv_std_logic_vector(262103,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4720273,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47056037,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010110" => inv <= conv_std_logic_vector(262101,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5769017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54283367,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010111" => inv <= conv_std_logic_vector(262099,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6817769,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(62214689,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011000" => inv <= conv_std_logic_vector(262097,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7866529,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70882721,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011001" => inv <= conv_std_logic_vector(262095,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8915297,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80328369,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011010" => inv <= conv_std_logic_vector(262093,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9964073,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90567968,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011011" => inv <= conv_std_logic_vector(262091,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11012857,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(101650610,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011100" => inv <= conv_std_logic_vector(262089,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12061649,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113592623,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011101" => inv <= conv_std_logic_vector(262087,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13110449,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(126443095,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011110" => inv <= conv_std_logic_vector(262085,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14159257,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140226541,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011111" => inv <= conv_std_logic_vector(262083,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15208073,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(154975663,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000100000" => inv <= conv_std_logic_vector(262081,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16256897,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(170731353,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000100001" => inv <= conv_std_logic_vector(262079,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(264256,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227972692,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100010" => inv <= conv_std_logic_vector(262077,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(788676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236897961,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100011" => inv <= conv_std_logic_vector(262075,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1313100,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(246371462,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100100" => inv <= conv_std_logic_vector(262073,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1837528,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256409541,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100101" => inv <= conv_std_logic_vector(262071,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2361960,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(267028543,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100110" => inv <= conv_std_logic_vector(262069,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2886397,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9813450,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100111" => inv <= conv_std_logic_vector(262067,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3410837,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21647423,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101000" => inv <= conv_std_logic_vector(262065,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3935281,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34111345,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101001" => inv <= conv_std_logic_vector(262063,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4459729,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47221557,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101010" => inv <= conv_std_logic_vector(262061,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4984181,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60998494,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101011" => inv <= conv_std_logic_vector(262059,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5508637,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(75454397,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101100" => inv <= conv_std_logic_vector(262057,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6033097,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90605604,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101101" => inv <= conv_std_logic_vector(262055,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6557561,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106472545,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101110" => inv <= conv_std_logic_vector(262053,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7082029,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(123067460,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101111" => inv <= conv_std_logic_vector(262051,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7606501,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140410777,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110000" => inv <= conv_std_logic_vector(262049,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8130977,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(158510638,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110001" => inv <= conv_std_logic_vector(262047,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8655457,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(177395659,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110010" => inv <= conv_std_logic_vector(262045,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9179941,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197069887,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110011" => inv <= conv_std_logic_vector(262043,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9704429,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(217561932,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110100" => inv <= conv_std_logic_vector(262041,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10228921,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238875840,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110101" => inv <= conv_std_logic_vector(262039,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10753417,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(261036127,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110110" => inv <= conv_std_logic_vector(262037,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11277918,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(15623661,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110111" => inv <= conv_std_logic_vector(262035,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11802422,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39521584,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111000" => inv <= conv_std_logic_vector(262033,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12326930,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(64314859,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111001" => inv <= conv_std_logic_vector(262031,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12851442,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90015713,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111010" => inv <= conv_std_logic_vector(262029,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13375958,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(116644561,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111011" => inv <= conv_std_logic_vector(262027,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13900478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144213628,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111100" => inv <= conv_std_logic_vector(262025,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14425002,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(172743328,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111101" => inv <= conv_std_logic_vector(262023,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14949530,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(202249979,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111110" => inv <= conv_std_logic_vector(262021,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15474062,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232745802,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111111" => inv <= conv_std_logic_vector(262019,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15998598,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(264251207,28); logexp <= conv_std_logic_vector(1011,11); WHEN "001000000" => inv <= conv_std_logic_vector(262017,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16523139,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28347053,28); logexp <= conv_std_logic_vector(1011,11); WHEN "001000001" => inv <= conv_std_logic_vector(262015,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(135233,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(165175963,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000010" => inv <= conv_std_logic_vector(262013,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(397507,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(182491979,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000011" => inv <= conv_std_logic_vector(262011,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(659783,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(200343364,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000100" => inv <= conv_std_logic_vector(262009,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(922061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(218740319,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000101" => inv <= conv_std_logic_vector(262007,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1184341,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237688954,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000110" => inv <= conv_std_logic_vector(262005,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1446623,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257201513,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000111" => inv <= conv_std_logic_vector(262003,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1708908,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(8846602,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001000" => inv <= conv_std_logic_vector(262001,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1971194,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29507379,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001001" => inv <= conv_std_logic_vector(261999,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2233482,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50752445,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001010" => inv <= conv_std_logic_vector(261997,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2495772,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72592000,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001011" => inv <= conv_std_logic_vector(261995,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2758064,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(95036240,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001100" => inv <= conv_std_logic_vector(261993,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3020358,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118089223,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001101" => inv <= conv_std_logic_vector(261991,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3282654,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(141761145,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001110" => inv <= conv_std_logic_vector(261989,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3544952,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166062202,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001111" => inv <= conv_std_logic_vector(261987,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3807252,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(190996450,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010000" => inv <= conv_std_logic_vector(261985,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4069554,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216576129,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010001" => inv <= conv_std_logic_vector(261983,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4331858,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242805295,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010010" => inv <= conv_std_logic_vector(261981,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4594165,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1260730,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010011" => inv <= conv_std_logic_vector(261979,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4856473,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28821495,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010100" => inv <= conv_std_logic_vector(261977,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5118783,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57056185,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010101" => inv <= conv_std_logic_vector(261975,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5381095,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85977038,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010110" => inv <= conv_std_logic_vector(261973,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5643409,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(115590153,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010111" => inv <= conv_std_logic_vector(261971,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5905725,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145903675,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011000" => inv <= conv_std_logic_vector(261969,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6168043,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(176927792,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011001" => inv <= conv_std_logic_vector(261967,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6430363,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208668602,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011010" => inv <= conv_std_logic_vector(261965,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6692685,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(241134248,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011011" => inv <= conv_std_logic_vector(261963,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6955010,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5901507,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011100" => inv <= conv_std_logic_vector(261961,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7217336,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39843294,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011101" => inv <= conv_std_logic_vector(261959,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7479664,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(74536387,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011110" => inv <= conv_std_logic_vector(261957,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7741994,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(109988926,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011111" => inv <= conv_std_logic_vector(261955,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8004326,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146207004,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100000" => inv <= conv_std_logic_vector(261953,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8266660,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(183200806,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100001" => inv <= conv_std_logic_vector(261951,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8528996,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(220976425,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100010" => inv <= conv_std_logic_vector(261949,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8791334,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259546091,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100011" => inv <= conv_std_logic_vector(261947,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9053675,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(30478393,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100100" => inv <= conv_std_logic_vector(261945,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9316017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70652380,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100101" => inv <= conv_std_logic_vector(261943,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9578361,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111644825,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100110" => inv <= conv_std_logic_vector(261941,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9840707,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153461817,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100111" => inv <= conv_std_logic_vector(261939,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10103055,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(196109445,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101000" => inv <= conv_std_logic_vector(261937,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10365405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239597890,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101001" => inv <= conv_std_logic_vector(261935,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10627758,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(15499830,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101010" => inv <= conv_std_logic_vector(261933,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10890112,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60696356,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101011" => inv <= conv_std_logic_vector(261931,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11152468,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106756053,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101100" => inv <= conv_std_logic_vector(261929,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11414826,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153689099,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101101" => inv <= conv_std_logic_vector(261927,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11677186,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(201505673,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101110" => inv <= conv_std_logic_vector(261925,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11939548,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(250209813,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101111" => inv <= conv_std_logic_vector(261923,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12201913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31378287,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110000" => inv <= conv_std_logic_vector(261921,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12464279,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(81888089,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110001" => inv <= conv_std_logic_vector(261919,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12726647,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(133313941,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110010" => inv <= conv_std_logic_vector(261917,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12989017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(185659877,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110011" => inv <= conv_std_logic_vector(261915,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13251389,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238938120,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110100" => inv <= conv_std_logic_vector(261913,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13513764,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(24721341,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110101" => inv <= conv_std_logic_vector(261911,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13776140,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(79886532,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110110" => inv <= conv_std_logic_vector(261909,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14038518,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(136006365,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110111" => inv <= conv_std_logic_vector(261907,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14300898,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(193091012,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111000" => inv <= conv_std_logic_vector(261905,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14563280,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251146552,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111001" => inv <= conv_std_logic_vector(261903,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14825665,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(41747701,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111010" => inv <= conv_std_logic_vector(261901,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15088051,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(101773495,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111011" => inv <= conv_std_logic_vector(261899,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15350439,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162796601,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111100" => inv <= conv_std_logic_vector(261897,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15612829,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(224823097,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111101" => inv <= conv_std_logic_vector(261895,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15875222,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19427696,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111110" => inv <= conv_std_logic_vector(261893,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16137616,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83489431,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111111" => inv <= conv_std_logic_vector(261891,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16400012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(148578923,28); logexp <= conv_std_logic_vector(1012,11); WHEN "010000000" => inv <= conv_std_logic_vector(261889,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16662410,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(214708384,28); logexp <= conv_std_logic_vector(1012,11); WHEN "010000001" => inv <= conv_std_logic_vector(261887,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(73797,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140941945,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000010" => inv <= conv_std_logic_vector(261885,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(204998,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(175055756,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000011" => inv <= conv_std_logic_vector(261883,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(336200,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209701733,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000100" => inv <= conv_std_logic_vector(261881,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(467403,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(244882910,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000101" => inv <= conv_std_logic_vector(261879,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(598608,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12167891,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000110" => inv <= conv_std_logic_vector(261877,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(729813,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(48431647,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000111" => inv <= conv_std_logic_vector(261875,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(861019,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85243804,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001000" => inv <= conv_std_logic_vector(261873,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(992226,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(122607396,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001001" => inv <= conv_std_logic_vector(261871,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1123434,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160526482,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001010" => inv <= conv_std_logic_vector(261869,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1254643,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199006142,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001011" => inv <= conv_std_logic_vector(261867,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1385853,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238049411,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001100" => inv <= conv_std_logic_vector(261865,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1517065,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9224891,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001101" => inv <= conv_std_logic_vector(261863,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1648277,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(49409594,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001110" => inv <= conv_std_logic_vector(261861,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1779490,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90169054,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001111" => inv <= conv_std_logic_vector(261859,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1910704,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(131510396,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010000" => inv <= conv_std_logic_vector(261857,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2041919,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173435630,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010001" => inv <= conv_std_logic_vector(261855,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2173135,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(215949833,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010010" => inv <= conv_std_logic_vector(261853,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2304352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259057063,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010011" => inv <= conv_std_logic_vector(261851,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2435571,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34325917,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010100" => inv <= conv_std_logic_vector(261849,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2566790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78630340,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010101" => inv <= conv_std_logic_vector(261847,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2698010,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(123540976,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010110" => inv <= conv_std_logic_vector(261845,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2829231,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(169060858,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010111" => inv <= conv_std_logic_vector(261843,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2960453,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(215194037,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011000" => inv <= conv_std_logic_vector(261841,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3091676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(261943547,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011001" => inv <= conv_std_logic_vector(261839,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3222901,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40881052,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011010" => inv <= conv_std_logic_vector(261837,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3354126,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(88878449,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011011" => inv <= conv_std_logic_vector(261835,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3485352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137506381,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011100" => inv <= conv_std_logic_vector(261833,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3616579,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186767878,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011101" => inv <= conv_std_logic_vector(261831,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3747807,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236666992,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011110" => inv <= conv_std_logic_vector(261829,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3879037,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(18774364,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011111" => inv <= conv_std_logic_vector(261827,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4010267,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(69961890,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100000" => inv <= conv_std_logic_vector(261825,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4141498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(121800212,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100001" => inv <= conv_std_logic_vector(261823,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4272730,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174293379,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100010" => inv <= conv_std_logic_vector(261821,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4403963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227445444,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100011" => inv <= conv_std_logic_vector(261819,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4535198,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12823978,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100100" => inv <= conv_std_logic_vector(261817,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4666433,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(67305989,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100101" => inv <= conv_std_logic_vector(261815,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4797669,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(122458025,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100110" => inv <= conv_std_logic_vector(261813,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4928906,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(178285160,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100111" => inv <= conv_std_logic_vector(261811,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5060144,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234791442,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101000" => inv <= conv_std_logic_vector(261809,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5191384,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(23545465,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101001" => inv <= conv_std_logic_vector(261807,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5322624,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(81422190,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101010" => inv <= conv_std_logic_vector(261805,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5453865,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(139991231,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101011" => inv <= conv_std_logic_vector(261803,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5585107,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199255616,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101100" => inv <= conv_std_logic_vector(261801,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5716350,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259219392,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101101" => inv <= conv_std_logic_vector(261799,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5847595,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(51452173,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101110" => inv <= conv_std_logic_vector(261797,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5978840,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(112827896,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101111" => inv <= conv_std_logic_vector(261795,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6110086,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174915153,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110000" => inv <= conv_std_logic_vector(261793,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6241333,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237719013,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110001" => inv <= conv_std_logic_vector(261791,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6372582,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(32808067,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110010" => inv <= conv_std_logic_vector(261789,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6503831,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97057274,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110011" => inv <= conv_std_logic_vector(261787,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6635081,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162034200,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110100" => inv <= conv_std_logic_vector(261785,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6766332,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227743914,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110101" => inv <= conv_std_logic_vector(261783,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6897585,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(25755007,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110110" => inv <= conv_std_logic_vector(261781,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7028838,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(92942434,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110111" => inv <= conv_std_logic_vector(261779,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7160092,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160875808,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111000" => inv <= conv_std_logic_vector(261777,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7291347,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(229557128,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111001" => inv <= conv_std_logic_vector(261775,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7422604,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(30556006,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111010" => inv <= conv_std_logic_vector(261773,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7553861,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100748419,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111011" => inv <= conv_std_logic_vector(261771,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7685119,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(171701934,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111100" => inv <= conv_std_logic_vector(261769,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7816378,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243420594,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111101" => inv <= conv_std_logic_vector(261767,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7947639,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47474008,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111110" => inv <= conv_std_logic_vector(261765,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8078900,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120736110,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111111" => inv <= conv_std_logic_vector(261763,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8210162,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(194776508,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000000" => inv <= conv_std_logic_vector(261761,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8341426,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1163789,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000001" => inv <= conv_std_logic_vector(261759,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8472690,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(76771885,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000010" => inv <= conv_std_logic_vector(261757,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8603955,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153170403,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000011" => inv <= conv_std_logic_vector(261755,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8735221,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(230363387,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000100" => inv <= conv_std_logic_vector(261753,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8866489,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39919420,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000101" => inv <= conv_std_logic_vector(261751,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8997757,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118713456,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000110" => inv <= conv_std_logic_vector(261749,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9129026,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(198314080,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000111" => inv <= conv_std_logic_vector(261747,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9260297,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(10289876,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001000" => inv <= conv_std_logic_vector(261745,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9391568,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(91515797,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001001" => inv <= conv_std_logic_vector(261743,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9522840,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173561448,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001010" => inv <= conv_std_logic_vector(261741,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9654113,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256429848,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001011" => inv <= conv_std_logic_vector(261739,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9785388,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71690601,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001100" => inv <= conv_std_logic_vector(261737,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9916663,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(156217637,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001101" => inv <= conv_std_logic_vector(261735,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10047939,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(241580560,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001110" => inv <= conv_std_logic_vector(261733,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10179217,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(59346931,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001111" => inv <= conv_std_logic_vector(261731,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10310495,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146392723,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010000" => inv <= conv_std_logic_vector(261729,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10441774,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234286516,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010001" => inv <= conv_std_logic_vector(261727,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10573055,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54596894,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010010" => inv <= conv_std_logic_vector(261725,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10704336,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144198805,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010011" => inv <= conv_std_logic_vector(261723,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10835618,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234660830,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010100" => inv <= conv_std_logic_vector(261721,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10966902,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57551551,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010101" => inv <= conv_std_logic_vector(261719,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11098186,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(149745915,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010110" => inv <= conv_std_logic_vector(261717,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11229471,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242812504,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010111" => inv <= conv_std_logic_vector(261715,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11360758,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68320919,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011000" => inv <= conv_std_logic_vector(261713,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11492045,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(163146107,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011001" => inv <= conv_std_logic_vector(261711,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11623333,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258854605,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011010" => inv <= conv_std_logic_vector(261709,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11754623,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87018057,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011011" => inv <= conv_std_logic_vector(261707,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11885913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(184509366,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011100" => inv <= conv_std_logic_vector(261705,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12017205,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(14462677,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011101" => inv <= conv_std_logic_vector(261703,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12148497,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113752937,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011110" => inv <= conv_std_logic_vector(261701,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12279790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213947700,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011111" => inv <= conv_std_logic_vector(261699,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12411085,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46617589,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100000" => inv <= conv_std_logic_vector(261697,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12542380,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(148636528,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100001" => inv <= conv_std_logic_vector(261695,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12673676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251573093,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100010" => inv <= conv_std_logic_vector(261693,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12804974,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(86995861,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100011" => inv <= conv_std_logic_vector(261691,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12936272,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(191780799,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100100" => inv <= conv_std_logic_vector(261689,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13067572,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29060005,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100101" => inv <= conv_std_logic_vector(261687,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13198872,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135708424,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100110" => inv <= conv_std_logic_vector(261685,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13330173,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243295652,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100111" => inv <= conv_std_logic_vector(261683,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13461476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83390266,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101000" => inv <= conv_std_logic_vector(261681,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13592779,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(192867209,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101001" => inv <= conv_std_logic_vector(261679,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13724084,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34858577,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101010" => inv <= conv_std_logic_vector(261677,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13855389,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146240336,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101011" => inv <= conv_std_logic_vector(261675,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13986695,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258581058,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101100" => inv <= conv_std_logic_vector(261673,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14118003,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(103450342,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101101" => inv <= conv_std_logic_vector(261671,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14249311,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(217721083,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101110" => inv <= conv_std_logic_vector(261669,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14380621,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(64528444,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101111" => inv <= conv_std_logic_vector(261667,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14511931,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(180746345,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110000" => inv <= conv_std_logic_vector(261665,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14643243,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29508923,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110001" => inv <= conv_std_logic_vector(261663,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14774555,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(147690097,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110010" => inv <= conv_std_logic_vector(261661,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14905868,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(266859463,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110011" => inv <= conv_std_logic_vector(261659,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15037183,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118585591,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110100" => inv <= conv_std_logic_vector(261657,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15168498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239742400,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110101" => inv <= conv_std_logic_vector(261655,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15299815,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(93464027,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110110" => inv <= conv_std_logic_vector(261653,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15431132,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216625411,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110111" => inv <= conv_std_logic_vector(261651,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15562451,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72360690,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111000" => inv <= conv_std_logic_vector(261649,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15693770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197542758,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111001" => inv <= conv_std_logic_vector(261647,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15825091,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(55306773,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111010" => inv <= conv_std_logic_vector(261645,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15956412,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(182526652,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111011" => inv <= conv_std_logic_vector(261643,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16087735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42335507,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111100" => inv <= conv_std_logic_vector(261641,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16219058,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(171609300,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111101" => inv <= conv_std_logic_vector(261639,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16350383,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33481142,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111110" => inv <= conv_std_logic_vector(261637,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16481708,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(164824949,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111111" => inv <= conv_std_logic_vector(261635,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16613035,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28774856,28); logexp <= conv_std_logic_vector(1013,11); WHEN "100000000" => inv <= conv_std_logic_vector(261633,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16744362,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162205798,28); logexp <= conv_std_logic_vector(1013,11); WHEN "100000001" => inv <= conv_std_logic_vector(261632,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97880094,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000010" => inv <= conv_std_logic_vector(261630,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(82069,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232492642,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000011" => inv <= conv_std_logic_vector(261628,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(147734,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(233414771,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000100" => inv <= conv_std_logic_vector(261626,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(213400,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100649515,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000101" => inv <= conv_std_logic_vector(261624,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(279066,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(102634341,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000110" => inv <= conv_std_logic_vector(261622,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(344732,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239370238,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000111" => inv <= conv_std_logic_vector(261620,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(410399,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242424784,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001000" => inv <= conv_std_logic_vector(261618,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(476067,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111799989,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001001" => inv <= conv_std_logic_vector(261616,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(541735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(115933322,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001010" => inv <= conv_std_logic_vector(261614,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(607403,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254826281,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001011" => inv <= conv_std_logic_vector(261612,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(673072,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(260045933,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001100" => inv <= conv_std_logic_vector(261610,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(738742,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(131594288,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001101" => inv <= conv_std_logic_vector(261608,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(804412,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137909323,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001110" => inv <= conv_std_logic_vector(261606,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(870083,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(10556570,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001111" => inv <= conv_std_logic_vector(261604,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(935754,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17974519,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010000" => inv <= conv_std_logic_vector(261602,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1001425,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160164667,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010001" => inv <= conv_std_logic_vector(261600,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1067097,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168694080,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010010" => inv <= conv_std_logic_vector(261598,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1132770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(43564256,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010011" => inv <= conv_std_logic_vector(261596,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1198443,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(53213172,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010100" => inv <= conv_std_logic_vector(261594,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1264116,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197642837,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010101" => inv <= conv_std_logic_vector(261592,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1329790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208419294,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010110" => inv <= conv_std_logic_vector(261590,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1395465,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85545574,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010111" => inv <= conv_std_logic_vector(261588,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1461140,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97458630,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011000" => inv <= conv_std_logic_vector(261586,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1526815,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(244160472,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011001" => inv <= conv_std_logic_vector(261584,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1592491,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257217653,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011010" => inv <= conv_std_logic_vector(261582,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1658168,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(136632180,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011011" => inv <= conv_std_logic_vector(261580,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1723845,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(150842541,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011100" => inv <= conv_std_logic_vector(261578,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1789523,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31413754,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011101" => inv <= conv_std_logic_vector(261576,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1855201,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46784817,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011110" => inv <= conv_std_logic_vector(261574,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1920879,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(196956715,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011111" => inv <= conv_std_logic_vector(261572,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1986558,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213496513,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100000" => inv <= conv_std_logic_vector(261570,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2052238,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(96406727,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100001" => inv <= conv_std_logic_vector(261568,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2117918,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(114123801,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100010" => inv <= conv_std_logic_vector(261566,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2183598,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(266650763,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100011" => inv <= conv_std_logic_vector(261564,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2249280,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17118198,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100100" => inv <= conv_std_logic_vector(261562,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2314961,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(170834480,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100101" => inv <= conv_std_logic_vector(261560,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2380643,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(190931217,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100110" => inv <= conv_std_logic_vector(261558,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2446326,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(77410414,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100111" => inv <= conv_std_logic_vector(261556,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2512009,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(98709023,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101000" => inv <= conv_std_logic_vector(261554,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2577692,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254830073,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101001" => inv <= conv_std_logic_vector(261552,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2643377,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(8903637,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101010" => inv <= conv_std_logic_vector(261550,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2709061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166239111,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101011" => inv <= conv_std_logic_vector(261548,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2774746,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(189966567,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101100" => inv <= conv_std_logic_vector(261546,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2840432,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80089032,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101101" => inv <= conv_std_logic_vector(261544,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2906118,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(105043970,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101110" => inv <= conv_std_logic_vector(261542,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2971804,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(264832874,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101111" => inv <= conv_std_logic_vector(261540,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3037492,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(22586838,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110000" => inv <= conv_std_logic_vector(261538,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3103179,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(183615258,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110001" => inv <= conv_std_logic_vector(261536,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3168867,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(211048205,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110010" => inv <= conv_std_logic_vector(261534,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3234556,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(104888194,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110011" => inv <= conv_std_logic_vector(261532,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3300245,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(133573199,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110100" => inv <= conv_std_logic_vector(261530,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3365935,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28668746,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110101" => inv <= conv_std_logic_vector(261528,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3431625,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(58613318,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110110" => inv <= conv_std_logic_vector(261526,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3497315,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(223408408,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110111" => inv <= conv_std_logic_vector(261524,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3563006,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254621075,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111000" => inv <= conv_std_logic_vector(261522,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3628698,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152253325,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111001" => inv <= conv_std_logic_vector(261520,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3694390,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(184742106,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111010" => inv <= conv_std_logic_vector(261518,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3760083,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83654478,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111011" => inv <= conv_std_logic_vector(261516,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3825776,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(117428410,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111100" => inv <= conv_std_logic_vector(261514,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3891470,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17629430,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111101" => inv <= conv_std_logic_vector(261512,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3957164,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52695507,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111110" => inv <= conv_std_logic_vector(261510,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4022858,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(222629156,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111111" => inv <= conv_std_logic_vector(261508,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4088553,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258996925,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000000" => inv <= conv_std_logic_vector(261506,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4154249,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(161800305,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000001" => inv <= conv_std_logic_vector(261504,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4219945,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199477266,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000010" => inv <= conv_std_logic_vector(261502,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4285642,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(103593845,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000011" => inv <= conv_std_logic_vector(261500,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4351339,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(142588522,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000100" => inv <= conv_std_logic_vector(261498,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4417037,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(48026823,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000101" => inv <= conv_std_logic_vector(261496,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4482735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(88347226,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000110" => inv <= conv_std_logic_vector(261494,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4548433,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(263551224,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000111" => inv <= conv_std_logic_vector(261492,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4614133,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(36769906,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001000" => inv <= conv_std_logic_vector(261490,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4679832,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213312155,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001001" => inv <= conv_std_logic_vector(261488,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4745532,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256309059,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001010" => inv <= conv_std_logic_vector(261486,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4811233,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(165762621,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001011" => inv <= conv_std_logic_vector(261484,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4876934,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210109787,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001100" => inv <= conv_std_logic_vector(261482,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4942636,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120917613,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001101" => inv <= conv_std_logic_vector(261480,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5008338,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166624068,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001110" => inv <= conv_std_logic_vector(261478,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5074041,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78795186,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001111" => inv <= conv_std_logic_vector(261476,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5139744,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(125868425,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010000" => inv <= conv_std_logic_vector(261474,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5205448,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39410329,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010001" => inv <= conv_std_logic_vector(261472,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5271152,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87859376,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010010" => inv <= conv_std_logic_vector(261470,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5336857,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(2781090,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010011" => inv <= conv_std_logic_vector(261468,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5402562,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52613438,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010100" => inv <= conv_std_logic_vector(261466,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5468267,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237358419,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010101" => inv <= conv_std_logic_vector(261464,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5533974,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(20147124,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010110" => inv <= conv_std_logic_vector(261462,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5599680,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(206287919,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010111" => inv <= conv_std_logic_vector(261460,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5665387,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258912403,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011000" => inv <= conv_std_logic_vector(261458,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5731095,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(178022066,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011001" => inv <= conv_std_logic_vector(261456,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5796803,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232054873,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011010" => inv <= conv_std_logic_vector(261454,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5862512,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152577368,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011011" => inv <= conv_std_logic_vector(261452,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5928221,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208027007,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011100" => inv <= conv_std_logic_vector(261450,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5993931,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(129969822,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011101" => inv <= conv_std_logic_vector(261448,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6059641,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186844290,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011110" => inv <= conv_std_logic_vector(261446,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6125352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(110216442,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011111" => inv <= conv_std_logic_vector(261444,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6191063,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168523735,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100000" => inv <= conv_std_logic_vector(261442,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6256775,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(93333220,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100001" => inv <= conv_std_logic_vector(261440,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6322487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153082353,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100010" => inv <= conv_std_logic_vector(261438,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6388200,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(79337165,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100011" => inv <= conv_std_logic_vector(261436,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6453913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140535621,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100100" => inv <= conv_std_logic_vector(261434,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6519627,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68244774,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100101" => inv <= conv_std_logic_vector(261432,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6585341,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(130901057,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100110" => inv <= conv_std_logic_vector(261430,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6651056,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60072032,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100111" => inv <= conv_std_logic_vector(261428,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6716771,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(124194644,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101000" => inv <= conv_std_logic_vector(261426,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6782487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54835943,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101001" => inv <= conv_std_logic_vector(261424,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6848203,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120433383,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101010" => inv <= conv_std_logic_vector(261422,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6913920,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52552996,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101011" => inv <= conv_std_logic_vector(261421,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6946778,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(203440839,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101100" => inv <= conv_std_logic_vector(261419,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7012496,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(69566203,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101101" => inv <= conv_std_logic_vector(261417,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7078214,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70654699,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101110" => inv <= conv_std_logic_vector(261415,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7143932,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(206708322,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101111" => inv <= conv_std_logic_vector(261413,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7209651,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209294124,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110000" => inv <= conv_std_logic_vector(261411,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7275371,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78413591,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110001" => inv <= conv_std_logic_vector(261409,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7341091,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(82505197,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110010" => inv <= conv_std_logic_vector(261407,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7406811,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(221569406,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110011" => inv <= conv_std_logic_vector(261405,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7472532,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227174289,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110100" => inv <= conv_std_logic_vector(261403,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7538254,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(99321843,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110101" => inv <= conv_std_logic_vector(261401,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7603976,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106448498,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110110" => inv <= conv_std_logic_vector(261399,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7669698,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(248557271,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110111" => inv <= conv_std_logic_vector(261397,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7735421,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257214192,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111000" => inv <= conv_std_logic_vector(261395,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7801145,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132421765,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111001" => inv <= conv_std_logic_vector(261393,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7866869,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(142616931,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111010" => inv <= conv_std_logic_vector(261391,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7932594,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19367251,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111011" => inv <= conv_std_logic_vector(261389,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7998319,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31109665,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111100" => inv <= conv_std_logic_vector(261387,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8064044,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(177846168,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111101" => inv <= conv_std_logic_vector(261385,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8129770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(191143299,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111110" => inv <= conv_std_logic_vector(261383,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8195497,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71003562,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111111" => inv <= conv_std_logic_vector(261381,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8261224,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85863897,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000000" => inv <= conv_std_logic_vector(261379,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8326951,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(235726809,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000001" => inv <= conv_std_logic_vector(261377,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8392679,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(252159347,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000010" => inv <= conv_std_logic_vector(261375,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8458408,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135162483,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000011" => inv <= conv_std_logic_vector(261373,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8524137,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153174178,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000100" => inv <= conv_std_logic_vector(261371,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8589867,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(37761480,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000101" => inv <= conv_std_logic_vector(261369,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8655597,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57361328,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000110" => inv <= conv_std_logic_vector(261367,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8721327,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(211976226,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000111" => inv <= conv_std_logic_vector(261365,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8787058,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(233172711,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001000" => inv <= conv_std_logic_vector(261363,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8852790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120952266,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001001" => inv <= conv_std_logic_vector(261361,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8918522,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(143753360,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001010" => inv <= conv_std_logic_vector(261359,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8984255,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33142020,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001011" => inv <= conv_std_logic_vector(261357,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9049988,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57555694,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001100" => inv <= conv_std_logic_vector(261355,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9115721,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216996886,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001101" => inv <= conv_std_logic_vector(261353,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9181455,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243031622,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001110" => inv <= conv_std_logic_vector(261351,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9247190,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135662403,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001111" => inv <= conv_std_logic_vector(261349,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9312925,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(163326679,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010000" => inv <= conv_std_logic_vector(261347,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9378661,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57590984,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010001" => inv <= conv_std_logic_vector(261345,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9444397,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(86893278,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010010" => inv <= conv_std_logic_vector(261343,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9510133,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251234532,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010011" => inv <= conv_std_logic_vector(261341,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9575871,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(13747355,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010100" => inv <= conv_std_logic_vector(261339,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9641608,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(179738576,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010101" => inv <= conv_std_logic_vector(261337,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9707346,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(212340806,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010110" => inv <= conv_std_logic_vector(261335,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9773085,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111555525,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010111" => inv <= conv_std_logic_vector(261333,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9838824,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145820180,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011000" => inv <= conv_std_logic_vector(261331,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9904564,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46701306,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011001" => inv <= conv_std_logic_vector(261329,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9970304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(82636350,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011010" => inv <= conv_std_logic_vector(261327,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10036044,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(253627813,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011011" => inv <= conv_std_logic_vector(261325,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10101786,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(22806772,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011100" => inv <= conv_std_logic_vector(261323,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10167527,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195481587,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011101" => inv <= conv_std_logic_vector(261321,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10233269,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234782825,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011110" => inv <= conv_std_logic_vector(261319,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10299012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140713496,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011111" => inv <= conv_std_logic_vector(261317,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10364755,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(181710537,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100000" => inv <= conv_std_logic_vector(261315,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10430499,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(89340991,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100001" => inv <= conv_std_logic_vector(261313,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10496243,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132041793,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100010" => inv <= conv_std_logic_vector(261311,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10561988,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(41379987,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100011" => inv <= conv_std_logic_vector(261309,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10627733,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85793018,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100100" => inv <= conv_std_logic_vector(261307,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10693478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(265282876,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100101" => inv <= conv_std_logic_vector(261305,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10759225,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42980638,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100110" => inv <= conv_std_logic_vector(261303,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10824971,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(224195170,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100111" => inv <= conv_std_logic_vector(261301,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10890719,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3621583,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101000" => inv <= conv_std_logic_vector(261299,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10956466,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186568744,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101001" => inv <= conv_std_logic_vector(261297,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11022214,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236167729,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101010" => inv <= conv_std_logic_vector(261295,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11087963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152420527,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101011" => inv <= conv_std_logic_vector(261293,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11153712,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(203764581,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101100" => inv <= conv_std_logic_vector(261291,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11219462,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(121766424,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101101" => inv <= conv_std_logic_vector(261289,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11285212,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174864010,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101110" => inv <= conv_std_logic_vector(261287,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11350963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94623359,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101111" => inv <= conv_std_logic_vector(261285,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11416714,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(149482427,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110000" => inv <= conv_std_logic_vector(261283,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11482466,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71008255,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110001" => inv <= conv_std_logic_vector(261281,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11548218,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(127637265,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110010" => inv <= conv_std_logic_vector(261279,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11613971,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50936498,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110011" => inv <= conv_std_logic_vector(261277,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11679724,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(109344419,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110100" => inv <= conv_std_logic_vector(261275,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11745478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34426028,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110101" => inv <= conv_std_logic_vector(261273,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11811232,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94620297,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110110" => inv <= conv_std_logic_vector(261271,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11876987,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21492737,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110111" => inv <= conv_std_logic_vector(261269,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11942742,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83481301,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111000" => inv <= conv_std_logic_vector(261267,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12008498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12153029,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111001" => inv <= conv_std_logic_vector(261265,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12074254,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(75944853,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111010" => inv <= conv_std_logic_vector(261263,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12140011,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(6423814,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111011" => inv <= conv_std_logic_vector(261261,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12205768,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72026842,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111100" => inv <= conv_std_logic_vector(261259,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12271526,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(4320978,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111101" => inv <= conv_std_logic_vector(261258,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12304405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21141383,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111110" => inv <= conv_std_logic_vector(261256,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12370163,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(156129342,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111111" => inv <= conv_std_logic_vector(261254,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12435922,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(157813374,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000000" => inv <= conv_std_logic_vector(261252,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12501682,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(26195972,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000001" => inv <= conv_std_logic_vector(261250,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12567442,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29714068,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000010" => inv <= conv_std_logic_vector(261248,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12633202,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168370156,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000011" => inv <= conv_std_logic_vector(261246,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12698963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173730767,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000100" => inv <= conv_std_logic_vector(261244,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12764725,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(45797884,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000101" => inv <= conv_std_logic_vector(261242,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12830487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(53009458,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000110" => inv <= conv_std_logic_vector(261240,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12896249,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195366963,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000111" => inv <= conv_std_logic_vector(261238,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12962012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(204436928,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001000" => inv <= conv_std_logic_vector(261236,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13027776,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80222356,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001001" => inv <= conv_std_logic_vector(261234,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13093540,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(91159668,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001010" => inv <= conv_std_logic_vector(261232,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13159304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237251358,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001011" => inv <= conv_std_logic_vector(261230,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13225069,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(250064462,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001100" => inv <= conv_std_logic_vector(261228,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13290835,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(129600456,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001101" => inv <= conv_std_logic_vector(261226,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13356601,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144297287,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001110" => inv <= conv_std_logic_vector(261224,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13422368,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(25721484,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001111" => inv <= conv_std_logic_vector(261222,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13488135,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42309975,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010000" => inv <= conv_std_logic_vector(261220,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13553902,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(194065764,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010001" => inv <= conv_std_logic_vector(261218,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13619670,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(212554867,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010010" => inv <= conv_std_logic_vector(261216,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13685439,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97779777,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010011" => inv <= conv_std_logic_vector(261214,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13751208,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118177423,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010100" => inv <= conv_std_logic_vector(261212,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13816978,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5314840,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010101" => inv <= conv_std_logic_vector(261210,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13882748,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(27629467,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010110" => inv <= conv_std_logic_vector(261208,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13948518,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(185123287,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010111" => inv <= conv_std_logic_vector(261206,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14014289,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209363335,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011000" => inv <= conv_std_logic_vector(261204,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14080061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100351083,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011001" => inv <= conv_std_logic_vector(261202,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14145833,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(126524479,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011010" => inv <= conv_std_logic_vector(261200,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14211606,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19449539,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011011" => inv <= conv_std_logic_vector(261198,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14277379,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47564719,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011100" => inv <= conv_std_logic_vector(261196,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14343152,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210871490,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011101" => inv <= conv_std_logic_vector(261194,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14408926,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(240936379,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011110" => inv <= conv_std_logic_vector(261192,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14474701,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137761877,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011111" => inv <= conv_std_logic_vector(261190,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14540476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(169784908,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100000" => inv <= conv_std_logic_vector(261188,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14606252,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68573020,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100001" => inv <= conv_std_logic_vector(261186,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14672028,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(102562628,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100010" => inv <= conv_std_logic_vector(261184,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100011" => inv <= conv_std_logic_vector(261182,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14803582,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39285891,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100100" => inv <= conv_std_logic_vector(261180,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14869359,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210458963,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100101" => inv <= conv_std_logic_vector(261178,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14935137,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(248407016,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100110" => inv <= conv_std_logic_vector(261176,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15000916,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153132030,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100111" => inv <= conv_std_logic_vector(261174,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15066695,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(193071440,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101000" => inv <= conv_std_logic_vector(261172,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15132475,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(99791770,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101001" => inv <= conv_std_logic_vector(261170,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15198255,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(141730965,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101010" => inv <= conv_std_logic_vector(261168,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15264036,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50455039,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101011" => inv <= conv_std_logic_vector(261166,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15329817,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94401937,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101100" => inv <= conv_std_logic_vector(261164,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15395599,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5138692,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101101" => inv <= conv_std_logic_vector(261162,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15461381,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(51101718,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101110" => inv <= conv_std_logic_vector(261160,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15527163,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232294014,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101111" => inv <= conv_std_logic_vector(261158,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15592947,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(11846647,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110000" => inv <= conv_std_logic_vector(261156,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15658730,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195067454,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110001" => inv <= conv_std_logic_vector(261154,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15724514,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(245088520,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110010" => inv <= conv_std_logic_vector(261152,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15790299,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(161910803,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110011" => inv <= conv_std_logic_vector(261150,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15856084,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213972758,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110100" => inv <= conv_std_logic_vector(261148,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15921870,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132840397,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110101" => inv <= conv_std_logic_vector(261146,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15987656,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186951663,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110110" => inv <= conv_std_logic_vector(261144,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16053443,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(107873077,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110111" => inv <= conv_std_logic_vector(261142,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16119230,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(164042073,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111000" => inv <= conv_std_logic_vector(261140,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16185018,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87025173,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111001" => inv <= conv_std_logic_vector(261138,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16250806,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145260319,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111010" => inv <= conv_std_logic_vector(261136,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16316595,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70314033,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111011" => inv <= conv_std_logic_vector(261134,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16382384,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(130623237,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111100" => inv <= conv_std_logic_vector(261132,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16448174,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57754962,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111101" => inv <= conv_std_logic_vector(261130,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16513964,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120146640,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111110" => inv <= conv_std_logic_vector(261128,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16579755,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(49365303,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111111" => inv <= conv_std_logic_vector(261126,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16645546,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113847871,28); logexp <= conv_std_logic_vector(1014,11); WHEN others => inv <= conv_std_logic_vector(0,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28); logexp <= conv_std_logic_vector(0,11); END CASE; END PROCESS; END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** DP_LNLUT18.VHD *** --*** *** --*** Function: Look Up Table - LN() *** --*** *** --*** Generated by MATLAB Utility *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_lnlut18 IS PORT ( add : IN STD_LOGIC_VECTOR (9 DOWNTO 1); inv : OUT STD_LOGIC_VECTOR (18 DOWNTO 1); logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1) ); END dp_lnlut18; ARCHITECTURE rtl OF dp_lnlut18 IS BEGIN pca: PROCESS (add) BEGIN CASE add IS WHEN "000000000" => inv <= conv_std_logic_vector(131072,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28); logexp <= conv_std_logic_vector(0,11); WHEN "000000001" => inv <= conv_std_logic_vector(262143,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(31,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(268391765,28); logexp <= conv_std_logic_vector(1005,11); WHEN "000000010" => inv <= conv_std_logic_vector(262141,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8388752,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(327672,28); logexp <= conv_std_logic_vector(1006,11); WHEN "000000011" => inv <= conv_std_logic_vector(262139,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4194504,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(666254,28); logexp <= conv_std_logic_vector(1007,11); WHEN "000000100" => inv <= conv_std_logic_vector(262137,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12583304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1889508,28); logexp <= conv_std_logic_vector(1007,11); WHEN "000000101" => inv <= conv_std_logic_vector(262135,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2097476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1982311,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000000110" => inv <= conv_std_logic_vector(262133,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6291940,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3642366,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000000111" => inv <= conv_std_logic_vector(262131,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10486436,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5990414,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000001000" => inv <= conv_std_logic_vector(262129,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14680964,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9223005,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000001001" => inv <= conv_std_logic_vector(262127,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1049154,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(6702808,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001010" => inv <= conv_std_logic_vector(262125,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3146450,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9367390,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001011" => inv <= conv_std_logic_vector(262123,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5243762,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12637977,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001100" => inv <= conv_std_logic_vector(262121,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7341090,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(16612827,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001101" => inv <= conv_std_logic_vector(262119,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9438434,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21341043,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001110" => inv <= conv_std_logic_vector(262117,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11535794,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(26871724,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001111" => inv <= conv_std_logic_vector(262115,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13633170,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33303113,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000010000" => inv <= conv_std_logic_vector(262113,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15730562,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40684301,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000010001" => inv <= conv_std_logic_vector(262111,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(525377,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(24532187,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010010" => inv <= conv_std_logic_vector(262109,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1574089,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29270780,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010011" => inv <= conv_std_logic_vector(262107,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2622809,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34582468,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010100" => inv <= conv_std_logic_vector(262105,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3671537,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40499978,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010101" => inv <= conv_std_logic_vector(262103,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4720273,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47056037,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010110" => inv <= conv_std_logic_vector(262101,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5769017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54283367,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010111" => inv <= conv_std_logic_vector(262099,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6817769,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(62214689,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011000" => inv <= conv_std_logic_vector(262097,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7866529,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70882721,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011001" => inv <= conv_std_logic_vector(262095,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8915297,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80328369,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011010" => inv <= conv_std_logic_vector(262093,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9964073,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90567968,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011011" => inv <= conv_std_logic_vector(262091,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11012857,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(101650610,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011100" => inv <= conv_std_logic_vector(262089,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12061649,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113592623,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011101" => inv <= conv_std_logic_vector(262087,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13110449,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(126443095,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011110" => inv <= conv_std_logic_vector(262085,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14159257,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140226541,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011111" => inv <= conv_std_logic_vector(262083,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15208073,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(154975663,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000100000" => inv <= conv_std_logic_vector(262081,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16256897,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(170731353,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000100001" => inv <= conv_std_logic_vector(262079,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(264256,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227972692,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100010" => inv <= conv_std_logic_vector(262077,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(788676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236897961,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100011" => inv <= conv_std_logic_vector(262075,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1313100,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(246371462,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100100" => inv <= conv_std_logic_vector(262073,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1837528,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256409541,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100101" => inv <= conv_std_logic_vector(262071,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2361960,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(267028543,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100110" => inv <= conv_std_logic_vector(262069,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2886397,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9813450,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100111" => inv <= conv_std_logic_vector(262067,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3410837,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21647423,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101000" => inv <= conv_std_logic_vector(262065,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3935281,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34111345,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101001" => inv <= conv_std_logic_vector(262063,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4459729,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47221557,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101010" => inv <= conv_std_logic_vector(262061,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4984181,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60998494,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101011" => inv <= conv_std_logic_vector(262059,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5508637,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(75454397,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101100" => inv <= conv_std_logic_vector(262057,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6033097,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90605604,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101101" => inv <= conv_std_logic_vector(262055,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6557561,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106472545,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101110" => inv <= conv_std_logic_vector(262053,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7082029,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(123067460,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101111" => inv <= conv_std_logic_vector(262051,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7606501,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140410777,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110000" => inv <= conv_std_logic_vector(262049,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8130977,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(158510638,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110001" => inv <= conv_std_logic_vector(262047,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8655457,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(177395659,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110010" => inv <= conv_std_logic_vector(262045,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9179941,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197069887,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110011" => inv <= conv_std_logic_vector(262043,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9704429,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(217561932,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110100" => inv <= conv_std_logic_vector(262041,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10228921,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238875840,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110101" => inv <= conv_std_logic_vector(262039,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10753417,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(261036127,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110110" => inv <= conv_std_logic_vector(262037,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11277918,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(15623661,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110111" => inv <= conv_std_logic_vector(262035,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11802422,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39521584,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111000" => inv <= conv_std_logic_vector(262033,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12326930,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(64314859,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111001" => inv <= conv_std_logic_vector(262031,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12851442,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90015713,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111010" => inv <= conv_std_logic_vector(262029,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13375958,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(116644561,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111011" => inv <= conv_std_logic_vector(262027,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13900478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144213628,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111100" => inv <= conv_std_logic_vector(262025,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14425002,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(172743328,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111101" => inv <= conv_std_logic_vector(262023,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14949530,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(202249979,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111110" => inv <= conv_std_logic_vector(262021,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15474062,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232745802,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111111" => inv <= conv_std_logic_vector(262019,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15998598,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(264251207,28); logexp <= conv_std_logic_vector(1011,11); WHEN "001000000" => inv <= conv_std_logic_vector(262017,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16523139,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28347053,28); logexp <= conv_std_logic_vector(1011,11); WHEN "001000001" => inv <= conv_std_logic_vector(262015,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(135233,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(165175963,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000010" => inv <= conv_std_logic_vector(262013,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(397507,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(182491979,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000011" => inv <= conv_std_logic_vector(262011,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(659783,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(200343364,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000100" => inv <= conv_std_logic_vector(262009,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(922061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(218740319,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000101" => inv <= conv_std_logic_vector(262007,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1184341,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237688954,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000110" => inv <= conv_std_logic_vector(262005,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1446623,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257201513,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000111" => inv <= conv_std_logic_vector(262003,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1708908,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(8846602,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001000" => inv <= conv_std_logic_vector(262001,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1971194,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29507379,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001001" => inv <= conv_std_logic_vector(261999,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2233482,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50752445,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001010" => inv <= conv_std_logic_vector(261997,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2495772,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72592000,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001011" => inv <= conv_std_logic_vector(261995,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2758064,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(95036240,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001100" => inv <= conv_std_logic_vector(261993,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3020358,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118089223,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001101" => inv <= conv_std_logic_vector(261991,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3282654,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(141761145,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001110" => inv <= conv_std_logic_vector(261989,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3544952,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166062202,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001111" => inv <= conv_std_logic_vector(261987,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3807252,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(190996450,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010000" => inv <= conv_std_logic_vector(261985,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4069554,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216576129,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010001" => inv <= conv_std_logic_vector(261983,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4331858,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242805295,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010010" => inv <= conv_std_logic_vector(261981,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4594165,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1260730,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010011" => inv <= conv_std_logic_vector(261979,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4856473,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28821495,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010100" => inv <= conv_std_logic_vector(261977,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5118783,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57056185,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010101" => inv <= conv_std_logic_vector(261975,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5381095,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85977038,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010110" => inv <= conv_std_logic_vector(261973,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5643409,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(115590153,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010111" => inv <= conv_std_logic_vector(261971,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5905725,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145903675,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011000" => inv <= conv_std_logic_vector(261969,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6168043,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(176927792,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011001" => inv <= conv_std_logic_vector(261967,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6430363,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208668602,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011010" => inv <= conv_std_logic_vector(261965,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6692685,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(241134248,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011011" => inv <= conv_std_logic_vector(261963,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6955010,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5901507,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011100" => inv <= conv_std_logic_vector(261961,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7217336,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39843294,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011101" => inv <= conv_std_logic_vector(261959,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7479664,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(74536387,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011110" => inv <= conv_std_logic_vector(261957,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7741994,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(109988926,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011111" => inv <= conv_std_logic_vector(261955,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8004326,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146207004,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100000" => inv <= conv_std_logic_vector(261953,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8266660,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(183200806,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100001" => inv <= conv_std_logic_vector(261951,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8528996,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(220976425,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100010" => inv <= conv_std_logic_vector(261949,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8791334,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259546091,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100011" => inv <= conv_std_logic_vector(261947,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9053675,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(30478393,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100100" => inv <= conv_std_logic_vector(261945,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9316017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70652380,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100101" => inv <= conv_std_logic_vector(261943,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9578361,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111644825,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100110" => inv <= conv_std_logic_vector(261941,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9840707,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153461817,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100111" => inv <= conv_std_logic_vector(261939,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10103055,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(196109445,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101000" => inv <= conv_std_logic_vector(261937,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10365405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239597890,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101001" => inv <= conv_std_logic_vector(261935,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10627758,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(15499830,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101010" => inv <= conv_std_logic_vector(261933,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10890112,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60696356,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101011" => inv <= conv_std_logic_vector(261931,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11152468,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106756053,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101100" => inv <= conv_std_logic_vector(261929,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11414826,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153689099,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101101" => inv <= conv_std_logic_vector(261927,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11677186,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(201505673,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101110" => inv <= conv_std_logic_vector(261925,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11939548,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(250209813,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101111" => inv <= conv_std_logic_vector(261923,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12201913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31378287,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110000" => inv <= conv_std_logic_vector(261921,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12464279,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(81888089,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110001" => inv <= conv_std_logic_vector(261919,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12726647,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(133313941,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110010" => inv <= conv_std_logic_vector(261917,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12989017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(185659877,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110011" => inv <= conv_std_logic_vector(261915,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13251389,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238938120,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110100" => inv <= conv_std_logic_vector(261913,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13513764,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(24721341,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110101" => inv <= conv_std_logic_vector(261911,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13776140,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(79886532,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110110" => inv <= conv_std_logic_vector(261909,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14038518,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(136006365,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110111" => inv <= conv_std_logic_vector(261907,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14300898,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(193091012,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111000" => inv <= conv_std_logic_vector(261905,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14563280,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251146552,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111001" => inv <= conv_std_logic_vector(261903,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14825665,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(41747701,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111010" => inv <= conv_std_logic_vector(261901,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15088051,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(101773495,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111011" => inv <= conv_std_logic_vector(261899,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15350439,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162796601,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111100" => inv <= conv_std_logic_vector(261897,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15612829,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(224823097,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111101" => inv <= conv_std_logic_vector(261895,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15875222,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19427696,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111110" => inv <= conv_std_logic_vector(261893,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16137616,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83489431,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111111" => inv <= conv_std_logic_vector(261891,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16400012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(148578923,28); logexp <= conv_std_logic_vector(1012,11); WHEN "010000000" => inv <= conv_std_logic_vector(261889,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16662410,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(214708384,28); logexp <= conv_std_logic_vector(1012,11); WHEN "010000001" => inv <= conv_std_logic_vector(261887,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(73797,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140941945,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000010" => inv <= conv_std_logic_vector(261885,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(204998,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(175055756,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000011" => inv <= conv_std_logic_vector(261883,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(336200,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209701733,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000100" => inv <= conv_std_logic_vector(261881,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(467403,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(244882910,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000101" => inv <= conv_std_logic_vector(261879,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(598608,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12167891,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000110" => inv <= conv_std_logic_vector(261877,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(729813,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(48431647,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000111" => inv <= conv_std_logic_vector(261875,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(861019,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85243804,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001000" => inv <= conv_std_logic_vector(261873,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(992226,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(122607396,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001001" => inv <= conv_std_logic_vector(261871,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1123434,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160526482,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001010" => inv <= conv_std_logic_vector(261869,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1254643,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199006142,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001011" => inv <= conv_std_logic_vector(261867,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1385853,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238049411,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001100" => inv <= conv_std_logic_vector(261865,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1517065,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9224891,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001101" => inv <= conv_std_logic_vector(261863,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1648277,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(49409594,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001110" => inv <= conv_std_logic_vector(261861,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1779490,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90169054,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001111" => inv <= conv_std_logic_vector(261859,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1910704,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(131510396,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010000" => inv <= conv_std_logic_vector(261857,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2041919,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173435630,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010001" => inv <= conv_std_logic_vector(261855,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2173135,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(215949833,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010010" => inv <= conv_std_logic_vector(261853,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2304352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259057063,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010011" => inv <= conv_std_logic_vector(261851,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2435571,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34325917,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010100" => inv <= conv_std_logic_vector(261849,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2566790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78630340,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010101" => inv <= conv_std_logic_vector(261847,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2698010,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(123540976,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010110" => inv <= conv_std_logic_vector(261845,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2829231,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(169060858,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010111" => inv <= conv_std_logic_vector(261843,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2960453,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(215194037,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011000" => inv <= conv_std_logic_vector(261841,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3091676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(261943547,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011001" => inv <= conv_std_logic_vector(261839,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3222901,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40881052,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011010" => inv <= conv_std_logic_vector(261837,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3354126,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(88878449,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011011" => inv <= conv_std_logic_vector(261835,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3485352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137506381,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011100" => inv <= conv_std_logic_vector(261833,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3616579,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186767878,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011101" => inv <= conv_std_logic_vector(261831,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3747807,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236666992,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011110" => inv <= conv_std_logic_vector(261829,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3879037,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(18774364,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011111" => inv <= conv_std_logic_vector(261827,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4010267,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(69961890,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100000" => inv <= conv_std_logic_vector(261825,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4141498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(121800212,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100001" => inv <= conv_std_logic_vector(261823,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4272730,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174293379,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100010" => inv <= conv_std_logic_vector(261821,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4403963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227445444,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100011" => inv <= conv_std_logic_vector(261819,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4535198,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12823978,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100100" => inv <= conv_std_logic_vector(261817,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4666433,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(67305989,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100101" => inv <= conv_std_logic_vector(261815,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4797669,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(122458025,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100110" => inv <= conv_std_logic_vector(261813,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4928906,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(178285160,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100111" => inv <= conv_std_logic_vector(261811,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5060144,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234791442,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101000" => inv <= conv_std_logic_vector(261809,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5191384,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(23545465,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101001" => inv <= conv_std_logic_vector(261807,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5322624,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(81422190,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101010" => inv <= conv_std_logic_vector(261805,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5453865,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(139991231,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101011" => inv <= conv_std_logic_vector(261803,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5585107,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199255616,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101100" => inv <= conv_std_logic_vector(261801,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5716350,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259219392,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101101" => inv <= conv_std_logic_vector(261799,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5847595,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(51452173,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101110" => inv <= conv_std_logic_vector(261797,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5978840,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(112827896,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101111" => inv <= conv_std_logic_vector(261795,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6110086,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174915153,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110000" => inv <= conv_std_logic_vector(261793,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6241333,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237719013,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110001" => inv <= conv_std_logic_vector(261791,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6372582,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(32808067,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110010" => inv <= conv_std_logic_vector(261789,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6503831,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97057274,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110011" => inv <= conv_std_logic_vector(261787,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6635081,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162034200,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110100" => inv <= conv_std_logic_vector(261785,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6766332,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227743914,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110101" => inv <= conv_std_logic_vector(261783,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6897585,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(25755007,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110110" => inv <= conv_std_logic_vector(261781,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7028838,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(92942434,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110111" => inv <= conv_std_logic_vector(261779,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7160092,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160875808,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111000" => inv <= conv_std_logic_vector(261777,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7291347,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(229557128,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111001" => inv <= conv_std_logic_vector(261775,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7422604,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(30556006,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111010" => inv <= conv_std_logic_vector(261773,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7553861,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100748419,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111011" => inv <= conv_std_logic_vector(261771,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7685119,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(171701934,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111100" => inv <= conv_std_logic_vector(261769,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7816378,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243420594,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111101" => inv <= conv_std_logic_vector(261767,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7947639,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47474008,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111110" => inv <= conv_std_logic_vector(261765,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8078900,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120736110,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111111" => inv <= conv_std_logic_vector(261763,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8210162,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(194776508,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000000" => inv <= conv_std_logic_vector(261761,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8341426,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1163789,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000001" => inv <= conv_std_logic_vector(261759,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8472690,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(76771885,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000010" => inv <= conv_std_logic_vector(261757,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8603955,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153170403,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000011" => inv <= conv_std_logic_vector(261755,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8735221,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(230363387,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000100" => inv <= conv_std_logic_vector(261753,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8866489,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39919420,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000101" => inv <= conv_std_logic_vector(261751,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8997757,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118713456,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000110" => inv <= conv_std_logic_vector(261749,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9129026,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(198314080,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000111" => inv <= conv_std_logic_vector(261747,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9260297,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(10289876,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001000" => inv <= conv_std_logic_vector(261745,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9391568,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(91515797,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001001" => inv <= conv_std_logic_vector(261743,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9522840,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173561448,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001010" => inv <= conv_std_logic_vector(261741,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9654113,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256429848,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001011" => inv <= conv_std_logic_vector(261739,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9785388,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71690601,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001100" => inv <= conv_std_logic_vector(261737,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9916663,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(156217637,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001101" => inv <= conv_std_logic_vector(261735,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10047939,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(241580560,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001110" => inv <= conv_std_logic_vector(261733,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10179217,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(59346931,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001111" => inv <= conv_std_logic_vector(261731,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10310495,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146392723,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010000" => inv <= conv_std_logic_vector(261729,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10441774,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234286516,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010001" => inv <= conv_std_logic_vector(261727,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10573055,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54596894,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010010" => inv <= conv_std_logic_vector(261725,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10704336,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144198805,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010011" => inv <= conv_std_logic_vector(261723,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10835618,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234660830,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010100" => inv <= conv_std_logic_vector(261721,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10966902,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57551551,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010101" => inv <= conv_std_logic_vector(261719,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11098186,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(149745915,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010110" => inv <= conv_std_logic_vector(261717,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11229471,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242812504,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010111" => inv <= conv_std_logic_vector(261715,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11360758,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68320919,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011000" => inv <= conv_std_logic_vector(261713,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11492045,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(163146107,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011001" => inv <= conv_std_logic_vector(261711,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11623333,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258854605,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011010" => inv <= conv_std_logic_vector(261709,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11754623,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87018057,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011011" => inv <= conv_std_logic_vector(261707,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11885913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(184509366,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011100" => inv <= conv_std_logic_vector(261705,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12017205,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(14462677,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011101" => inv <= conv_std_logic_vector(261703,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12148497,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113752937,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011110" => inv <= conv_std_logic_vector(261701,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12279790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213947700,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011111" => inv <= conv_std_logic_vector(261699,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12411085,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46617589,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100000" => inv <= conv_std_logic_vector(261697,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12542380,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(148636528,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100001" => inv <= conv_std_logic_vector(261695,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12673676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251573093,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100010" => inv <= conv_std_logic_vector(261693,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12804974,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(86995861,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100011" => inv <= conv_std_logic_vector(261691,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12936272,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(191780799,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100100" => inv <= conv_std_logic_vector(261689,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13067572,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29060005,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100101" => inv <= conv_std_logic_vector(261687,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13198872,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135708424,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100110" => inv <= conv_std_logic_vector(261685,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13330173,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243295652,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100111" => inv <= conv_std_logic_vector(261683,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13461476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83390266,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101000" => inv <= conv_std_logic_vector(261681,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13592779,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(192867209,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101001" => inv <= conv_std_logic_vector(261679,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13724084,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34858577,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101010" => inv <= conv_std_logic_vector(261677,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13855389,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146240336,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101011" => inv <= conv_std_logic_vector(261675,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13986695,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258581058,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101100" => inv <= conv_std_logic_vector(261673,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14118003,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(103450342,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101101" => inv <= conv_std_logic_vector(261671,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14249311,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(217721083,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101110" => inv <= conv_std_logic_vector(261669,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14380621,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(64528444,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101111" => inv <= conv_std_logic_vector(261667,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14511931,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(180746345,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110000" => inv <= conv_std_logic_vector(261665,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14643243,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29508923,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110001" => inv <= conv_std_logic_vector(261663,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14774555,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(147690097,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110010" => inv <= conv_std_logic_vector(261661,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14905868,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(266859463,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110011" => inv <= conv_std_logic_vector(261659,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15037183,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118585591,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110100" => inv <= conv_std_logic_vector(261657,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15168498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239742400,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110101" => inv <= conv_std_logic_vector(261655,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15299815,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(93464027,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110110" => inv <= conv_std_logic_vector(261653,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15431132,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216625411,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110111" => inv <= conv_std_logic_vector(261651,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15562451,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72360690,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111000" => inv <= conv_std_logic_vector(261649,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15693770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197542758,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111001" => inv <= conv_std_logic_vector(261647,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15825091,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(55306773,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111010" => inv <= conv_std_logic_vector(261645,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15956412,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(182526652,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111011" => inv <= conv_std_logic_vector(261643,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16087735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42335507,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111100" => inv <= conv_std_logic_vector(261641,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16219058,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(171609300,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111101" => inv <= conv_std_logic_vector(261639,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16350383,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33481142,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111110" => inv <= conv_std_logic_vector(261637,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16481708,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(164824949,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111111" => inv <= conv_std_logic_vector(261635,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16613035,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28774856,28); logexp <= conv_std_logic_vector(1013,11); WHEN "100000000" => inv <= conv_std_logic_vector(261633,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16744362,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162205798,28); logexp <= conv_std_logic_vector(1013,11); WHEN "100000001" => inv <= conv_std_logic_vector(261632,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97880094,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000010" => inv <= conv_std_logic_vector(261630,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(82069,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232492642,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000011" => inv <= conv_std_logic_vector(261628,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(147734,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(233414771,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000100" => inv <= conv_std_logic_vector(261626,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(213400,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100649515,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000101" => inv <= conv_std_logic_vector(261624,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(279066,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(102634341,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000110" => inv <= conv_std_logic_vector(261622,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(344732,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239370238,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000111" => inv <= conv_std_logic_vector(261620,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(410399,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242424784,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001000" => inv <= conv_std_logic_vector(261618,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(476067,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111799989,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001001" => inv <= conv_std_logic_vector(261616,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(541735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(115933322,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001010" => inv <= conv_std_logic_vector(261614,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(607403,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254826281,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001011" => inv <= conv_std_logic_vector(261612,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(673072,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(260045933,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001100" => inv <= conv_std_logic_vector(261610,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(738742,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(131594288,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001101" => inv <= conv_std_logic_vector(261608,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(804412,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137909323,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001110" => inv <= conv_std_logic_vector(261606,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(870083,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(10556570,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001111" => inv <= conv_std_logic_vector(261604,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(935754,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17974519,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010000" => inv <= conv_std_logic_vector(261602,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1001425,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160164667,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010001" => inv <= conv_std_logic_vector(261600,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1067097,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168694080,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010010" => inv <= conv_std_logic_vector(261598,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1132770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(43564256,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010011" => inv <= conv_std_logic_vector(261596,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1198443,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(53213172,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010100" => inv <= conv_std_logic_vector(261594,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1264116,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197642837,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010101" => inv <= conv_std_logic_vector(261592,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1329790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208419294,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010110" => inv <= conv_std_logic_vector(261590,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1395465,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85545574,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010111" => inv <= conv_std_logic_vector(261588,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1461140,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97458630,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011000" => inv <= conv_std_logic_vector(261586,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1526815,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(244160472,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011001" => inv <= conv_std_logic_vector(261584,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1592491,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257217653,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011010" => inv <= conv_std_logic_vector(261582,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1658168,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(136632180,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011011" => inv <= conv_std_logic_vector(261580,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1723845,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(150842541,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011100" => inv <= conv_std_logic_vector(261578,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1789523,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31413754,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011101" => inv <= conv_std_logic_vector(261576,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1855201,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46784817,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011110" => inv <= conv_std_logic_vector(261574,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1920879,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(196956715,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011111" => inv <= conv_std_logic_vector(261572,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1986558,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213496513,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100000" => inv <= conv_std_logic_vector(261570,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2052238,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(96406727,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100001" => inv <= conv_std_logic_vector(261568,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2117918,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(114123801,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100010" => inv <= conv_std_logic_vector(261566,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2183598,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(266650763,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100011" => inv <= conv_std_logic_vector(261564,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2249280,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17118198,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100100" => inv <= conv_std_logic_vector(261562,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2314961,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(170834480,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100101" => inv <= conv_std_logic_vector(261560,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2380643,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(190931217,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100110" => inv <= conv_std_logic_vector(261558,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2446326,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(77410414,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100111" => inv <= conv_std_logic_vector(261556,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2512009,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(98709023,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101000" => inv <= conv_std_logic_vector(261554,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2577692,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254830073,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101001" => inv <= conv_std_logic_vector(261552,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2643377,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(8903637,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101010" => inv <= conv_std_logic_vector(261550,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2709061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166239111,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101011" => inv <= conv_std_logic_vector(261548,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2774746,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(189966567,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101100" => inv <= conv_std_logic_vector(261546,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2840432,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80089032,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101101" => inv <= conv_std_logic_vector(261544,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2906118,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(105043970,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101110" => inv <= conv_std_logic_vector(261542,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2971804,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(264832874,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101111" => inv <= conv_std_logic_vector(261540,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3037492,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(22586838,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110000" => inv <= conv_std_logic_vector(261538,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3103179,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(183615258,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110001" => inv <= conv_std_logic_vector(261536,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3168867,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(211048205,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110010" => inv <= conv_std_logic_vector(261534,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3234556,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(104888194,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110011" => inv <= conv_std_logic_vector(261532,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3300245,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(133573199,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110100" => inv <= conv_std_logic_vector(261530,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3365935,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28668746,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110101" => inv <= conv_std_logic_vector(261528,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3431625,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(58613318,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110110" => inv <= conv_std_logic_vector(261526,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3497315,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(223408408,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110111" => inv <= conv_std_logic_vector(261524,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3563006,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254621075,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111000" => inv <= conv_std_logic_vector(261522,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3628698,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152253325,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111001" => inv <= conv_std_logic_vector(261520,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3694390,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(184742106,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111010" => inv <= conv_std_logic_vector(261518,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3760083,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83654478,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111011" => inv <= conv_std_logic_vector(261516,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3825776,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(117428410,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111100" => inv <= conv_std_logic_vector(261514,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3891470,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17629430,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111101" => inv <= conv_std_logic_vector(261512,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3957164,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52695507,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111110" => inv <= conv_std_logic_vector(261510,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4022858,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(222629156,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111111" => inv <= conv_std_logic_vector(261508,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4088553,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258996925,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000000" => inv <= conv_std_logic_vector(261506,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4154249,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(161800305,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000001" => inv <= conv_std_logic_vector(261504,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4219945,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199477266,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000010" => inv <= conv_std_logic_vector(261502,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4285642,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(103593845,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000011" => inv <= conv_std_logic_vector(261500,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4351339,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(142588522,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000100" => inv <= conv_std_logic_vector(261498,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4417037,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(48026823,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000101" => inv <= conv_std_logic_vector(261496,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4482735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(88347226,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000110" => inv <= conv_std_logic_vector(261494,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4548433,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(263551224,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000111" => inv <= conv_std_logic_vector(261492,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4614133,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(36769906,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001000" => inv <= conv_std_logic_vector(261490,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4679832,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213312155,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001001" => inv <= conv_std_logic_vector(261488,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4745532,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256309059,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001010" => inv <= conv_std_logic_vector(261486,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4811233,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(165762621,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001011" => inv <= conv_std_logic_vector(261484,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4876934,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210109787,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001100" => inv <= conv_std_logic_vector(261482,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4942636,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120917613,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001101" => inv <= conv_std_logic_vector(261480,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5008338,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166624068,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001110" => inv <= conv_std_logic_vector(261478,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5074041,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78795186,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001111" => inv <= conv_std_logic_vector(261476,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5139744,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(125868425,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010000" => inv <= conv_std_logic_vector(261474,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5205448,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39410329,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010001" => inv <= conv_std_logic_vector(261472,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5271152,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87859376,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010010" => inv <= conv_std_logic_vector(261470,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5336857,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(2781090,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010011" => inv <= conv_std_logic_vector(261468,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5402562,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52613438,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010100" => inv <= conv_std_logic_vector(261466,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5468267,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237358419,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010101" => inv <= conv_std_logic_vector(261464,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5533974,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(20147124,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010110" => inv <= conv_std_logic_vector(261462,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5599680,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(206287919,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010111" => inv <= conv_std_logic_vector(261460,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5665387,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258912403,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011000" => inv <= conv_std_logic_vector(261458,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5731095,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(178022066,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011001" => inv <= conv_std_logic_vector(261456,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5796803,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232054873,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011010" => inv <= conv_std_logic_vector(261454,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5862512,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152577368,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011011" => inv <= conv_std_logic_vector(261452,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5928221,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208027007,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011100" => inv <= conv_std_logic_vector(261450,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5993931,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(129969822,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011101" => inv <= conv_std_logic_vector(261448,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6059641,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186844290,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011110" => inv <= conv_std_logic_vector(261446,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6125352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(110216442,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011111" => inv <= conv_std_logic_vector(261444,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6191063,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168523735,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100000" => inv <= conv_std_logic_vector(261442,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6256775,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(93333220,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100001" => inv <= conv_std_logic_vector(261440,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6322487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153082353,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100010" => inv <= conv_std_logic_vector(261438,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6388200,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(79337165,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100011" => inv <= conv_std_logic_vector(261436,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6453913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140535621,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100100" => inv <= conv_std_logic_vector(261434,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6519627,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68244774,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100101" => inv <= conv_std_logic_vector(261432,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6585341,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(130901057,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100110" => inv <= conv_std_logic_vector(261430,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6651056,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60072032,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100111" => inv <= conv_std_logic_vector(261428,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6716771,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(124194644,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101000" => inv <= conv_std_logic_vector(261426,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6782487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54835943,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101001" => inv <= conv_std_logic_vector(261424,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6848203,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120433383,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101010" => inv <= conv_std_logic_vector(261422,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6913920,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52552996,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101011" => inv <= conv_std_logic_vector(261421,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6946778,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(203440839,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101100" => inv <= conv_std_logic_vector(261419,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7012496,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(69566203,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101101" => inv <= conv_std_logic_vector(261417,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7078214,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70654699,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101110" => inv <= conv_std_logic_vector(261415,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7143932,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(206708322,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101111" => inv <= conv_std_logic_vector(261413,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7209651,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209294124,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110000" => inv <= conv_std_logic_vector(261411,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7275371,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78413591,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110001" => inv <= conv_std_logic_vector(261409,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7341091,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(82505197,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110010" => inv <= conv_std_logic_vector(261407,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7406811,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(221569406,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110011" => inv <= conv_std_logic_vector(261405,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7472532,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227174289,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110100" => inv <= conv_std_logic_vector(261403,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7538254,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(99321843,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110101" => inv <= conv_std_logic_vector(261401,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7603976,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106448498,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110110" => inv <= conv_std_logic_vector(261399,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7669698,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(248557271,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110111" => inv <= conv_std_logic_vector(261397,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7735421,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257214192,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111000" => inv <= conv_std_logic_vector(261395,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7801145,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132421765,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111001" => inv <= conv_std_logic_vector(261393,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7866869,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(142616931,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111010" => inv <= conv_std_logic_vector(261391,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7932594,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19367251,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111011" => inv <= conv_std_logic_vector(261389,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7998319,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31109665,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111100" => inv <= conv_std_logic_vector(261387,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8064044,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(177846168,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111101" => inv <= conv_std_logic_vector(261385,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8129770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(191143299,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111110" => inv <= conv_std_logic_vector(261383,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8195497,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71003562,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111111" => inv <= conv_std_logic_vector(261381,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8261224,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85863897,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000000" => inv <= conv_std_logic_vector(261379,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8326951,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(235726809,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000001" => inv <= conv_std_logic_vector(261377,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8392679,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(252159347,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000010" => inv <= conv_std_logic_vector(261375,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8458408,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135162483,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000011" => inv <= conv_std_logic_vector(261373,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8524137,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153174178,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000100" => inv <= conv_std_logic_vector(261371,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8589867,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(37761480,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000101" => inv <= conv_std_logic_vector(261369,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8655597,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57361328,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000110" => inv <= conv_std_logic_vector(261367,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8721327,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(211976226,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000111" => inv <= conv_std_logic_vector(261365,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8787058,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(233172711,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001000" => inv <= conv_std_logic_vector(261363,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8852790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120952266,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001001" => inv <= conv_std_logic_vector(261361,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8918522,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(143753360,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001010" => inv <= conv_std_logic_vector(261359,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8984255,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33142020,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001011" => inv <= conv_std_logic_vector(261357,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9049988,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57555694,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001100" => inv <= conv_std_logic_vector(261355,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9115721,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216996886,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001101" => inv <= conv_std_logic_vector(261353,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9181455,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243031622,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001110" => inv <= conv_std_logic_vector(261351,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9247190,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135662403,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001111" => inv <= conv_std_logic_vector(261349,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9312925,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(163326679,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010000" => inv <= conv_std_logic_vector(261347,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9378661,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57590984,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010001" => inv <= conv_std_logic_vector(261345,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9444397,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(86893278,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010010" => inv <= conv_std_logic_vector(261343,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9510133,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251234532,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010011" => inv <= conv_std_logic_vector(261341,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9575871,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(13747355,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010100" => inv <= conv_std_logic_vector(261339,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9641608,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(179738576,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010101" => inv <= conv_std_logic_vector(261337,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9707346,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(212340806,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010110" => inv <= conv_std_logic_vector(261335,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9773085,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111555525,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010111" => inv <= conv_std_logic_vector(261333,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9838824,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145820180,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011000" => inv <= conv_std_logic_vector(261331,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9904564,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46701306,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011001" => inv <= conv_std_logic_vector(261329,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9970304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(82636350,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011010" => inv <= conv_std_logic_vector(261327,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10036044,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(253627813,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011011" => inv <= conv_std_logic_vector(261325,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10101786,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(22806772,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011100" => inv <= conv_std_logic_vector(261323,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10167527,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195481587,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011101" => inv <= conv_std_logic_vector(261321,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10233269,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234782825,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011110" => inv <= conv_std_logic_vector(261319,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10299012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140713496,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011111" => inv <= conv_std_logic_vector(261317,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10364755,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(181710537,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100000" => inv <= conv_std_logic_vector(261315,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10430499,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(89340991,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100001" => inv <= conv_std_logic_vector(261313,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10496243,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132041793,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100010" => inv <= conv_std_logic_vector(261311,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10561988,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(41379987,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100011" => inv <= conv_std_logic_vector(261309,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10627733,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85793018,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100100" => inv <= conv_std_logic_vector(261307,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10693478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(265282876,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100101" => inv <= conv_std_logic_vector(261305,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10759225,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42980638,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100110" => inv <= conv_std_logic_vector(261303,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10824971,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(224195170,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100111" => inv <= conv_std_logic_vector(261301,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10890719,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3621583,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101000" => inv <= conv_std_logic_vector(261299,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10956466,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186568744,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101001" => inv <= conv_std_logic_vector(261297,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11022214,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236167729,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101010" => inv <= conv_std_logic_vector(261295,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11087963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152420527,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101011" => inv <= conv_std_logic_vector(261293,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11153712,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(203764581,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101100" => inv <= conv_std_logic_vector(261291,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11219462,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(121766424,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101101" => inv <= conv_std_logic_vector(261289,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11285212,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174864010,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101110" => inv <= conv_std_logic_vector(261287,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11350963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94623359,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101111" => inv <= conv_std_logic_vector(261285,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11416714,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(149482427,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110000" => inv <= conv_std_logic_vector(261283,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11482466,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71008255,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110001" => inv <= conv_std_logic_vector(261281,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11548218,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(127637265,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110010" => inv <= conv_std_logic_vector(261279,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11613971,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50936498,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110011" => inv <= conv_std_logic_vector(261277,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11679724,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(109344419,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110100" => inv <= conv_std_logic_vector(261275,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11745478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34426028,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110101" => inv <= conv_std_logic_vector(261273,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11811232,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94620297,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110110" => inv <= conv_std_logic_vector(261271,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11876987,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21492737,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110111" => inv <= conv_std_logic_vector(261269,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11942742,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83481301,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111000" => inv <= conv_std_logic_vector(261267,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12008498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12153029,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111001" => inv <= conv_std_logic_vector(261265,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12074254,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(75944853,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111010" => inv <= conv_std_logic_vector(261263,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12140011,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(6423814,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111011" => inv <= conv_std_logic_vector(261261,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12205768,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72026842,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111100" => inv <= conv_std_logic_vector(261259,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12271526,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(4320978,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111101" => inv <= conv_std_logic_vector(261258,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12304405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21141383,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111110" => inv <= conv_std_logic_vector(261256,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12370163,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(156129342,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111111" => inv <= conv_std_logic_vector(261254,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12435922,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(157813374,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000000" => inv <= conv_std_logic_vector(261252,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12501682,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(26195972,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000001" => inv <= conv_std_logic_vector(261250,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12567442,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29714068,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000010" => inv <= conv_std_logic_vector(261248,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12633202,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168370156,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000011" => inv <= conv_std_logic_vector(261246,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12698963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173730767,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000100" => inv <= conv_std_logic_vector(261244,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12764725,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(45797884,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000101" => inv <= conv_std_logic_vector(261242,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12830487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(53009458,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000110" => inv <= conv_std_logic_vector(261240,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12896249,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195366963,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000111" => inv <= conv_std_logic_vector(261238,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12962012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(204436928,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001000" => inv <= conv_std_logic_vector(261236,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13027776,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80222356,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001001" => inv <= conv_std_logic_vector(261234,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13093540,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(91159668,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001010" => inv <= conv_std_logic_vector(261232,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13159304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237251358,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001011" => inv <= conv_std_logic_vector(261230,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13225069,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(250064462,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001100" => inv <= conv_std_logic_vector(261228,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13290835,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(129600456,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001101" => inv <= conv_std_logic_vector(261226,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13356601,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144297287,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001110" => inv <= conv_std_logic_vector(261224,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13422368,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(25721484,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001111" => inv <= conv_std_logic_vector(261222,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13488135,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42309975,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010000" => inv <= conv_std_logic_vector(261220,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13553902,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(194065764,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010001" => inv <= conv_std_logic_vector(261218,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13619670,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(212554867,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010010" => inv <= conv_std_logic_vector(261216,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13685439,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97779777,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010011" => inv <= conv_std_logic_vector(261214,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13751208,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118177423,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010100" => inv <= conv_std_logic_vector(261212,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13816978,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5314840,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010101" => inv <= conv_std_logic_vector(261210,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13882748,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(27629467,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010110" => inv <= conv_std_logic_vector(261208,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13948518,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(185123287,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010111" => inv <= conv_std_logic_vector(261206,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14014289,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209363335,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011000" => inv <= conv_std_logic_vector(261204,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14080061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100351083,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011001" => inv <= conv_std_logic_vector(261202,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14145833,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(126524479,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011010" => inv <= conv_std_logic_vector(261200,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14211606,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19449539,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011011" => inv <= conv_std_logic_vector(261198,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14277379,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47564719,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011100" => inv <= conv_std_logic_vector(261196,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14343152,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210871490,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011101" => inv <= conv_std_logic_vector(261194,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14408926,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(240936379,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011110" => inv <= conv_std_logic_vector(261192,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14474701,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137761877,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011111" => inv <= conv_std_logic_vector(261190,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14540476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(169784908,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100000" => inv <= conv_std_logic_vector(261188,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14606252,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68573020,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100001" => inv <= conv_std_logic_vector(261186,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14672028,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(102562628,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100010" => inv <= conv_std_logic_vector(261184,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100011" => inv <= conv_std_logic_vector(261182,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14803582,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39285891,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100100" => inv <= conv_std_logic_vector(261180,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14869359,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210458963,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100101" => inv <= conv_std_logic_vector(261178,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14935137,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(248407016,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100110" => inv <= conv_std_logic_vector(261176,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15000916,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153132030,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100111" => inv <= conv_std_logic_vector(261174,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15066695,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(193071440,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101000" => inv <= conv_std_logic_vector(261172,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15132475,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(99791770,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101001" => inv <= conv_std_logic_vector(261170,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15198255,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(141730965,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101010" => inv <= conv_std_logic_vector(261168,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15264036,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50455039,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101011" => inv <= conv_std_logic_vector(261166,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15329817,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94401937,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101100" => inv <= conv_std_logic_vector(261164,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15395599,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5138692,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101101" => inv <= conv_std_logic_vector(261162,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15461381,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(51101718,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101110" => inv <= conv_std_logic_vector(261160,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15527163,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232294014,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101111" => inv <= conv_std_logic_vector(261158,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15592947,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(11846647,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110000" => inv <= conv_std_logic_vector(261156,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15658730,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195067454,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110001" => inv <= conv_std_logic_vector(261154,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15724514,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(245088520,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110010" => inv <= conv_std_logic_vector(261152,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15790299,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(161910803,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110011" => inv <= conv_std_logic_vector(261150,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15856084,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213972758,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110100" => inv <= conv_std_logic_vector(261148,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15921870,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132840397,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110101" => inv <= conv_std_logic_vector(261146,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15987656,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186951663,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110110" => inv <= conv_std_logic_vector(261144,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16053443,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(107873077,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110111" => inv <= conv_std_logic_vector(261142,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16119230,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(164042073,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111000" => inv <= conv_std_logic_vector(261140,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16185018,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87025173,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111001" => inv <= conv_std_logic_vector(261138,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16250806,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145260319,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111010" => inv <= conv_std_logic_vector(261136,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16316595,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70314033,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111011" => inv <= conv_std_logic_vector(261134,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16382384,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(130623237,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111100" => inv <= conv_std_logic_vector(261132,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16448174,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57754962,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111101" => inv <= conv_std_logic_vector(261130,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16513964,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120146640,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111110" => inv <= conv_std_logic_vector(261128,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16579755,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(49365303,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111111" => inv <= conv_std_logic_vector(261126,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16645546,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113847871,28); logexp <= conv_std_logic_vector(1014,11); WHEN others => inv <= conv_std_logic_vector(0,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28); logexp <= conv_std_logic_vector(0,11); END CASE; END PROCESS; END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** DP_LNLUT18.VHD *** --*** *** --*** Function: Look Up Table - LN() *** --*** *** --*** Generated by MATLAB Utility *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_lnlut18 IS PORT ( add : IN STD_LOGIC_VECTOR (9 DOWNTO 1); inv : OUT STD_LOGIC_VECTOR (18 DOWNTO 1); logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1) ); END dp_lnlut18; ARCHITECTURE rtl OF dp_lnlut18 IS BEGIN pca: PROCESS (add) BEGIN CASE add IS WHEN "000000000" => inv <= conv_std_logic_vector(131072,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28); logexp <= conv_std_logic_vector(0,11); WHEN "000000001" => inv <= conv_std_logic_vector(262143,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(31,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(268391765,28); logexp <= conv_std_logic_vector(1005,11); WHEN "000000010" => inv <= conv_std_logic_vector(262141,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8388752,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(327672,28); logexp <= conv_std_logic_vector(1006,11); WHEN "000000011" => inv <= conv_std_logic_vector(262139,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4194504,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(666254,28); logexp <= conv_std_logic_vector(1007,11); WHEN "000000100" => inv <= conv_std_logic_vector(262137,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12583304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1889508,28); logexp <= conv_std_logic_vector(1007,11); WHEN "000000101" => inv <= conv_std_logic_vector(262135,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2097476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1982311,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000000110" => inv <= conv_std_logic_vector(262133,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6291940,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3642366,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000000111" => inv <= conv_std_logic_vector(262131,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10486436,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5990414,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000001000" => inv <= conv_std_logic_vector(262129,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14680964,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9223005,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000001001" => inv <= conv_std_logic_vector(262127,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1049154,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(6702808,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001010" => inv <= conv_std_logic_vector(262125,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3146450,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9367390,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001011" => inv <= conv_std_logic_vector(262123,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5243762,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12637977,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001100" => inv <= conv_std_logic_vector(262121,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7341090,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(16612827,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001101" => inv <= conv_std_logic_vector(262119,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9438434,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21341043,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001110" => inv <= conv_std_logic_vector(262117,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11535794,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(26871724,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001111" => inv <= conv_std_logic_vector(262115,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13633170,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33303113,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000010000" => inv <= conv_std_logic_vector(262113,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15730562,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40684301,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000010001" => inv <= conv_std_logic_vector(262111,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(525377,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(24532187,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010010" => inv <= conv_std_logic_vector(262109,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1574089,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29270780,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010011" => inv <= conv_std_logic_vector(262107,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2622809,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34582468,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010100" => inv <= conv_std_logic_vector(262105,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3671537,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40499978,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010101" => inv <= conv_std_logic_vector(262103,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4720273,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47056037,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010110" => inv <= conv_std_logic_vector(262101,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5769017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54283367,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010111" => inv <= conv_std_logic_vector(262099,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6817769,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(62214689,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011000" => inv <= conv_std_logic_vector(262097,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7866529,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70882721,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011001" => inv <= conv_std_logic_vector(262095,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8915297,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80328369,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011010" => inv <= conv_std_logic_vector(262093,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9964073,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90567968,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011011" => inv <= conv_std_logic_vector(262091,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11012857,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(101650610,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011100" => inv <= conv_std_logic_vector(262089,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12061649,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113592623,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011101" => inv <= conv_std_logic_vector(262087,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13110449,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(126443095,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011110" => inv <= conv_std_logic_vector(262085,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14159257,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140226541,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011111" => inv <= conv_std_logic_vector(262083,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15208073,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(154975663,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000100000" => inv <= conv_std_logic_vector(262081,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16256897,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(170731353,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000100001" => inv <= conv_std_logic_vector(262079,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(264256,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227972692,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100010" => inv <= conv_std_logic_vector(262077,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(788676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236897961,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100011" => inv <= conv_std_logic_vector(262075,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1313100,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(246371462,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100100" => inv <= conv_std_logic_vector(262073,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1837528,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256409541,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100101" => inv <= conv_std_logic_vector(262071,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2361960,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(267028543,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100110" => inv <= conv_std_logic_vector(262069,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2886397,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9813450,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100111" => inv <= conv_std_logic_vector(262067,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3410837,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21647423,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101000" => inv <= conv_std_logic_vector(262065,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3935281,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34111345,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101001" => inv <= conv_std_logic_vector(262063,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4459729,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47221557,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101010" => inv <= conv_std_logic_vector(262061,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4984181,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60998494,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101011" => inv <= conv_std_logic_vector(262059,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5508637,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(75454397,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101100" => inv <= conv_std_logic_vector(262057,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6033097,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90605604,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101101" => inv <= conv_std_logic_vector(262055,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6557561,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106472545,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101110" => inv <= conv_std_logic_vector(262053,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7082029,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(123067460,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101111" => inv <= conv_std_logic_vector(262051,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7606501,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140410777,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110000" => inv <= conv_std_logic_vector(262049,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8130977,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(158510638,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110001" => inv <= conv_std_logic_vector(262047,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8655457,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(177395659,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110010" => inv <= conv_std_logic_vector(262045,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9179941,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197069887,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110011" => inv <= conv_std_logic_vector(262043,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9704429,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(217561932,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110100" => inv <= conv_std_logic_vector(262041,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10228921,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238875840,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110101" => inv <= conv_std_logic_vector(262039,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10753417,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(261036127,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110110" => inv <= conv_std_logic_vector(262037,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11277918,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(15623661,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110111" => inv <= conv_std_logic_vector(262035,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11802422,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39521584,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111000" => inv <= conv_std_logic_vector(262033,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12326930,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(64314859,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111001" => inv <= conv_std_logic_vector(262031,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12851442,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90015713,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111010" => inv <= conv_std_logic_vector(262029,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13375958,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(116644561,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111011" => inv <= conv_std_logic_vector(262027,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13900478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144213628,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111100" => inv <= conv_std_logic_vector(262025,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14425002,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(172743328,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111101" => inv <= conv_std_logic_vector(262023,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14949530,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(202249979,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111110" => inv <= conv_std_logic_vector(262021,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15474062,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232745802,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111111" => inv <= conv_std_logic_vector(262019,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15998598,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(264251207,28); logexp <= conv_std_logic_vector(1011,11); WHEN "001000000" => inv <= conv_std_logic_vector(262017,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16523139,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28347053,28); logexp <= conv_std_logic_vector(1011,11); WHEN "001000001" => inv <= conv_std_logic_vector(262015,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(135233,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(165175963,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000010" => inv <= conv_std_logic_vector(262013,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(397507,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(182491979,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000011" => inv <= conv_std_logic_vector(262011,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(659783,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(200343364,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000100" => inv <= conv_std_logic_vector(262009,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(922061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(218740319,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000101" => inv <= conv_std_logic_vector(262007,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1184341,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237688954,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000110" => inv <= conv_std_logic_vector(262005,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1446623,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257201513,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000111" => inv <= conv_std_logic_vector(262003,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1708908,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(8846602,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001000" => inv <= conv_std_logic_vector(262001,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1971194,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29507379,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001001" => inv <= conv_std_logic_vector(261999,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2233482,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50752445,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001010" => inv <= conv_std_logic_vector(261997,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2495772,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72592000,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001011" => inv <= conv_std_logic_vector(261995,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2758064,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(95036240,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001100" => inv <= conv_std_logic_vector(261993,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3020358,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118089223,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001101" => inv <= conv_std_logic_vector(261991,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3282654,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(141761145,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001110" => inv <= conv_std_logic_vector(261989,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3544952,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166062202,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001111" => inv <= conv_std_logic_vector(261987,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3807252,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(190996450,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010000" => inv <= conv_std_logic_vector(261985,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4069554,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216576129,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010001" => inv <= conv_std_logic_vector(261983,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4331858,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242805295,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010010" => inv <= conv_std_logic_vector(261981,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4594165,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1260730,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010011" => inv <= conv_std_logic_vector(261979,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4856473,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28821495,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010100" => inv <= conv_std_logic_vector(261977,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5118783,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57056185,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010101" => inv <= conv_std_logic_vector(261975,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5381095,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85977038,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010110" => inv <= conv_std_logic_vector(261973,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5643409,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(115590153,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010111" => inv <= conv_std_logic_vector(261971,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5905725,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145903675,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011000" => inv <= conv_std_logic_vector(261969,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6168043,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(176927792,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011001" => inv <= conv_std_logic_vector(261967,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6430363,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208668602,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011010" => inv <= conv_std_logic_vector(261965,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6692685,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(241134248,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011011" => inv <= conv_std_logic_vector(261963,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6955010,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5901507,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011100" => inv <= conv_std_logic_vector(261961,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7217336,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39843294,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011101" => inv <= conv_std_logic_vector(261959,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7479664,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(74536387,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011110" => inv <= conv_std_logic_vector(261957,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7741994,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(109988926,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011111" => inv <= conv_std_logic_vector(261955,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8004326,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146207004,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100000" => inv <= conv_std_logic_vector(261953,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8266660,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(183200806,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100001" => inv <= conv_std_logic_vector(261951,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8528996,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(220976425,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100010" => inv <= conv_std_logic_vector(261949,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8791334,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259546091,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100011" => inv <= conv_std_logic_vector(261947,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9053675,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(30478393,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100100" => inv <= conv_std_logic_vector(261945,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9316017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70652380,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100101" => inv <= conv_std_logic_vector(261943,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9578361,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111644825,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100110" => inv <= conv_std_logic_vector(261941,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9840707,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153461817,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100111" => inv <= conv_std_logic_vector(261939,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10103055,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(196109445,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101000" => inv <= conv_std_logic_vector(261937,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10365405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239597890,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101001" => inv <= conv_std_logic_vector(261935,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10627758,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(15499830,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101010" => inv <= conv_std_logic_vector(261933,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10890112,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60696356,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101011" => inv <= conv_std_logic_vector(261931,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11152468,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106756053,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101100" => inv <= conv_std_logic_vector(261929,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11414826,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153689099,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101101" => inv <= conv_std_logic_vector(261927,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11677186,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(201505673,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101110" => inv <= conv_std_logic_vector(261925,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11939548,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(250209813,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101111" => inv <= conv_std_logic_vector(261923,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12201913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31378287,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110000" => inv <= conv_std_logic_vector(261921,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12464279,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(81888089,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110001" => inv <= conv_std_logic_vector(261919,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12726647,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(133313941,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110010" => inv <= conv_std_logic_vector(261917,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12989017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(185659877,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110011" => inv <= conv_std_logic_vector(261915,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13251389,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238938120,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110100" => inv <= conv_std_logic_vector(261913,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13513764,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(24721341,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110101" => inv <= conv_std_logic_vector(261911,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13776140,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(79886532,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110110" => inv <= conv_std_logic_vector(261909,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14038518,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(136006365,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110111" => inv <= conv_std_logic_vector(261907,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14300898,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(193091012,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111000" => inv <= conv_std_logic_vector(261905,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14563280,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251146552,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111001" => inv <= conv_std_logic_vector(261903,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14825665,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(41747701,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111010" => inv <= conv_std_logic_vector(261901,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15088051,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(101773495,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111011" => inv <= conv_std_logic_vector(261899,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15350439,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162796601,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111100" => inv <= conv_std_logic_vector(261897,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15612829,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(224823097,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111101" => inv <= conv_std_logic_vector(261895,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15875222,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19427696,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111110" => inv <= conv_std_logic_vector(261893,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16137616,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83489431,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111111" => inv <= conv_std_logic_vector(261891,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16400012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(148578923,28); logexp <= conv_std_logic_vector(1012,11); WHEN "010000000" => inv <= conv_std_logic_vector(261889,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16662410,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(214708384,28); logexp <= conv_std_logic_vector(1012,11); WHEN "010000001" => inv <= conv_std_logic_vector(261887,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(73797,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140941945,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000010" => inv <= conv_std_logic_vector(261885,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(204998,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(175055756,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000011" => inv <= conv_std_logic_vector(261883,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(336200,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209701733,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000100" => inv <= conv_std_logic_vector(261881,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(467403,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(244882910,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000101" => inv <= conv_std_logic_vector(261879,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(598608,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12167891,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000110" => inv <= conv_std_logic_vector(261877,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(729813,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(48431647,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000111" => inv <= conv_std_logic_vector(261875,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(861019,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85243804,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001000" => inv <= conv_std_logic_vector(261873,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(992226,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(122607396,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001001" => inv <= conv_std_logic_vector(261871,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1123434,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160526482,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001010" => inv <= conv_std_logic_vector(261869,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1254643,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199006142,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001011" => inv <= conv_std_logic_vector(261867,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1385853,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238049411,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001100" => inv <= conv_std_logic_vector(261865,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1517065,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9224891,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001101" => inv <= conv_std_logic_vector(261863,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1648277,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(49409594,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001110" => inv <= conv_std_logic_vector(261861,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1779490,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90169054,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001111" => inv <= conv_std_logic_vector(261859,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1910704,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(131510396,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010000" => inv <= conv_std_logic_vector(261857,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2041919,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173435630,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010001" => inv <= conv_std_logic_vector(261855,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2173135,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(215949833,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010010" => inv <= conv_std_logic_vector(261853,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2304352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259057063,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010011" => inv <= conv_std_logic_vector(261851,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2435571,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34325917,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010100" => inv <= conv_std_logic_vector(261849,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2566790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78630340,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010101" => inv <= conv_std_logic_vector(261847,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2698010,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(123540976,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010110" => inv <= conv_std_logic_vector(261845,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2829231,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(169060858,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010111" => inv <= conv_std_logic_vector(261843,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2960453,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(215194037,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011000" => inv <= conv_std_logic_vector(261841,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3091676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(261943547,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011001" => inv <= conv_std_logic_vector(261839,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3222901,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40881052,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011010" => inv <= conv_std_logic_vector(261837,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3354126,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(88878449,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011011" => inv <= conv_std_logic_vector(261835,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3485352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137506381,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011100" => inv <= conv_std_logic_vector(261833,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3616579,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186767878,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011101" => inv <= conv_std_logic_vector(261831,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3747807,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236666992,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011110" => inv <= conv_std_logic_vector(261829,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3879037,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(18774364,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011111" => inv <= conv_std_logic_vector(261827,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4010267,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(69961890,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100000" => inv <= conv_std_logic_vector(261825,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4141498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(121800212,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100001" => inv <= conv_std_logic_vector(261823,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4272730,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174293379,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100010" => inv <= conv_std_logic_vector(261821,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4403963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227445444,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100011" => inv <= conv_std_logic_vector(261819,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4535198,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12823978,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100100" => inv <= conv_std_logic_vector(261817,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4666433,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(67305989,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100101" => inv <= conv_std_logic_vector(261815,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4797669,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(122458025,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100110" => inv <= conv_std_logic_vector(261813,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4928906,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(178285160,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100111" => inv <= conv_std_logic_vector(261811,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5060144,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234791442,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101000" => inv <= conv_std_logic_vector(261809,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5191384,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(23545465,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101001" => inv <= conv_std_logic_vector(261807,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5322624,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(81422190,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101010" => inv <= conv_std_logic_vector(261805,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5453865,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(139991231,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101011" => inv <= conv_std_logic_vector(261803,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5585107,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199255616,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101100" => inv <= conv_std_logic_vector(261801,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5716350,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259219392,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101101" => inv <= conv_std_logic_vector(261799,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5847595,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(51452173,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101110" => inv <= conv_std_logic_vector(261797,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5978840,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(112827896,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101111" => inv <= conv_std_logic_vector(261795,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6110086,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174915153,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110000" => inv <= conv_std_logic_vector(261793,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6241333,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237719013,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110001" => inv <= conv_std_logic_vector(261791,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6372582,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(32808067,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110010" => inv <= conv_std_logic_vector(261789,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6503831,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97057274,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110011" => inv <= conv_std_logic_vector(261787,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6635081,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162034200,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110100" => inv <= conv_std_logic_vector(261785,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6766332,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227743914,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110101" => inv <= conv_std_logic_vector(261783,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6897585,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(25755007,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110110" => inv <= conv_std_logic_vector(261781,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7028838,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(92942434,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110111" => inv <= conv_std_logic_vector(261779,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7160092,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160875808,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111000" => inv <= conv_std_logic_vector(261777,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7291347,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(229557128,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111001" => inv <= conv_std_logic_vector(261775,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7422604,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(30556006,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111010" => inv <= conv_std_logic_vector(261773,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7553861,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100748419,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111011" => inv <= conv_std_logic_vector(261771,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7685119,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(171701934,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111100" => inv <= conv_std_logic_vector(261769,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7816378,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243420594,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111101" => inv <= conv_std_logic_vector(261767,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7947639,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47474008,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111110" => inv <= conv_std_logic_vector(261765,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8078900,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120736110,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111111" => inv <= conv_std_logic_vector(261763,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8210162,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(194776508,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000000" => inv <= conv_std_logic_vector(261761,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8341426,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1163789,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000001" => inv <= conv_std_logic_vector(261759,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8472690,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(76771885,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000010" => inv <= conv_std_logic_vector(261757,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8603955,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153170403,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000011" => inv <= conv_std_logic_vector(261755,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8735221,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(230363387,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000100" => inv <= conv_std_logic_vector(261753,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8866489,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39919420,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000101" => inv <= conv_std_logic_vector(261751,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8997757,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118713456,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000110" => inv <= conv_std_logic_vector(261749,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9129026,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(198314080,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000111" => inv <= conv_std_logic_vector(261747,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9260297,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(10289876,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001000" => inv <= conv_std_logic_vector(261745,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9391568,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(91515797,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001001" => inv <= conv_std_logic_vector(261743,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9522840,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173561448,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001010" => inv <= conv_std_logic_vector(261741,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9654113,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256429848,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001011" => inv <= conv_std_logic_vector(261739,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9785388,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71690601,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001100" => inv <= conv_std_logic_vector(261737,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9916663,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(156217637,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001101" => inv <= conv_std_logic_vector(261735,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10047939,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(241580560,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001110" => inv <= conv_std_logic_vector(261733,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10179217,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(59346931,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001111" => inv <= conv_std_logic_vector(261731,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10310495,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146392723,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010000" => inv <= conv_std_logic_vector(261729,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10441774,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234286516,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010001" => inv <= conv_std_logic_vector(261727,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10573055,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54596894,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010010" => inv <= conv_std_logic_vector(261725,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10704336,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144198805,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010011" => inv <= conv_std_logic_vector(261723,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10835618,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234660830,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010100" => inv <= conv_std_logic_vector(261721,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10966902,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57551551,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010101" => inv <= conv_std_logic_vector(261719,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11098186,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(149745915,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010110" => inv <= conv_std_logic_vector(261717,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11229471,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242812504,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010111" => inv <= conv_std_logic_vector(261715,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11360758,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68320919,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011000" => inv <= conv_std_logic_vector(261713,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11492045,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(163146107,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011001" => inv <= conv_std_logic_vector(261711,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11623333,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258854605,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011010" => inv <= conv_std_logic_vector(261709,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11754623,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87018057,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011011" => inv <= conv_std_logic_vector(261707,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11885913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(184509366,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011100" => inv <= conv_std_logic_vector(261705,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12017205,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(14462677,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011101" => inv <= conv_std_logic_vector(261703,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12148497,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113752937,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011110" => inv <= conv_std_logic_vector(261701,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12279790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213947700,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011111" => inv <= conv_std_logic_vector(261699,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12411085,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46617589,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100000" => inv <= conv_std_logic_vector(261697,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12542380,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(148636528,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100001" => inv <= conv_std_logic_vector(261695,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12673676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251573093,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100010" => inv <= conv_std_logic_vector(261693,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12804974,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(86995861,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100011" => inv <= conv_std_logic_vector(261691,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12936272,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(191780799,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100100" => inv <= conv_std_logic_vector(261689,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13067572,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29060005,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100101" => inv <= conv_std_logic_vector(261687,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13198872,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135708424,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100110" => inv <= conv_std_logic_vector(261685,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13330173,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243295652,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100111" => inv <= conv_std_logic_vector(261683,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13461476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83390266,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101000" => inv <= conv_std_logic_vector(261681,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13592779,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(192867209,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101001" => inv <= conv_std_logic_vector(261679,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13724084,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34858577,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101010" => inv <= conv_std_logic_vector(261677,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13855389,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146240336,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101011" => inv <= conv_std_logic_vector(261675,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13986695,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258581058,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101100" => inv <= conv_std_logic_vector(261673,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14118003,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(103450342,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101101" => inv <= conv_std_logic_vector(261671,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14249311,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(217721083,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101110" => inv <= conv_std_logic_vector(261669,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14380621,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(64528444,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101111" => inv <= conv_std_logic_vector(261667,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14511931,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(180746345,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110000" => inv <= conv_std_logic_vector(261665,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14643243,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29508923,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110001" => inv <= conv_std_logic_vector(261663,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14774555,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(147690097,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110010" => inv <= conv_std_logic_vector(261661,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14905868,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(266859463,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110011" => inv <= conv_std_logic_vector(261659,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15037183,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118585591,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110100" => inv <= conv_std_logic_vector(261657,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15168498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239742400,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110101" => inv <= conv_std_logic_vector(261655,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15299815,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(93464027,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110110" => inv <= conv_std_logic_vector(261653,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15431132,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216625411,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110111" => inv <= conv_std_logic_vector(261651,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15562451,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72360690,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111000" => inv <= conv_std_logic_vector(261649,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15693770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197542758,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111001" => inv <= conv_std_logic_vector(261647,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15825091,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(55306773,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111010" => inv <= conv_std_logic_vector(261645,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15956412,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(182526652,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111011" => inv <= conv_std_logic_vector(261643,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16087735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42335507,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111100" => inv <= conv_std_logic_vector(261641,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16219058,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(171609300,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111101" => inv <= conv_std_logic_vector(261639,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16350383,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33481142,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111110" => inv <= conv_std_logic_vector(261637,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16481708,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(164824949,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111111" => inv <= conv_std_logic_vector(261635,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16613035,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28774856,28); logexp <= conv_std_logic_vector(1013,11); WHEN "100000000" => inv <= conv_std_logic_vector(261633,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16744362,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162205798,28); logexp <= conv_std_logic_vector(1013,11); WHEN "100000001" => inv <= conv_std_logic_vector(261632,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97880094,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000010" => inv <= conv_std_logic_vector(261630,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(82069,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232492642,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000011" => inv <= conv_std_logic_vector(261628,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(147734,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(233414771,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000100" => inv <= conv_std_logic_vector(261626,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(213400,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100649515,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000101" => inv <= conv_std_logic_vector(261624,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(279066,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(102634341,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000110" => inv <= conv_std_logic_vector(261622,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(344732,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239370238,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000111" => inv <= conv_std_logic_vector(261620,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(410399,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242424784,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001000" => inv <= conv_std_logic_vector(261618,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(476067,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111799989,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001001" => inv <= conv_std_logic_vector(261616,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(541735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(115933322,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001010" => inv <= conv_std_logic_vector(261614,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(607403,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254826281,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001011" => inv <= conv_std_logic_vector(261612,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(673072,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(260045933,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001100" => inv <= conv_std_logic_vector(261610,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(738742,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(131594288,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001101" => inv <= conv_std_logic_vector(261608,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(804412,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137909323,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001110" => inv <= conv_std_logic_vector(261606,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(870083,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(10556570,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001111" => inv <= conv_std_logic_vector(261604,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(935754,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17974519,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010000" => inv <= conv_std_logic_vector(261602,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1001425,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160164667,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010001" => inv <= conv_std_logic_vector(261600,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1067097,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168694080,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010010" => inv <= conv_std_logic_vector(261598,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1132770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(43564256,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010011" => inv <= conv_std_logic_vector(261596,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1198443,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(53213172,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010100" => inv <= conv_std_logic_vector(261594,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1264116,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197642837,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010101" => inv <= conv_std_logic_vector(261592,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1329790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208419294,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010110" => inv <= conv_std_logic_vector(261590,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1395465,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85545574,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010111" => inv <= conv_std_logic_vector(261588,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1461140,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97458630,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011000" => inv <= conv_std_logic_vector(261586,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1526815,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(244160472,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011001" => inv <= conv_std_logic_vector(261584,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1592491,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257217653,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011010" => inv <= conv_std_logic_vector(261582,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1658168,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(136632180,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011011" => inv <= conv_std_logic_vector(261580,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1723845,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(150842541,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011100" => inv <= conv_std_logic_vector(261578,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1789523,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31413754,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011101" => inv <= conv_std_logic_vector(261576,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1855201,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46784817,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011110" => inv <= conv_std_logic_vector(261574,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1920879,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(196956715,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011111" => inv <= conv_std_logic_vector(261572,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1986558,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213496513,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100000" => inv <= conv_std_logic_vector(261570,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2052238,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(96406727,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100001" => inv <= conv_std_logic_vector(261568,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2117918,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(114123801,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100010" => inv <= conv_std_logic_vector(261566,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2183598,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(266650763,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100011" => inv <= conv_std_logic_vector(261564,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2249280,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17118198,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100100" => inv <= conv_std_logic_vector(261562,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2314961,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(170834480,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100101" => inv <= conv_std_logic_vector(261560,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2380643,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(190931217,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100110" => inv <= conv_std_logic_vector(261558,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2446326,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(77410414,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100111" => inv <= conv_std_logic_vector(261556,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2512009,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(98709023,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101000" => inv <= conv_std_logic_vector(261554,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2577692,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254830073,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101001" => inv <= conv_std_logic_vector(261552,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2643377,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(8903637,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101010" => inv <= conv_std_logic_vector(261550,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2709061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166239111,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101011" => inv <= conv_std_logic_vector(261548,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2774746,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(189966567,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101100" => inv <= conv_std_logic_vector(261546,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2840432,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80089032,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101101" => inv <= conv_std_logic_vector(261544,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2906118,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(105043970,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101110" => inv <= conv_std_logic_vector(261542,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2971804,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(264832874,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101111" => inv <= conv_std_logic_vector(261540,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3037492,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(22586838,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110000" => inv <= conv_std_logic_vector(261538,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3103179,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(183615258,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110001" => inv <= conv_std_logic_vector(261536,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3168867,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(211048205,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110010" => inv <= conv_std_logic_vector(261534,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3234556,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(104888194,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110011" => inv <= conv_std_logic_vector(261532,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3300245,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(133573199,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110100" => inv <= conv_std_logic_vector(261530,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3365935,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28668746,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110101" => inv <= conv_std_logic_vector(261528,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3431625,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(58613318,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110110" => inv <= conv_std_logic_vector(261526,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3497315,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(223408408,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110111" => inv <= conv_std_logic_vector(261524,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3563006,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254621075,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111000" => inv <= conv_std_logic_vector(261522,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3628698,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152253325,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111001" => inv <= conv_std_logic_vector(261520,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3694390,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(184742106,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111010" => inv <= conv_std_logic_vector(261518,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3760083,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83654478,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111011" => inv <= conv_std_logic_vector(261516,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3825776,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(117428410,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111100" => inv <= conv_std_logic_vector(261514,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3891470,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17629430,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111101" => inv <= conv_std_logic_vector(261512,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3957164,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52695507,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111110" => inv <= conv_std_logic_vector(261510,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4022858,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(222629156,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111111" => inv <= conv_std_logic_vector(261508,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4088553,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258996925,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000000" => inv <= conv_std_logic_vector(261506,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4154249,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(161800305,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000001" => inv <= conv_std_logic_vector(261504,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4219945,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199477266,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000010" => inv <= conv_std_logic_vector(261502,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4285642,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(103593845,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000011" => inv <= conv_std_logic_vector(261500,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4351339,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(142588522,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000100" => inv <= conv_std_logic_vector(261498,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4417037,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(48026823,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000101" => inv <= conv_std_logic_vector(261496,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4482735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(88347226,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000110" => inv <= conv_std_logic_vector(261494,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4548433,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(263551224,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000111" => inv <= conv_std_logic_vector(261492,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4614133,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(36769906,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001000" => inv <= conv_std_logic_vector(261490,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4679832,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213312155,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001001" => inv <= conv_std_logic_vector(261488,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4745532,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256309059,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001010" => inv <= conv_std_logic_vector(261486,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4811233,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(165762621,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001011" => inv <= conv_std_logic_vector(261484,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4876934,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210109787,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001100" => inv <= conv_std_logic_vector(261482,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4942636,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120917613,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001101" => inv <= conv_std_logic_vector(261480,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5008338,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166624068,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001110" => inv <= conv_std_logic_vector(261478,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5074041,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78795186,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001111" => inv <= conv_std_logic_vector(261476,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5139744,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(125868425,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010000" => inv <= conv_std_logic_vector(261474,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5205448,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39410329,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010001" => inv <= conv_std_logic_vector(261472,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5271152,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87859376,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010010" => inv <= conv_std_logic_vector(261470,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5336857,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(2781090,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010011" => inv <= conv_std_logic_vector(261468,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5402562,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52613438,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010100" => inv <= conv_std_logic_vector(261466,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5468267,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237358419,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010101" => inv <= conv_std_logic_vector(261464,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5533974,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(20147124,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010110" => inv <= conv_std_logic_vector(261462,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5599680,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(206287919,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010111" => inv <= conv_std_logic_vector(261460,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5665387,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258912403,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011000" => inv <= conv_std_logic_vector(261458,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5731095,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(178022066,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011001" => inv <= conv_std_logic_vector(261456,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5796803,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232054873,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011010" => inv <= conv_std_logic_vector(261454,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5862512,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152577368,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011011" => inv <= conv_std_logic_vector(261452,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5928221,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208027007,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011100" => inv <= conv_std_logic_vector(261450,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5993931,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(129969822,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011101" => inv <= conv_std_logic_vector(261448,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6059641,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186844290,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011110" => inv <= conv_std_logic_vector(261446,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6125352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(110216442,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011111" => inv <= conv_std_logic_vector(261444,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6191063,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168523735,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100000" => inv <= conv_std_logic_vector(261442,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6256775,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(93333220,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100001" => inv <= conv_std_logic_vector(261440,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6322487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153082353,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100010" => inv <= conv_std_logic_vector(261438,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6388200,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(79337165,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100011" => inv <= conv_std_logic_vector(261436,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6453913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140535621,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100100" => inv <= conv_std_logic_vector(261434,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6519627,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68244774,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100101" => inv <= conv_std_logic_vector(261432,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6585341,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(130901057,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100110" => inv <= conv_std_logic_vector(261430,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6651056,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60072032,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100111" => inv <= conv_std_logic_vector(261428,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6716771,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(124194644,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101000" => inv <= conv_std_logic_vector(261426,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6782487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54835943,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101001" => inv <= conv_std_logic_vector(261424,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6848203,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120433383,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101010" => inv <= conv_std_logic_vector(261422,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6913920,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52552996,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101011" => inv <= conv_std_logic_vector(261421,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6946778,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(203440839,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101100" => inv <= conv_std_logic_vector(261419,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7012496,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(69566203,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101101" => inv <= conv_std_logic_vector(261417,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7078214,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70654699,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101110" => inv <= conv_std_logic_vector(261415,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7143932,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(206708322,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101111" => inv <= conv_std_logic_vector(261413,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7209651,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209294124,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110000" => inv <= conv_std_logic_vector(261411,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7275371,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78413591,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110001" => inv <= conv_std_logic_vector(261409,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7341091,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(82505197,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110010" => inv <= conv_std_logic_vector(261407,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7406811,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(221569406,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110011" => inv <= conv_std_logic_vector(261405,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7472532,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227174289,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110100" => inv <= conv_std_logic_vector(261403,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7538254,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(99321843,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110101" => inv <= conv_std_logic_vector(261401,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7603976,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106448498,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110110" => inv <= conv_std_logic_vector(261399,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7669698,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(248557271,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110111" => inv <= conv_std_logic_vector(261397,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7735421,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257214192,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111000" => inv <= conv_std_logic_vector(261395,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7801145,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132421765,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111001" => inv <= conv_std_logic_vector(261393,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7866869,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(142616931,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111010" => inv <= conv_std_logic_vector(261391,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7932594,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19367251,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111011" => inv <= conv_std_logic_vector(261389,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7998319,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31109665,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111100" => inv <= conv_std_logic_vector(261387,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8064044,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(177846168,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111101" => inv <= conv_std_logic_vector(261385,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8129770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(191143299,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111110" => inv <= conv_std_logic_vector(261383,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8195497,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71003562,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111111" => inv <= conv_std_logic_vector(261381,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8261224,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85863897,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000000" => inv <= conv_std_logic_vector(261379,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8326951,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(235726809,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000001" => inv <= conv_std_logic_vector(261377,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8392679,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(252159347,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000010" => inv <= conv_std_logic_vector(261375,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8458408,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135162483,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000011" => inv <= conv_std_logic_vector(261373,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8524137,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153174178,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000100" => inv <= conv_std_logic_vector(261371,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8589867,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(37761480,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000101" => inv <= conv_std_logic_vector(261369,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8655597,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57361328,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000110" => inv <= conv_std_logic_vector(261367,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8721327,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(211976226,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000111" => inv <= conv_std_logic_vector(261365,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8787058,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(233172711,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001000" => inv <= conv_std_logic_vector(261363,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8852790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120952266,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001001" => inv <= conv_std_logic_vector(261361,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8918522,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(143753360,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001010" => inv <= conv_std_logic_vector(261359,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8984255,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33142020,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001011" => inv <= conv_std_logic_vector(261357,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9049988,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57555694,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001100" => inv <= conv_std_logic_vector(261355,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9115721,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216996886,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001101" => inv <= conv_std_logic_vector(261353,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9181455,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243031622,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001110" => inv <= conv_std_logic_vector(261351,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9247190,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135662403,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001111" => inv <= conv_std_logic_vector(261349,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9312925,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(163326679,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010000" => inv <= conv_std_logic_vector(261347,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9378661,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57590984,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010001" => inv <= conv_std_logic_vector(261345,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9444397,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(86893278,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010010" => inv <= conv_std_logic_vector(261343,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9510133,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251234532,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010011" => inv <= conv_std_logic_vector(261341,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9575871,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(13747355,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010100" => inv <= conv_std_logic_vector(261339,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9641608,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(179738576,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010101" => inv <= conv_std_logic_vector(261337,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9707346,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(212340806,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010110" => inv <= conv_std_logic_vector(261335,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9773085,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111555525,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010111" => inv <= conv_std_logic_vector(261333,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9838824,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145820180,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011000" => inv <= conv_std_logic_vector(261331,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9904564,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46701306,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011001" => inv <= conv_std_logic_vector(261329,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9970304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(82636350,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011010" => inv <= conv_std_logic_vector(261327,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10036044,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(253627813,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011011" => inv <= conv_std_logic_vector(261325,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10101786,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(22806772,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011100" => inv <= conv_std_logic_vector(261323,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10167527,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195481587,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011101" => inv <= conv_std_logic_vector(261321,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10233269,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234782825,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011110" => inv <= conv_std_logic_vector(261319,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10299012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140713496,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011111" => inv <= conv_std_logic_vector(261317,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10364755,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(181710537,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100000" => inv <= conv_std_logic_vector(261315,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10430499,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(89340991,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100001" => inv <= conv_std_logic_vector(261313,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10496243,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132041793,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100010" => inv <= conv_std_logic_vector(261311,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10561988,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(41379987,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100011" => inv <= conv_std_logic_vector(261309,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10627733,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85793018,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100100" => inv <= conv_std_logic_vector(261307,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10693478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(265282876,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100101" => inv <= conv_std_logic_vector(261305,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10759225,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42980638,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100110" => inv <= conv_std_logic_vector(261303,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10824971,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(224195170,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100111" => inv <= conv_std_logic_vector(261301,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10890719,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3621583,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101000" => inv <= conv_std_logic_vector(261299,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10956466,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186568744,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101001" => inv <= conv_std_logic_vector(261297,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11022214,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236167729,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101010" => inv <= conv_std_logic_vector(261295,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11087963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152420527,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101011" => inv <= conv_std_logic_vector(261293,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11153712,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(203764581,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101100" => inv <= conv_std_logic_vector(261291,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11219462,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(121766424,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101101" => inv <= conv_std_logic_vector(261289,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11285212,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174864010,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101110" => inv <= conv_std_logic_vector(261287,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11350963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94623359,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101111" => inv <= conv_std_logic_vector(261285,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11416714,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(149482427,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110000" => inv <= conv_std_logic_vector(261283,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11482466,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71008255,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110001" => inv <= conv_std_logic_vector(261281,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11548218,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(127637265,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110010" => inv <= conv_std_logic_vector(261279,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11613971,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50936498,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110011" => inv <= conv_std_logic_vector(261277,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11679724,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(109344419,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110100" => inv <= conv_std_logic_vector(261275,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11745478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34426028,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110101" => inv <= conv_std_logic_vector(261273,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11811232,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94620297,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110110" => inv <= conv_std_logic_vector(261271,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11876987,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21492737,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110111" => inv <= conv_std_logic_vector(261269,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11942742,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83481301,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111000" => inv <= conv_std_logic_vector(261267,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12008498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12153029,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111001" => inv <= conv_std_logic_vector(261265,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12074254,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(75944853,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111010" => inv <= conv_std_logic_vector(261263,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12140011,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(6423814,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111011" => inv <= conv_std_logic_vector(261261,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12205768,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72026842,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111100" => inv <= conv_std_logic_vector(261259,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12271526,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(4320978,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111101" => inv <= conv_std_logic_vector(261258,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12304405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21141383,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111110" => inv <= conv_std_logic_vector(261256,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12370163,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(156129342,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111111" => inv <= conv_std_logic_vector(261254,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12435922,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(157813374,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000000" => inv <= conv_std_logic_vector(261252,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12501682,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(26195972,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000001" => inv <= conv_std_logic_vector(261250,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12567442,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29714068,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000010" => inv <= conv_std_logic_vector(261248,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12633202,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168370156,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000011" => inv <= conv_std_logic_vector(261246,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12698963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173730767,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000100" => inv <= conv_std_logic_vector(261244,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12764725,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(45797884,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000101" => inv <= conv_std_logic_vector(261242,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12830487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(53009458,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000110" => inv <= conv_std_logic_vector(261240,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12896249,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195366963,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000111" => inv <= conv_std_logic_vector(261238,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12962012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(204436928,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001000" => inv <= conv_std_logic_vector(261236,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13027776,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80222356,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001001" => inv <= conv_std_logic_vector(261234,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13093540,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(91159668,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001010" => inv <= conv_std_logic_vector(261232,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13159304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237251358,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001011" => inv <= conv_std_logic_vector(261230,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13225069,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(250064462,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001100" => inv <= conv_std_logic_vector(261228,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13290835,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(129600456,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001101" => inv <= conv_std_logic_vector(261226,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13356601,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144297287,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001110" => inv <= conv_std_logic_vector(261224,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13422368,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(25721484,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001111" => inv <= conv_std_logic_vector(261222,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13488135,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42309975,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010000" => inv <= conv_std_logic_vector(261220,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13553902,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(194065764,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010001" => inv <= conv_std_logic_vector(261218,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13619670,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(212554867,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010010" => inv <= conv_std_logic_vector(261216,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13685439,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97779777,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010011" => inv <= conv_std_logic_vector(261214,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13751208,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118177423,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010100" => inv <= conv_std_logic_vector(261212,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13816978,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5314840,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010101" => inv <= conv_std_logic_vector(261210,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13882748,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(27629467,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010110" => inv <= conv_std_logic_vector(261208,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13948518,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(185123287,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010111" => inv <= conv_std_logic_vector(261206,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14014289,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209363335,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011000" => inv <= conv_std_logic_vector(261204,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14080061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100351083,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011001" => inv <= conv_std_logic_vector(261202,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14145833,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(126524479,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011010" => inv <= conv_std_logic_vector(261200,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14211606,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19449539,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011011" => inv <= conv_std_logic_vector(261198,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14277379,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47564719,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011100" => inv <= conv_std_logic_vector(261196,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14343152,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210871490,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011101" => inv <= conv_std_logic_vector(261194,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14408926,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(240936379,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011110" => inv <= conv_std_logic_vector(261192,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14474701,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137761877,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011111" => inv <= conv_std_logic_vector(261190,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14540476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(169784908,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100000" => inv <= conv_std_logic_vector(261188,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14606252,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68573020,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100001" => inv <= conv_std_logic_vector(261186,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14672028,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(102562628,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100010" => inv <= conv_std_logic_vector(261184,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100011" => inv <= conv_std_logic_vector(261182,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14803582,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39285891,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100100" => inv <= conv_std_logic_vector(261180,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14869359,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210458963,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100101" => inv <= conv_std_logic_vector(261178,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14935137,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(248407016,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100110" => inv <= conv_std_logic_vector(261176,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15000916,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153132030,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100111" => inv <= conv_std_logic_vector(261174,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15066695,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(193071440,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101000" => inv <= conv_std_logic_vector(261172,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15132475,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(99791770,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101001" => inv <= conv_std_logic_vector(261170,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15198255,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(141730965,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101010" => inv <= conv_std_logic_vector(261168,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15264036,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50455039,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101011" => inv <= conv_std_logic_vector(261166,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15329817,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94401937,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101100" => inv <= conv_std_logic_vector(261164,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15395599,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5138692,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101101" => inv <= conv_std_logic_vector(261162,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15461381,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(51101718,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101110" => inv <= conv_std_logic_vector(261160,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15527163,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232294014,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101111" => inv <= conv_std_logic_vector(261158,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15592947,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(11846647,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110000" => inv <= conv_std_logic_vector(261156,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15658730,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195067454,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110001" => inv <= conv_std_logic_vector(261154,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15724514,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(245088520,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110010" => inv <= conv_std_logic_vector(261152,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15790299,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(161910803,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110011" => inv <= conv_std_logic_vector(261150,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15856084,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213972758,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110100" => inv <= conv_std_logic_vector(261148,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15921870,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132840397,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110101" => inv <= conv_std_logic_vector(261146,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15987656,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186951663,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110110" => inv <= conv_std_logic_vector(261144,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16053443,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(107873077,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110111" => inv <= conv_std_logic_vector(261142,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16119230,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(164042073,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111000" => inv <= conv_std_logic_vector(261140,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16185018,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87025173,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111001" => inv <= conv_std_logic_vector(261138,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16250806,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145260319,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111010" => inv <= conv_std_logic_vector(261136,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16316595,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70314033,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111011" => inv <= conv_std_logic_vector(261134,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16382384,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(130623237,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111100" => inv <= conv_std_logic_vector(261132,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16448174,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57754962,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111101" => inv <= conv_std_logic_vector(261130,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16513964,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120146640,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111110" => inv <= conv_std_logic_vector(261128,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16579755,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(49365303,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111111" => inv <= conv_std_logic_vector(261126,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16645546,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113847871,28); logexp <= conv_std_logic_vector(1014,11); WHEN others => inv <= conv_std_logic_vector(0,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28); logexp <= conv_std_logic_vector(0,11); END CASE; END PROCESS; END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** DP_LNLUT18.VHD *** --*** *** --*** Function: Look Up Table - LN() *** --*** *** --*** Generated by MATLAB Utility *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_lnlut18 IS PORT ( add : IN STD_LOGIC_VECTOR (9 DOWNTO 1); inv : OUT STD_LOGIC_VECTOR (18 DOWNTO 1); logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1) ); END dp_lnlut18; ARCHITECTURE rtl OF dp_lnlut18 IS BEGIN pca: PROCESS (add) BEGIN CASE add IS WHEN "000000000" => inv <= conv_std_logic_vector(131072,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28); logexp <= conv_std_logic_vector(0,11); WHEN "000000001" => inv <= conv_std_logic_vector(262143,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(31,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(268391765,28); logexp <= conv_std_logic_vector(1005,11); WHEN "000000010" => inv <= conv_std_logic_vector(262141,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8388752,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(327672,28); logexp <= conv_std_logic_vector(1006,11); WHEN "000000011" => inv <= conv_std_logic_vector(262139,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4194504,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(666254,28); logexp <= conv_std_logic_vector(1007,11); WHEN "000000100" => inv <= conv_std_logic_vector(262137,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12583304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1889508,28); logexp <= conv_std_logic_vector(1007,11); WHEN "000000101" => inv <= conv_std_logic_vector(262135,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2097476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1982311,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000000110" => inv <= conv_std_logic_vector(262133,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6291940,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3642366,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000000111" => inv <= conv_std_logic_vector(262131,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10486436,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5990414,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000001000" => inv <= conv_std_logic_vector(262129,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14680964,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9223005,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000001001" => inv <= conv_std_logic_vector(262127,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1049154,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(6702808,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001010" => inv <= conv_std_logic_vector(262125,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3146450,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9367390,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001011" => inv <= conv_std_logic_vector(262123,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5243762,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12637977,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001100" => inv <= conv_std_logic_vector(262121,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7341090,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(16612827,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001101" => inv <= conv_std_logic_vector(262119,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9438434,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21341043,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001110" => inv <= conv_std_logic_vector(262117,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11535794,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(26871724,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001111" => inv <= conv_std_logic_vector(262115,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13633170,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33303113,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000010000" => inv <= conv_std_logic_vector(262113,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15730562,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40684301,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000010001" => inv <= conv_std_logic_vector(262111,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(525377,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(24532187,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010010" => inv <= conv_std_logic_vector(262109,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1574089,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29270780,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010011" => inv <= conv_std_logic_vector(262107,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2622809,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34582468,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010100" => inv <= conv_std_logic_vector(262105,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3671537,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40499978,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010101" => inv <= conv_std_logic_vector(262103,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4720273,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47056037,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010110" => inv <= conv_std_logic_vector(262101,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5769017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54283367,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010111" => inv <= conv_std_logic_vector(262099,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6817769,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(62214689,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011000" => inv <= conv_std_logic_vector(262097,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7866529,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70882721,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011001" => inv <= conv_std_logic_vector(262095,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8915297,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80328369,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011010" => inv <= conv_std_logic_vector(262093,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9964073,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90567968,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011011" => inv <= conv_std_logic_vector(262091,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11012857,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(101650610,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011100" => inv <= conv_std_logic_vector(262089,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12061649,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113592623,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011101" => inv <= conv_std_logic_vector(262087,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13110449,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(126443095,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011110" => inv <= conv_std_logic_vector(262085,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14159257,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140226541,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011111" => inv <= conv_std_logic_vector(262083,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15208073,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(154975663,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000100000" => inv <= conv_std_logic_vector(262081,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16256897,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(170731353,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000100001" => inv <= conv_std_logic_vector(262079,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(264256,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227972692,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100010" => inv <= conv_std_logic_vector(262077,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(788676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236897961,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100011" => inv <= conv_std_logic_vector(262075,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1313100,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(246371462,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100100" => inv <= conv_std_logic_vector(262073,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1837528,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256409541,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100101" => inv <= conv_std_logic_vector(262071,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2361960,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(267028543,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100110" => inv <= conv_std_logic_vector(262069,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2886397,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9813450,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100111" => inv <= conv_std_logic_vector(262067,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3410837,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21647423,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101000" => inv <= conv_std_logic_vector(262065,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3935281,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34111345,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101001" => inv <= conv_std_logic_vector(262063,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4459729,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47221557,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101010" => inv <= conv_std_logic_vector(262061,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4984181,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60998494,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101011" => inv <= conv_std_logic_vector(262059,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5508637,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(75454397,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101100" => inv <= conv_std_logic_vector(262057,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6033097,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90605604,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101101" => inv <= conv_std_logic_vector(262055,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6557561,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106472545,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101110" => inv <= conv_std_logic_vector(262053,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7082029,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(123067460,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101111" => inv <= conv_std_logic_vector(262051,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7606501,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140410777,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110000" => inv <= conv_std_logic_vector(262049,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8130977,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(158510638,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110001" => inv <= conv_std_logic_vector(262047,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8655457,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(177395659,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110010" => inv <= conv_std_logic_vector(262045,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9179941,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197069887,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110011" => inv <= conv_std_logic_vector(262043,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9704429,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(217561932,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110100" => inv <= conv_std_logic_vector(262041,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10228921,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238875840,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110101" => inv <= conv_std_logic_vector(262039,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10753417,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(261036127,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110110" => inv <= conv_std_logic_vector(262037,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11277918,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(15623661,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110111" => inv <= conv_std_logic_vector(262035,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11802422,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39521584,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111000" => inv <= conv_std_logic_vector(262033,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12326930,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(64314859,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111001" => inv <= conv_std_logic_vector(262031,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12851442,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90015713,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111010" => inv <= conv_std_logic_vector(262029,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13375958,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(116644561,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111011" => inv <= conv_std_logic_vector(262027,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13900478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144213628,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111100" => inv <= conv_std_logic_vector(262025,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14425002,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(172743328,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111101" => inv <= conv_std_logic_vector(262023,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14949530,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(202249979,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111110" => inv <= conv_std_logic_vector(262021,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15474062,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232745802,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111111" => inv <= conv_std_logic_vector(262019,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15998598,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(264251207,28); logexp <= conv_std_logic_vector(1011,11); WHEN "001000000" => inv <= conv_std_logic_vector(262017,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16523139,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28347053,28); logexp <= conv_std_logic_vector(1011,11); WHEN "001000001" => inv <= conv_std_logic_vector(262015,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(135233,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(165175963,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000010" => inv <= conv_std_logic_vector(262013,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(397507,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(182491979,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000011" => inv <= conv_std_logic_vector(262011,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(659783,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(200343364,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000100" => inv <= conv_std_logic_vector(262009,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(922061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(218740319,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000101" => inv <= conv_std_logic_vector(262007,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1184341,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237688954,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000110" => inv <= conv_std_logic_vector(262005,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1446623,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257201513,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000111" => inv <= conv_std_logic_vector(262003,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1708908,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(8846602,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001000" => inv <= conv_std_logic_vector(262001,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1971194,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29507379,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001001" => inv <= conv_std_logic_vector(261999,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2233482,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50752445,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001010" => inv <= conv_std_logic_vector(261997,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2495772,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72592000,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001011" => inv <= conv_std_logic_vector(261995,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2758064,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(95036240,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001100" => inv <= conv_std_logic_vector(261993,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3020358,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118089223,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001101" => inv <= conv_std_logic_vector(261991,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3282654,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(141761145,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001110" => inv <= conv_std_logic_vector(261989,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3544952,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166062202,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001111" => inv <= conv_std_logic_vector(261987,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3807252,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(190996450,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010000" => inv <= conv_std_logic_vector(261985,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4069554,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216576129,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010001" => inv <= conv_std_logic_vector(261983,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4331858,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242805295,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010010" => inv <= conv_std_logic_vector(261981,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4594165,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1260730,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010011" => inv <= conv_std_logic_vector(261979,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4856473,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28821495,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010100" => inv <= conv_std_logic_vector(261977,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5118783,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57056185,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010101" => inv <= conv_std_logic_vector(261975,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5381095,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85977038,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010110" => inv <= conv_std_logic_vector(261973,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5643409,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(115590153,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010111" => inv <= conv_std_logic_vector(261971,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5905725,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145903675,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011000" => inv <= conv_std_logic_vector(261969,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6168043,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(176927792,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011001" => inv <= conv_std_logic_vector(261967,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6430363,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208668602,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011010" => inv <= conv_std_logic_vector(261965,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6692685,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(241134248,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011011" => inv <= conv_std_logic_vector(261963,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6955010,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5901507,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011100" => inv <= conv_std_logic_vector(261961,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7217336,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39843294,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011101" => inv <= conv_std_logic_vector(261959,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7479664,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(74536387,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011110" => inv <= conv_std_logic_vector(261957,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7741994,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(109988926,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011111" => inv <= conv_std_logic_vector(261955,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8004326,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146207004,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100000" => inv <= conv_std_logic_vector(261953,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8266660,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(183200806,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100001" => inv <= conv_std_logic_vector(261951,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8528996,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(220976425,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100010" => inv <= conv_std_logic_vector(261949,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8791334,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259546091,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100011" => inv <= conv_std_logic_vector(261947,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9053675,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(30478393,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100100" => inv <= conv_std_logic_vector(261945,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9316017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70652380,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100101" => inv <= conv_std_logic_vector(261943,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9578361,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111644825,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100110" => inv <= conv_std_logic_vector(261941,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9840707,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153461817,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100111" => inv <= conv_std_logic_vector(261939,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10103055,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(196109445,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101000" => inv <= conv_std_logic_vector(261937,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10365405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239597890,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101001" => inv <= conv_std_logic_vector(261935,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10627758,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(15499830,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101010" => inv <= conv_std_logic_vector(261933,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10890112,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60696356,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101011" => inv <= conv_std_logic_vector(261931,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11152468,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106756053,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101100" => inv <= conv_std_logic_vector(261929,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11414826,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153689099,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101101" => inv <= conv_std_logic_vector(261927,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11677186,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(201505673,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101110" => inv <= conv_std_logic_vector(261925,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11939548,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(250209813,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101111" => inv <= conv_std_logic_vector(261923,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12201913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31378287,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110000" => inv <= conv_std_logic_vector(261921,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12464279,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(81888089,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110001" => inv <= conv_std_logic_vector(261919,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12726647,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(133313941,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110010" => inv <= conv_std_logic_vector(261917,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12989017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(185659877,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110011" => inv <= conv_std_logic_vector(261915,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13251389,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238938120,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110100" => inv <= conv_std_logic_vector(261913,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13513764,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(24721341,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110101" => inv <= conv_std_logic_vector(261911,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13776140,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(79886532,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110110" => inv <= conv_std_logic_vector(261909,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14038518,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(136006365,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110111" => inv <= conv_std_logic_vector(261907,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14300898,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(193091012,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111000" => inv <= conv_std_logic_vector(261905,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14563280,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251146552,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111001" => inv <= conv_std_logic_vector(261903,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14825665,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(41747701,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111010" => inv <= conv_std_logic_vector(261901,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15088051,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(101773495,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111011" => inv <= conv_std_logic_vector(261899,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15350439,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162796601,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111100" => inv <= conv_std_logic_vector(261897,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15612829,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(224823097,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111101" => inv <= conv_std_logic_vector(261895,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15875222,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19427696,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111110" => inv <= conv_std_logic_vector(261893,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16137616,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83489431,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111111" => inv <= conv_std_logic_vector(261891,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16400012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(148578923,28); logexp <= conv_std_logic_vector(1012,11); WHEN "010000000" => inv <= conv_std_logic_vector(261889,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16662410,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(214708384,28); logexp <= conv_std_logic_vector(1012,11); WHEN "010000001" => inv <= conv_std_logic_vector(261887,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(73797,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140941945,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000010" => inv <= conv_std_logic_vector(261885,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(204998,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(175055756,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000011" => inv <= conv_std_logic_vector(261883,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(336200,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209701733,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000100" => inv <= conv_std_logic_vector(261881,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(467403,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(244882910,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000101" => inv <= conv_std_logic_vector(261879,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(598608,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12167891,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000110" => inv <= conv_std_logic_vector(261877,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(729813,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(48431647,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000111" => inv <= conv_std_logic_vector(261875,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(861019,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85243804,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001000" => inv <= conv_std_logic_vector(261873,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(992226,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(122607396,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001001" => inv <= conv_std_logic_vector(261871,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1123434,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160526482,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001010" => inv <= conv_std_logic_vector(261869,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1254643,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199006142,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001011" => inv <= conv_std_logic_vector(261867,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1385853,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238049411,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001100" => inv <= conv_std_logic_vector(261865,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1517065,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9224891,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001101" => inv <= conv_std_logic_vector(261863,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1648277,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(49409594,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001110" => inv <= conv_std_logic_vector(261861,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1779490,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90169054,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001111" => inv <= conv_std_logic_vector(261859,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1910704,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(131510396,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010000" => inv <= conv_std_logic_vector(261857,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2041919,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173435630,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010001" => inv <= conv_std_logic_vector(261855,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2173135,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(215949833,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010010" => inv <= conv_std_logic_vector(261853,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2304352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259057063,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010011" => inv <= conv_std_logic_vector(261851,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2435571,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34325917,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010100" => inv <= conv_std_logic_vector(261849,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2566790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78630340,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010101" => inv <= conv_std_logic_vector(261847,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2698010,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(123540976,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010110" => inv <= conv_std_logic_vector(261845,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2829231,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(169060858,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010111" => inv <= conv_std_logic_vector(261843,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2960453,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(215194037,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011000" => inv <= conv_std_logic_vector(261841,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3091676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(261943547,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011001" => inv <= conv_std_logic_vector(261839,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3222901,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40881052,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011010" => inv <= conv_std_logic_vector(261837,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3354126,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(88878449,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011011" => inv <= conv_std_logic_vector(261835,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3485352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137506381,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011100" => inv <= conv_std_logic_vector(261833,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3616579,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186767878,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011101" => inv <= conv_std_logic_vector(261831,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3747807,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236666992,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011110" => inv <= conv_std_logic_vector(261829,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3879037,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(18774364,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011111" => inv <= conv_std_logic_vector(261827,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4010267,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(69961890,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100000" => inv <= conv_std_logic_vector(261825,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4141498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(121800212,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100001" => inv <= conv_std_logic_vector(261823,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4272730,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174293379,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100010" => inv <= conv_std_logic_vector(261821,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4403963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227445444,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100011" => inv <= conv_std_logic_vector(261819,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4535198,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12823978,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100100" => inv <= conv_std_logic_vector(261817,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4666433,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(67305989,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100101" => inv <= conv_std_logic_vector(261815,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4797669,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(122458025,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100110" => inv <= conv_std_logic_vector(261813,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4928906,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(178285160,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100111" => inv <= conv_std_logic_vector(261811,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5060144,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234791442,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101000" => inv <= conv_std_logic_vector(261809,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5191384,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(23545465,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101001" => inv <= conv_std_logic_vector(261807,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5322624,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(81422190,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101010" => inv <= conv_std_logic_vector(261805,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5453865,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(139991231,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101011" => inv <= conv_std_logic_vector(261803,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5585107,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199255616,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101100" => inv <= conv_std_logic_vector(261801,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5716350,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259219392,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101101" => inv <= conv_std_logic_vector(261799,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5847595,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(51452173,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101110" => inv <= conv_std_logic_vector(261797,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5978840,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(112827896,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101111" => inv <= conv_std_logic_vector(261795,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6110086,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174915153,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110000" => inv <= conv_std_logic_vector(261793,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6241333,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237719013,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110001" => inv <= conv_std_logic_vector(261791,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6372582,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(32808067,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110010" => inv <= conv_std_logic_vector(261789,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6503831,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97057274,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110011" => inv <= conv_std_logic_vector(261787,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6635081,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162034200,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110100" => inv <= conv_std_logic_vector(261785,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6766332,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227743914,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110101" => inv <= conv_std_logic_vector(261783,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6897585,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(25755007,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110110" => inv <= conv_std_logic_vector(261781,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7028838,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(92942434,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110111" => inv <= conv_std_logic_vector(261779,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7160092,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160875808,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111000" => inv <= conv_std_logic_vector(261777,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7291347,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(229557128,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111001" => inv <= conv_std_logic_vector(261775,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7422604,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(30556006,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111010" => inv <= conv_std_logic_vector(261773,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7553861,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100748419,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111011" => inv <= conv_std_logic_vector(261771,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7685119,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(171701934,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111100" => inv <= conv_std_logic_vector(261769,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7816378,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243420594,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111101" => inv <= conv_std_logic_vector(261767,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7947639,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47474008,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111110" => inv <= conv_std_logic_vector(261765,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8078900,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120736110,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111111" => inv <= conv_std_logic_vector(261763,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8210162,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(194776508,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000000" => inv <= conv_std_logic_vector(261761,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8341426,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1163789,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000001" => inv <= conv_std_logic_vector(261759,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8472690,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(76771885,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000010" => inv <= conv_std_logic_vector(261757,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8603955,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153170403,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000011" => inv <= conv_std_logic_vector(261755,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8735221,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(230363387,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000100" => inv <= conv_std_logic_vector(261753,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8866489,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39919420,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000101" => inv <= conv_std_logic_vector(261751,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8997757,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118713456,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000110" => inv <= conv_std_logic_vector(261749,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9129026,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(198314080,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000111" => inv <= conv_std_logic_vector(261747,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9260297,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(10289876,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001000" => inv <= conv_std_logic_vector(261745,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9391568,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(91515797,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001001" => inv <= conv_std_logic_vector(261743,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9522840,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173561448,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001010" => inv <= conv_std_logic_vector(261741,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9654113,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256429848,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001011" => inv <= conv_std_logic_vector(261739,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9785388,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71690601,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001100" => inv <= conv_std_logic_vector(261737,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9916663,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(156217637,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001101" => inv <= conv_std_logic_vector(261735,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10047939,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(241580560,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001110" => inv <= conv_std_logic_vector(261733,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10179217,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(59346931,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001111" => inv <= conv_std_logic_vector(261731,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10310495,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146392723,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010000" => inv <= conv_std_logic_vector(261729,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10441774,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234286516,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010001" => inv <= conv_std_logic_vector(261727,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10573055,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54596894,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010010" => inv <= conv_std_logic_vector(261725,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10704336,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144198805,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010011" => inv <= conv_std_logic_vector(261723,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10835618,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234660830,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010100" => inv <= conv_std_logic_vector(261721,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10966902,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57551551,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010101" => inv <= conv_std_logic_vector(261719,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11098186,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(149745915,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010110" => inv <= conv_std_logic_vector(261717,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11229471,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242812504,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010111" => inv <= conv_std_logic_vector(261715,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11360758,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68320919,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011000" => inv <= conv_std_logic_vector(261713,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11492045,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(163146107,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011001" => inv <= conv_std_logic_vector(261711,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11623333,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258854605,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011010" => inv <= conv_std_logic_vector(261709,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11754623,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87018057,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011011" => inv <= conv_std_logic_vector(261707,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11885913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(184509366,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011100" => inv <= conv_std_logic_vector(261705,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12017205,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(14462677,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011101" => inv <= conv_std_logic_vector(261703,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12148497,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113752937,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011110" => inv <= conv_std_logic_vector(261701,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12279790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213947700,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011111" => inv <= conv_std_logic_vector(261699,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12411085,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46617589,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100000" => inv <= conv_std_logic_vector(261697,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12542380,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(148636528,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100001" => inv <= conv_std_logic_vector(261695,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12673676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251573093,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100010" => inv <= conv_std_logic_vector(261693,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12804974,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(86995861,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100011" => inv <= conv_std_logic_vector(261691,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12936272,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(191780799,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100100" => inv <= conv_std_logic_vector(261689,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13067572,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29060005,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100101" => inv <= conv_std_logic_vector(261687,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13198872,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135708424,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100110" => inv <= conv_std_logic_vector(261685,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13330173,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243295652,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100111" => inv <= conv_std_logic_vector(261683,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13461476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83390266,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101000" => inv <= conv_std_logic_vector(261681,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13592779,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(192867209,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101001" => inv <= conv_std_logic_vector(261679,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13724084,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34858577,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101010" => inv <= conv_std_logic_vector(261677,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13855389,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146240336,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101011" => inv <= conv_std_logic_vector(261675,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13986695,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258581058,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101100" => inv <= conv_std_logic_vector(261673,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14118003,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(103450342,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101101" => inv <= conv_std_logic_vector(261671,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14249311,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(217721083,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101110" => inv <= conv_std_logic_vector(261669,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14380621,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(64528444,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101111" => inv <= conv_std_logic_vector(261667,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14511931,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(180746345,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110000" => inv <= conv_std_logic_vector(261665,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14643243,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29508923,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110001" => inv <= conv_std_logic_vector(261663,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14774555,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(147690097,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110010" => inv <= conv_std_logic_vector(261661,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14905868,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(266859463,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110011" => inv <= conv_std_logic_vector(261659,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15037183,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118585591,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110100" => inv <= conv_std_logic_vector(261657,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15168498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239742400,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110101" => inv <= conv_std_logic_vector(261655,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15299815,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(93464027,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110110" => inv <= conv_std_logic_vector(261653,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15431132,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216625411,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110111" => inv <= conv_std_logic_vector(261651,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15562451,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72360690,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111000" => inv <= conv_std_logic_vector(261649,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15693770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197542758,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111001" => inv <= conv_std_logic_vector(261647,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15825091,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(55306773,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111010" => inv <= conv_std_logic_vector(261645,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15956412,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(182526652,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111011" => inv <= conv_std_logic_vector(261643,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16087735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42335507,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111100" => inv <= conv_std_logic_vector(261641,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16219058,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(171609300,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111101" => inv <= conv_std_logic_vector(261639,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16350383,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33481142,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111110" => inv <= conv_std_logic_vector(261637,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16481708,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(164824949,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111111" => inv <= conv_std_logic_vector(261635,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16613035,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28774856,28); logexp <= conv_std_logic_vector(1013,11); WHEN "100000000" => inv <= conv_std_logic_vector(261633,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16744362,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162205798,28); logexp <= conv_std_logic_vector(1013,11); WHEN "100000001" => inv <= conv_std_logic_vector(261632,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97880094,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000010" => inv <= conv_std_logic_vector(261630,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(82069,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232492642,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000011" => inv <= conv_std_logic_vector(261628,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(147734,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(233414771,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000100" => inv <= conv_std_logic_vector(261626,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(213400,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100649515,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000101" => inv <= conv_std_logic_vector(261624,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(279066,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(102634341,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000110" => inv <= conv_std_logic_vector(261622,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(344732,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239370238,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000111" => inv <= conv_std_logic_vector(261620,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(410399,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242424784,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001000" => inv <= conv_std_logic_vector(261618,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(476067,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111799989,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001001" => inv <= conv_std_logic_vector(261616,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(541735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(115933322,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001010" => inv <= conv_std_logic_vector(261614,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(607403,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254826281,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001011" => inv <= conv_std_logic_vector(261612,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(673072,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(260045933,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001100" => inv <= conv_std_logic_vector(261610,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(738742,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(131594288,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001101" => inv <= conv_std_logic_vector(261608,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(804412,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137909323,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001110" => inv <= conv_std_logic_vector(261606,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(870083,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(10556570,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001111" => inv <= conv_std_logic_vector(261604,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(935754,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17974519,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010000" => inv <= conv_std_logic_vector(261602,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1001425,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160164667,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010001" => inv <= conv_std_logic_vector(261600,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1067097,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168694080,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010010" => inv <= conv_std_logic_vector(261598,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1132770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(43564256,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010011" => inv <= conv_std_logic_vector(261596,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1198443,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(53213172,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010100" => inv <= conv_std_logic_vector(261594,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1264116,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197642837,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010101" => inv <= conv_std_logic_vector(261592,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1329790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208419294,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010110" => inv <= conv_std_logic_vector(261590,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1395465,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85545574,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010111" => inv <= conv_std_logic_vector(261588,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1461140,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97458630,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011000" => inv <= conv_std_logic_vector(261586,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1526815,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(244160472,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011001" => inv <= conv_std_logic_vector(261584,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1592491,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257217653,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011010" => inv <= conv_std_logic_vector(261582,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1658168,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(136632180,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011011" => inv <= conv_std_logic_vector(261580,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1723845,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(150842541,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011100" => inv <= conv_std_logic_vector(261578,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1789523,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31413754,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011101" => inv <= conv_std_logic_vector(261576,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1855201,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46784817,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011110" => inv <= conv_std_logic_vector(261574,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1920879,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(196956715,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011111" => inv <= conv_std_logic_vector(261572,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1986558,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213496513,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100000" => inv <= conv_std_logic_vector(261570,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2052238,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(96406727,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100001" => inv <= conv_std_logic_vector(261568,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2117918,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(114123801,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100010" => inv <= conv_std_logic_vector(261566,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2183598,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(266650763,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100011" => inv <= conv_std_logic_vector(261564,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2249280,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17118198,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100100" => inv <= conv_std_logic_vector(261562,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2314961,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(170834480,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100101" => inv <= conv_std_logic_vector(261560,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2380643,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(190931217,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100110" => inv <= conv_std_logic_vector(261558,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2446326,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(77410414,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100111" => inv <= conv_std_logic_vector(261556,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2512009,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(98709023,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101000" => inv <= conv_std_logic_vector(261554,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2577692,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254830073,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101001" => inv <= conv_std_logic_vector(261552,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2643377,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(8903637,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101010" => inv <= conv_std_logic_vector(261550,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2709061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166239111,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101011" => inv <= conv_std_logic_vector(261548,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2774746,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(189966567,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101100" => inv <= conv_std_logic_vector(261546,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2840432,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80089032,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101101" => inv <= conv_std_logic_vector(261544,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2906118,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(105043970,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101110" => inv <= conv_std_logic_vector(261542,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2971804,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(264832874,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101111" => inv <= conv_std_logic_vector(261540,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3037492,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(22586838,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110000" => inv <= conv_std_logic_vector(261538,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3103179,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(183615258,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110001" => inv <= conv_std_logic_vector(261536,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3168867,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(211048205,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110010" => inv <= conv_std_logic_vector(261534,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3234556,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(104888194,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110011" => inv <= conv_std_logic_vector(261532,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3300245,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(133573199,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110100" => inv <= conv_std_logic_vector(261530,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3365935,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28668746,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110101" => inv <= conv_std_logic_vector(261528,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3431625,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(58613318,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110110" => inv <= conv_std_logic_vector(261526,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3497315,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(223408408,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110111" => inv <= conv_std_logic_vector(261524,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3563006,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254621075,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111000" => inv <= conv_std_logic_vector(261522,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3628698,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152253325,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111001" => inv <= conv_std_logic_vector(261520,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3694390,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(184742106,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111010" => inv <= conv_std_logic_vector(261518,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3760083,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83654478,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111011" => inv <= conv_std_logic_vector(261516,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3825776,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(117428410,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111100" => inv <= conv_std_logic_vector(261514,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3891470,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17629430,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111101" => inv <= conv_std_logic_vector(261512,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3957164,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52695507,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111110" => inv <= conv_std_logic_vector(261510,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4022858,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(222629156,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111111" => inv <= conv_std_logic_vector(261508,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4088553,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258996925,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000000" => inv <= conv_std_logic_vector(261506,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4154249,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(161800305,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000001" => inv <= conv_std_logic_vector(261504,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4219945,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199477266,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000010" => inv <= conv_std_logic_vector(261502,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4285642,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(103593845,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000011" => inv <= conv_std_logic_vector(261500,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4351339,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(142588522,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000100" => inv <= conv_std_logic_vector(261498,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4417037,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(48026823,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000101" => inv <= conv_std_logic_vector(261496,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4482735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(88347226,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000110" => inv <= conv_std_logic_vector(261494,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4548433,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(263551224,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000111" => inv <= conv_std_logic_vector(261492,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4614133,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(36769906,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001000" => inv <= conv_std_logic_vector(261490,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4679832,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213312155,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001001" => inv <= conv_std_logic_vector(261488,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4745532,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256309059,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001010" => inv <= conv_std_logic_vector(261486,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4811233,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(165762621,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001011" => inv <= conv_std_logic_vector(261484,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4876934,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210109787,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001100" => inv <= conv_std_logic_vector(261482,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4942636,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120917613,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001101" => inv <= conv_std_logic_vector(261480,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5008338,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166624068,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001110" => inv <= conv_std_logic_vector(261478,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5074041,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78795186,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001111" => inv <= conv_std_logic_vector(261476,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5139744,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(125868425,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010000" => inv <= conv_std_logic_vector(261474,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5205448,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39410329,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010001" => inv <= conv_std_logic_vector(261472,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5271152,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87859376,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010010" => inv <= conv_std_logic_vector(261470,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5336857,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(2781090,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010011" => inv <= conv_std_logic_vector(261468,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5402562,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52613438,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010100" => inv <= conv_std_logic_vector(261466,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5468267,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237358419,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010101" => inv <= conv_std_logic_vector(261464,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5533974,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(20147124,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010110" => inv <= conv_std_logic_vector(261462,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5599680,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(206287919,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010111" => inv <= conv_std_logic_vector(261460,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5665387,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258912403,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011000" => inv <= conv_std_logic_vector(261458,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5731095,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(178022066,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011001" => inv <= conv_std_logic_vector(261456,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5796803,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232054873,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011010" => inv <= conv_std_logic_vector(261454,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5862512,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152577368,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011011" => inv <= conv_std_logic_vector(261452,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5928221,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208027007,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011100" => inv <= conv_std_logic_vector(261450,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5993931,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(129969822,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011101" => inv <= conv_std_logic_vector(261448,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6059641,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186844290,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011110" => inv <= conv_std_logic_vector(261446,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6125352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(110216442,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011111" => inv <= conv_std_logic_vector(261444,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6191063,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168523735,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100000" => inv <= conv_std_logic_vector(261442,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6256775,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(93333220,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100001" => inv <= conv_std_logic_vector(261440,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6322487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153082353,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100010" => inv <= conv_std_logic_vector(261438,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6388200,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(79337165,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100011" => inv <= conv_std_logic_vector(261436,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6453913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140535621,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100100" => inv <= conv_std_logic_vector(261434,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6519627,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68244774,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100101" => inv <= conv_std_logic_vector(261432,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6585341,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(130901057,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100110" => inv <= conv_std_logic_vector(261430,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6651056,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60072032,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100111" => inv <= conv_std_logic_vector(261428,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6716771,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(124194644,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101000" => inv <= conv_std_logic_vector(261426,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6782487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54835943,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101001" => inv <= conv_std_logic_vector(261424,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6848203,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120433383,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101010" => inv <= conv_std_logic_vector(261422,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6913920,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52552996,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101011" => inv <= conv_std_logic_vector(261421,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6946778,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(203440839,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101100" => inv <= conv_std_logic_vector(261419,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7012496,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(69566203,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101101" => inv <= conv_std_logic_vector(261417,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7078214,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70654699,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101110" => inv <= conv_std_logic_vector(261415,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7143932,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(206708322,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101111" => inv <= conv_std_logic_vector(261413,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7209651,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209294124,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110000" => inv <= conv_std_logic_vector(261411,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7275371,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78413591,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110001" => inv <= conv_std_logic_vector(261409,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7341091,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(82505197,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110010" => inv <= conv_std_logic_vector(261407,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7406811,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(221569406,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110011" => inv <= conv_std_logic_vector(261405,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7472532,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227174289,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110100" => inv <= conv_std_logic_vector(261403,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7538254,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(99321843,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110101" => inv <= conv_std_logic_vector(261401,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7603976,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106448498,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110110" => inv <= conv_std_logic_vector(261399,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7669698,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(248557271,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110111" => inv <= conv_std_logic_vector(261397,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7735421,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257214192,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111000" => inv <= conv_std_logic_vector(261395,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7801145,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132421765,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111001" => inv <= conv_std_logic_vector(261393,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7866869,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(142616931,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111010" => inv <= conv_std_logic_vector(261391,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7932594,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19367251,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111011" => inv <= conv_std_logic_vector(261389,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7998319,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31109665,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111100" => inv <= conv_std_logic_vector(261387,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8064044,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(177846168,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111101" => inv <= conv_std_logic_vector(261385,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8129770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(191143299,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111110" => inv <= conv_std_logic_vector(261383,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8195497,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71003562,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111111" => inv <= conv_std_logic_vector(261381,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8261224,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85863897,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000000" => inv <= conv_std_logic_vector(261379,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8326951,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(235726809,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000001" => inv <= conv_std_logic_vector(261377,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8392679,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(252159347,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000010" => inv <= conv_std_logic_vector(261375,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8458408,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135162483,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000011" => inv <= conv_std_logic_vector(261373,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8524137,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153174178,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000100" => inv <= conv_std_logic_vector(261371,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8589867,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(37761480,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000101" => inv <= conv_std_logic_vector(261369,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8655597,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57361328,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000110" => inv <= conv_std_logic_vector(261367,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8721327,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(211976226,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000111" => inv <= conv_std_logic_vector(261365,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8787058,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(233172711,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001000" => inv <= conv_std_logic_vector(261363,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8852790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120952266,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001001" => inv <= conv_std_logic_vector(261361,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8918522,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(143753360,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001010" => inv <= conv_std_logic_vector(261359,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8984255,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33142020,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001011" => inv <= conv_std_logic_vector(261357,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9049988,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57555694,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001100" => inv <= conv_std_logic_vector(261355,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9115721,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216996886,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001101" => inv <= conv_std_logic_vector(261353,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9181455,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243031622,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001110" => inv <= conv_std_logic_vector(261351,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9247190,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135662403,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001111" => inv <= conv_std_logic_vector(261349,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9312925,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(163326679,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010000" => inv <= conv_std_logic_vector(261347,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9378661,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57590984,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010001" => inv <= conv_std_logic_vector(261345,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9444397,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(86893278,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010010" => inv <= conv_std_logic_vector(261343,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9510133,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251234532,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010011" => inv <= conv_std_logic_vector(261341,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9575871,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(13747355,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010100" => inv <= conv_std_logic_vector(261339,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9641608,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(179738576,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010101" => inv <= conv_std_logic_vector(261337,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9707346,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(212340806,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010110" => inv <= conv_std_logic_vector(261335,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9773085,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111555525,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010111" => inv <= conv_std_logic_vector(261333,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9838824,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145820180,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011000" => inv <= conv_std_logic_vector(261331,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9904564,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46701306,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011001" => inv <= conv_std_logic_vector(261329,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9970304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(82636350,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011010" => inv <= conv_std_logic_vector(261327,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10036044,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(253627813,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011011" => inv <= conv_std_logic_vector(261325,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10101786,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(22806772,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011100" => inv <= conv_std_logic_vector(261323,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10167527,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195481587,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011101" => inv <= conv_std_logic_vector(261321,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10233269,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234782825,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011110" => inv <= conv_std_logic_vector(261319,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10299012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140713496,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011111" => inv <= conv_std_logic_vector(261317,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10364755,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(181710537,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100000" => inv <= conv_std_logic_vector(261315,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10430499,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(89340991,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100001" => inv <= conv_std_logic_vector(261313,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10496243,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132041793,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100010" => inv <= conv_std_logic_vector(261311,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10561988,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(41379987,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100011" => inv <= conv_std_logic_vector(261309,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10627733,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85793018,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100100" => inv <= conv_std_logic_vector(261307,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10693478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(265282876,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100101" => inv <= conv_std_logic_vector(261305,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10759225,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42980638,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100110" => inv <= conv_std_logic_vector(261303,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10824971,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(224195170,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100111" => inv <= conv_std_logic_vector(261301,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10890719,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3621583,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101000" => inv <= conv_std_logic_vector(261299,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10956466,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186568744,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101001" => inv <= conv_std_logic_vector(261297,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11022214,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236167729,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101010" => inv <= conv_std_logic_vector(261295,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11087963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152420527,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101011" => inv <= conv_std_logic_vector(261293,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11153712,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(203764581,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101100" => inv <= conv_std_logic_vector(261291,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11219462,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(121766424,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101101" => inv <= conv_std_logic_vector(261289,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11285212,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174864010,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101110" => inv <= conv_std_logic_vector(261287,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11350963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94623359,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101111" => inv <= conv_std_logic_vector(261285,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11416714,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(149482427,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110000" => inv <= conv_std_logic_vector(261283,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11482466,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71008255,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110001" => inv <= conv_std_logic_vector(261281,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11548218,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(127637265,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110010" => inv <= conv_std_logic_vector(261279,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11613971,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50936498,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110011" => inv <= conv_std_logic_vector(261277,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11679724,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(109344419,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110100" => inv <= conv_std_logic_vector(261275,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11745478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34426028,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110101" => inv <= conv_std_logic_vector(261273,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11811232,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94620297,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110110" => inv <= conv_std_logic_vector(261271,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11876987,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21492737,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110111" => inv <= conv_std_logic_vector(261269,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11942742,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83481301,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111000" => inv <= conv_std_logic_vector(261267,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12008498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12153029,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111001" => inv <= conv_std_logic_vector(261265,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12074254,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(75944853,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111010" => inv <= conv_std_logic_vector(261263,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12140011,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(6423814,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111011" => inv <= conv_std_logic_vector(261261,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12205768,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72026842,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111100" => inv <= conv_std_logic_vector(261259,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12271526,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(4320978,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111101" => inv <= conv_std_logic_vector(261258,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12304405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21141383,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111110" => inv <= conv_std_logic_vector(261256,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12370163,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(156129342,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111111" => inv <= conv_std_logic_vector(261254,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12435922,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(157813374,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000000" => inv <= conv_std_logic_vector(261252,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12501682,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(26195972,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000001" => inv <= conv_std_logic_vector(261250,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12567442,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29714068,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000010" => inv <= conv_std_logic_vector(261248,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12633202,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168370156,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000011" => inv <= conv_std_logic_vector(261246,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12698963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173730767,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000100" => inv <= conv_std_logic_vector(261244,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12764725,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(45797884,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000101" => inv <= conv_std_logic_vector(261242,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12830487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(53009458,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000110" => inv <= conv_std_logic_vector(261240,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12896249,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195366963,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000111" => inv <= conv_std_logic_vector(261238,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12962012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(204436928,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001000" => inv <= conv_std_logic_vector(261236,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13027776,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80222356,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001001" => inv <= conv_std_logic_vector(261234,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13093540,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(91159668,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001010" => inv <= conv_std_logic_vector(261232,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13159304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237251358,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001011" => inv <= conv_std_logic_vector(261230,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13225069,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(250064462,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001100" => inv <= conv_std_logic_vector(261228,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13290835,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(129600456,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001101" => inv <= conv_std_logic_vector(261226,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13356601,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144297287,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001110" => inv <= conv_std_logic_vector(261224,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13422368,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(25721484,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001111" => inv <= conv_std_logic_vector(261222,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13488135,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42309975,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010000" => inv <= conv_std_logic_vector(261220,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13553902,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(194065764,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010001" => inv <= conv_std_logic_vector(261218,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13619670,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(212554867,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010010" => inv <= conv_std_logic_vector(261216,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13685439,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97779777,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010011" => inv <= conv_std_logic_vector(261214,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13751208,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118177423,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010100" => inv <= conv_std_logic_vector(261212,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13816978,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5314840,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010101" => inv <= conv_std_logic_vector(261210,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13882748,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(27629467,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010110" => inv <= conv_std_logic_vector(261208,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13948518,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(185123287,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010111" => inv <= conv_std_logic_vector(261206,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14014289,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209363335,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011000" => inv <= conv_std_logic_vector(261204,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14080061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100351083,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011001" => inv <= conv_std_logic_vector(261202,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14145833,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(126524479,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011010" => inv <= conv_std_logic_vector(261200,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14211606,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19449539,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011011" => inv <= conv_std_logic_vector(261198,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14277379,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47564719,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011100" => inv <= conv_std_logic_vector(261196,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14343152,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210871490,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011101" => inv <= conv_std_logic_vector(261194,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14408926,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(240936379,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011110" => inv <= conv_std_logic_vector(261192,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14474701,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137761877,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011111" => inv <= conv_std_logic_vector(261190,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14540476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(169784908,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100000" => inv <= conv_std_logic_vector(261188,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14606252,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68573020,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100001" => inv <= conv_std_logic_vector(261186,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14672028,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(102562628,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100010" => inv <= conv_std_logic_vector(261184,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100011" => inv <= conv_std_logic_vector(261182,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14803582,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39285891,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100100" => inv <= conv_std_logic_vector(261180,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14869359,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210458963,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100101" => inv <= conv_std_logic_vector(261178,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14935137,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(248407016,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100110" => inv <= conv_std_logic_vector(261176,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15000916,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153132030,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100111" => inv <= conv_std_logic_vector(261174,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15066695,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(193071440,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101000" => inv <= conv_std_logic_vector(261172,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15132475,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(99791770,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101001" => inv <= conv_std_logic_vector(261170,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15198255,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(141730965,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101010" => inv <= conv_std_logic_vector(261168,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15264036,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50455039,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101011" => inv <= conv_std_logic_vector(261166,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15329817,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94401937,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101100" => inv <= conv_std_logic_vector(261164,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15395599,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5138692,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101101" => inv <= conv_std_logic_vector(261162,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15461381,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(51101718,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101110" => inv <= conv_std_logic_vector(261160,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15527163,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232294014,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101111" => inv <= conv_std_logic_vector(261158,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15592947,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(11846647,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110000" => inv <= conv_std_logic_vector(261156,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15658730,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195067454,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110001" => inv <= conv_std_logic_vector(261154,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15724514,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(245088520,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110010" => inv <= conv_std_logic_vector(261152,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15790299,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(161910803,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110011" => inv <= conv_std_logic_vector(261150,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15856084,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213972758,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110100" => inv <= conv_std_logic_vector(261148,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15921870,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132840397,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110101" => inv <= conv_std_logic_vector(261146,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15987656,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186951663,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110110" => inv <= conv_std_logic_vector(261144,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16053443,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(107873077,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110111" => inv <= conv_std_logic_vector(261142,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16119230,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(164042073,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111000" => inv <= conv_std_logic_vector(261140,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16185018,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87025173,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111001" => inv <= conv_std_logic_vector(261138,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16250806,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145260319,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111010" => inv <= conv_std_logic_vector(261136,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16316595,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70314033,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111011" => inv <= conv_std_logic_vector(261134,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16382384,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(130623237,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111100" => inv <= conv_std_logic_vector(261132,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16448174,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57754962,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111101" => inv <= conv_std_logic_vector(261130,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16513964,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120146640,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111110" => inv <= conv_std_logic_vector(261128,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16579755,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(49365303,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111111" => inv <= conv_std_logic_vector(261126,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16645546,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113847871,28); logexp <= conv_std_logic_vector(1014,11); WHEN others => inv <= conv_std_logic_vector(0,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28); logexp <= conv_std_logic_vector(0,11); END CASE; END PROCESS; END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** DP_LNLUT18.VHD *** --*** *** --*** Function: Look Up Table - LN() *** --*** *** --*** Generated by MATLAB Utility *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_lnlut18 IS PORT ( add : IN STD_LOGIC_VECTOR (9 DOWNTO 1); inv : OUT STD_LOGIC_VECTOR (18 DOWNTO 1); logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1) ); END dp_lnlut18; ARCHITECTURE rtl OF dp_lnlut18 IS BEGIN pca: PROCESS (add) BEGIN CASE add IS WHEN "000000000" => inv <= conv_std_logic_vector(131072,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28); logexp <= conv_std_logic_vector(0,11); WHEN "000000001" => inv <= conv_std_logic_vector(262143,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(31,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(268391765,28); logexp <= conv_std_logic_vector(1005,11); WHEN "000000010" => inv <= conv_std_logic_vector(262141,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8388752,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(327672,28); logexp <= conv_std_logic_vector(1006,11); WHEN "000000011" => inv <= conv_std_logic_vector(262139,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4194504,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(666254,28); logexp <= conv_std_logic_vector(1007,11); WHEN "000000100" => inv <= conv_std_logic_vector(262137,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12583304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1889508,28); logexp <= conv_std_logic_vector(1007,11); WHEN "000000101" => inv <= conv_std_logic_vector(262135,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2097476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1982311,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000000110" => inv <= conv_std_logic_vector(262133,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6291940,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3642366,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000000111" => inv <= conv_std_logic_vector(262131,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10486436,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5990414,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000001000" => inv <= conv_std_logic_vector(262129,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14680964,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9223005,28); logexp <= conv_std_logic_vector(1008,11); WHEN "000001001" => inv <= conv_std_logic_vector(262127,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1049154,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(6702808,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001010" => inv <= conv_std_logic_vector(262125,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3146450,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9367390,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001011" => inv <= conv_std_logic_vector(262123,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5243762,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12637977,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001100" => inv <= conv_std_logic_vector(262121,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7341090,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(16612827,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001101" => inv <= conv_std_logic_vector(262119,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9438434,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21341043,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001110" => inv <= conv_std_logic_vector(262117,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11535794,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(26871724,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000001111" => inv <= conv_std_logic_vector(262115,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13633170,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33303113,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000010000" => inv <= conv_std_logic_vector(262113,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15730562,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40684301,28); logexp <= conv_std_logic_vector(1009,11); WHEN "000010001" => inv <= conv_std_logic_vector(262111,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(525377,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(24532187,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010010" => inv <= conv_std_logic_vector(262109,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1574089,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29270780,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010011" => inv <= conv_std_logic_vector(262107,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2622809,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34582468,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010100" => inv <= conv_std_logic_vector(262105,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3671537,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40499978,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010101" => inv <= conv_std_logic_vector(262103,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4720273,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47056037,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010110" => inv <= conv_std_logic_vector(262101,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5769017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54283367,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000010111" => inv <= conv_std_logic_vector(262099,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6817769,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(62214689,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011000" => inv <= conv_std_logic_vector(262097,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7866529,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70882721,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011001" => inv <= conv_std_logic_vector(262095,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8915297,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80328369,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011010" => inv <= conv_std_logic_vector(262093,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9964073,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90567968,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011011" => inv <= conv_std_logic_vector(262091,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11012857,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(101650610,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011100" => inv <= conv_std_logic_vector(262089,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12061649,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113592623,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011101" => inv <= conv_std_logic_vector(262087,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13110449,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(126443095,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011110" => inv <= conv_std_logic_vector(262085,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14159257,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140226541,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000011111" => inv <= conv_std_logic_vector(262083,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15208073,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(154975663,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000100000" => inv <= conv_std_logic_vector(262081,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16256897,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(170731353,28); logexp <= conv_std_logic_vector(1010,11); WHEN "000100001" => inv <= conv_std_logic_vector(262079,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(264256,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227972692,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100010" => inv <= conv_std_logic_vector(262077,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(788676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236897961,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100011" => inv <= conv_std_logic_vector(262075,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1313100,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(246371462,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100100" => inv <= conv_std_logic_vector(262073,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1837528,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256409541,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100101" => inv <= conv_std_logic_vector(262071,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2361960,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(267028543,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100110" => inv <= conv_std_logic_vector(262069,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2886397,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9813450,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000100111" => inv <= conv_std_logic_vector(262067,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3410837,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21647423,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101000" => inv <= conv_std_logic_vector(262065,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3935281,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34111345,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101001" => inv <= conv_std_logic_vector(262063,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4459729,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47221557,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101010" => inv <= conv_std_logic_vector(262061,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4984181,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60998494,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101011" => inv <= conv_std_logic_vector(262059,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5508637,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(75454397,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101100" => inv <= conv_std_logic_vector(262057,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6033097,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90605604,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101101" => inv <= conv_std_logic_vector(262055,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6557561,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106472545,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101110" => inv <= conv_std_logic_vector(262053,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7082029,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(123067460,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000101111" => inv <= conv_std_logic_vector(262051,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7606501,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140410777,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110000" => inv <= conv_std_logic_vector(262049,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8130977,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(158510638,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110001" => inv <= conv_std_logic_vector(262047,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8655457,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(177395659,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110010" => inv <= conv_std_logic_vector(262045,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9179941,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197069887,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110011" => inv <= conv_std_logic_vector(262043,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9704429,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(217561932,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110100" => inv <= conv_std_logic_vector(262041,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10228921,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238875840,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110101" => inv <= conv_std_logic_vector(262039,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10753417,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(261036127,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110110" => inv <= conv_std_logic_vector(262037,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11277918,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(15623661,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000110111" => inv <= conv_std_logic_vector(262035,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11802422,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39521584,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111000" => inv <= conv_std_logic_vector(262033,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12326930,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(64314859,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111001" => inv <= conv_std_logic_vector(262031,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12851442,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90015713,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111010" => inv <= conv_std_logic_vector(262029,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13375958,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(116644561,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111011" => inv <= conv_std_logic_vector(262027,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13900478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144213628,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111100" => inv <= conv_std_logic_vector(262025,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14425002,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(172743328,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111101" => inv <= conv_std_logic_vector(262023,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14949530,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(202249979,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111110" => inv <= conv_std_logic_vector(262021,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15474062,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232745802,28); logexp <= conv_std_logic_vector(1011,11); WHEN "000111111" => inv <= conv_std_logic_vector(262019,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15998598,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(264251207,28); logexp <= conv_std_logic_vector(1011,11); WHEN "001000000" => inv <= conv_std_logic_vector(262017,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16523139,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28347053,28); logexp <= conv_std_logic_vector(1011,11); WHEN "001000001" => inv <= conv_std_logic_vector(262015,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(135233,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(165175963,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000010" => inv <= conv_std_logic_vector(262013,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(397507,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(182491979,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000011" => inv <= conv_std_logic_vector(262011,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(659783,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(200343364,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000100" => inv <= conv_std_logic_vector(262009,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(922061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(218740319,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000101" => inv <= conv_std_logic_vector(262007,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1184341,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237688954,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000110" => inv <= conv_std_logic_vector(262005,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1446623,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257201513,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001000111" => inv <= conv_std_logic_vector(262003,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1708908,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(8846602,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001000" => inv <= conv_std_logic_vector(262001,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1971194,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29507379,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001001" => inv <= conv_std_logic_vector(261999,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2233482,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50752445,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001010" => inv <= conv_std_logic_vector(261997,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2495772,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72592000,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001011" => inv <= conv_std_logic_vector(261995,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2758064,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(95036240,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001100" => inv <= conv_std_logic_vector(261993,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3020358,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118089223,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001101" => inv <= conv_std_logic_vector(261991,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3282654,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(141761145,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001110" => inv <= conv_std_logic_vector(261989,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3544952,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166062202,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001001111" => inv <= conv_std_logic_vector(261987,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3807252,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(190996450,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010000" => inv <= conv_std_logic_vector(261985,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4069554,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216576129,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010001" => inv <= conv_std_logic_vector(261983,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4331858,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242805295,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010010" => inv <= conv_std_logic_vector(261981,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4594165,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1260730,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010011" => inv <= conv_std_logic_vector(261979,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4856473,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28821495,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010100" => inv <= conv_std_logic_vector(261977,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5118783,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57056185,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010101" => inv <= conv_std_logic_vector(261975,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5381095,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85977038,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010110" => inv <= conv_std_logic_vector(261973,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5643409,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(115590153,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001010111" => inv <= conv_std_logic_vector(261971,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5905725,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145903675,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011000" => inv <= conv_std_logic_vector(261969,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6168043,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(176927792,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011001" => inv <= conv_std_logic_vector(261967,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6430363,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208668602,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011010" => inv <= conv_std_logic_vector(261965,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6692685,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(241134248,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011011" => inv <= conv_std_logic_vector(261963,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6955010,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5901507,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011100" => inv <= conv_std_logic_vector(261961,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7217336,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39843294,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011101" => inv <= conv_std_logic_vector(261959,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7479664,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(74536387,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011110" => inv <= conv_std_logic_vector(261957,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7741994,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(109988926,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001011111" => inv <= conv_std_logic_vector(261955,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8004326,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146207004,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100000" => inv <= conv_std_logic_vector(261953,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8266660,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(183200806,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100001" => inv <= conv_std_logic_vector(261951,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8528996,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(220976425,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100010" => inv <= conv_std_logic_vector(261949,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8791334,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259546091,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100011" => inv <= conv_std_logic_vector(261947,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9053675,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(30478393,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100100" => inv <= conv_std_logic_vector(261945,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9316017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70652380,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100101" => inv <= conv_std_logic_vector(261943,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9578361,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111644825,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100110" => inv <= conv_std_logic_vector(261941,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9840707,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153461817,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001100111" => inv <= conv_std_logic_vector(261939,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10103055,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(196109445,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101000" => inv <= conv_std_logic_vector(261937,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10365405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239597890,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101001" => inv <= conv_std_logic_vector(261935,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10627758,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(15499830,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101010" => inv <= conv_std_logic_vector(261933,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10890112,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60696356,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101011" => inv <= conv_std_logic_vector(261931,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11152468,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106756053,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101100" => inv <= conv_std_logic_vector(261929,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11414826,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153689099,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101101" => inv <= conv_std_logic_vector(261927,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11677186,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(201505673,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101110" => inv <= conv_std_logic_vector(261925,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11939548,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(250209813,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001101111" => inv <= conv_std_logic_vector(261923,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12201913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31378287,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110000" => inv <= conv_std_logic_vector(261921,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12464279,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(81888089,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110001" => inv <= conv_std_logic_vector(261919,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12726647,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(133313941,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110010" => inv <= conv_std_logic_vector(261917,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12989017,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(185659877,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110011" => inv <= conv_std_logic_vector(261915,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13251389,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238938120,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110100" => inv <= conv_std_logic_vector(261913,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13513764,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(24721341,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110101" => inv <= conv_std_logic_vector(261911,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13776140,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(79886532,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110110" => inv <= conv_std_logic_vector(261909,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14038518,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(136006365,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001110111" => inv <= conv_std_logic_vector(261907,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14300898,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(193091012,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111000" => inv <= conv_std_logic_vector(261905,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14563280,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251146552,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111001" => inv <= conv_std_logic_vector(261903,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14825665,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(41747701,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111010" => inv <= conv_std_logic_vector(261901,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15088051,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(101773495,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111011" => inv <= conv_std_logic_vector(261899,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15350439,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162796601,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111100" => inv <= conv_std_logic_vector(261897,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15612829,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(224823097,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111101" => inv <= conv_std_logic_vector(261895,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15875222,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19427696,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111110" => inv <= conv_std_logic_vector(261893,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16137616,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83489431,28); logexp <= conv_std_logic_vector(1012,11); WHEN "001111111" => inv <= conv_std_logic_vector(261891,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16400012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(148578923,28); logexp <= conv_std_logic_vector(1012,11); WHEN "010000000" => inv <= conv_std_logic_vector(261889,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16662410,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(214708384,28); logexp <= conv_std_logic_vector(1012,11); WHEN "010000001" => inv <= conv_std_logic_vector(261887,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(73797,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140941945,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000010" => inv <= conv_std_logic_vector(261885,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(204998,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(175055756,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000011" => inv <= conv_std_logic_vector(261883,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(336200,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209701733,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000100" => inv <= conv_std_logic_vector(261881,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(467403,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(244882910,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000101" => inv <= conv_std_logic_vector(261879,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(598608,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12167891,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000110" => inv <= conv_std_logic_vector(261877,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(729813,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(48431647,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010000111" => inv <= conv_std_logic_vector(261875,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(861019,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85243804,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001000" => inv <= conv_std_logic_vector(261873,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(992226,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(122607396,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001001" => inv <= conv_std_logic_vector(261871,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1123434,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160526482,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001010" => inv <= conv_std_logic_vector(261869,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1254643,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199006142,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001011" => inv <= conv_std_logic_vector(261867,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1385853,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(238049411,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001100" => inv <= conv_std_logic_vector(261865,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1517065,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(9224891,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001101" => inv <= conv_std_logic_vector(261863,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1648277,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(49409594,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001110" => inv <= conv_std_logic_vector(261861,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1779490,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(90169054,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010001111" => inv <= conv_std_logic_vector(261859,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1910704,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(131510396,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010000" => inv <= conv_std_logic_vector(261857,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2041919,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173435630,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010001" => inv <= conv_std_logic_vector(261855,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2173135,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(215949833,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010010" => inv <= conv_std_logic_vector(261853,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2304352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259057063,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010011" => inv <= conv_std_logic_vector(261851,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2435571,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34325917,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010100" => inv <= conv_std_logic_vector(261849,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2566790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78630340,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010101" => inv <= conv_std_logic_vector(261847,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2698010,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(123540976,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010110" => inv <= conv_std_logic_vector(261845,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2829231,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(169060858,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010010111" => inv <= conv_std_logic_vector(261843,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2960453,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(215194037,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011000" => inv <= conv_std_logic_vector(261841,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3091676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(261943547,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011001" => inv <= conv_std_logic_vector(261839,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3222901,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(40881052,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011010" => inv <= conv_std_logic_vector(261837,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3354126,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(88878449,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011011" => inv <= conv_std_logic_vector(261835,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3485352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137506381,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011100" => inv <= conv_std_logic_vector(261833,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3616579,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186767878,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011101" => inv <= conv_std_logic_vector(261831,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3747807,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236666992,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011110" => inv <= conv_std_logic_vector(261829,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3879037,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(18774364,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010011111" => inv <= conv_std_logic_vector(261827,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4010267,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(69961890,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100000" => inv <= conv_std_logic_vector(261825,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4141498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(121800212,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100001" => inv <= conv_std_logic_vector(261823,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4272730,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174293379,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100010" => inv <= conv_std_logic_vector(261821,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4403963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227445444,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100011" => inv <= conv_std_logic_vector(261819,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4535198,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12823978,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100100" => inv <= conv_std_logic_vector(261817,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4666433,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(67305989,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100101" => inv <= conv_std_logic_vector(261815,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4797669,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(122458025,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100110" => inv <= conv_std_logic_vector(261813,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4928906,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(178285160,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010100111" => inv <= conv_std_logic_vector(261811,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5060144,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234791442,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101000" => inv <= conv_std_logic_vector(261809,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5191384,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(23545465,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101001" => inv <= conv_std_logic_vector(261807,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5322624,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(81422190,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101010" => inv <= conv_std_logic_vector(261805,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5453865,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(139991231,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101011" => inv <= conv_std_logic_vector(261803,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5585107,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199255616,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101100" => inv <= conv_std_logic_vector(261801,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5716350,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(259219392,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101101" => inv <= conv_std_logic_vector(261799,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5847595,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(51452173,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101110" => inv <= conv_std_logic_vector(261797,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5978840,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(112827896,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010101111" => inv <= conv_std_logic_vector(261795,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6110086,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174915153,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110000" => inv <= conv_std_logic_vector(261793,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6241333,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237719013,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110001" => inv <= conv_std_logic_vector(261791,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6372582,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(32808067,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110010" => inv <= conv_std_logic_vector(261789,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6503831,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97057274,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110011" => inv <= conv_std_logic_vector(261787,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6635081,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162034200,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110100" => inv <= conv_std_logic_vector(261785,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6766332,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227743914,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110101" => inv <= conv_std_logic_vector(261783,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6897585,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(25755007,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110110" => inv <= conv_std_logic_vector(261781,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7028838,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(92942434,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010110111" => inv <= conv_std_logic_vector(261779,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7160092,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160875808,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111000" => inv <= conv_std_logic_vector(261777,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7291347,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(229557128,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111001" => inv <= conv_std_logic_vector(261775,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7422604,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(30556006,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111010" => inv <= conv_std_logic_vector(261773,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7553861,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100748419,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111011" => inv <= conv_std_logic_vector(261771,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7685119,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(171701934,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111100" => inv <= conv_std_logic_vector(261769,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7816378,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243420594,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111101" => inv <= conv_std_logic_vector(261767,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7947639,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47474008,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111110" => inv <= conv_std_logic_vector(261765,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8078900,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120736110,28); logexp <= conv_std_logic_vector(1013,11); WHEN "010111111" => inv <= conv_std_logic_vector(261763,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8210162,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(194776508,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000000" => inv <= conv_std_logic_vector(261761,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8341426,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(1163789,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000001" => inv <= conv_std_logic_vector(261759,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8472690,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(76771885,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000010" => inv <= conv_std_logic_vector(261757,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8603955,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153170403,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000011" => inv <= conv_std_logic_vector(261755,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8735221,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(230363387,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000100" => inv <= conv_std_logic_vector(261753,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8866489,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39919420,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000101" => inv <= conv_std_logic_vector(261751,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8997757,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118713456,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000110" => inv <= conv_std_logic_vector(261749,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9129026,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(198314080,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011000111" => inv <= conv_std_logic_vector(261747,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9260297,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(10289876,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001000" => inv <= conv_std_logic_vector(261745,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9391568,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(91515797,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001001" => inv <= conv_std_logic_vector(261743,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9522840,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173561448,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001010" => inv <= conv_std_logic_vector(261741,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9654113,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256429848,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001011" => inv <= conv_std_logic_vector(261739,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9785388,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71690601,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001100" => inv <= conv_std_logic_vector(261737,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9916663,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(156217637,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001101" => inv <= conv_std_logic_vector(261735,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10047939,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(241580560,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001110" => inv <= conv_std_logic_vector(261733,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10179217,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(59346931,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011001111" => inv <= conv_std_logic_vector(261731,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10310495,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146392723,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010000" => inv <= conv_std_logic_vector(261729,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10441774,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234286516,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010001" => inv <= conv_std_logic_vector(261727,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10573055,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54596894,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010010" => inv <= conv_std_logic_vector(261725,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10704336,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144198805,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010011" => inv <= conv_std_logic_vector(261723,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10835618,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234660830,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010100" => inv <= conv_std_logic_vector(261721,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10966902,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57551551,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010101" => inv <= conv_std_logic_vector(261719,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11098186,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(149745915,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010110" => inv <= conv_std_logic_vector(261717,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11229471,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242812504,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011010111" => inv <= conv_std_logic_vector(261715,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11360758,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68320919,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011000" => inv <= conv_std_logic_vector(261713,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11492045,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(163146107,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011001" => inv <= conv_std_logic_vector(261711,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11623333,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258854605,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011010" => inv <= conv_std_logic_vector(261709,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11754623,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87018057,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011011" => inv <= conv_std_logic_vector(261707,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11885913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(184509366,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011100" => inv <= conv_std_logic_vector(261705,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12017205,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(14462677,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011101" => inv <= conv_std_logic_vector(261703,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12148497,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113752937,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011110" => inv <= conv_std_logic_vector(261701,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12279790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213947700,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011011111" => inv <= conv_std_logic_vector(261699,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12411085,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46617589,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100000" => inv <= conv_std_logic_vector(261697,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12542380,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(148636528,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100001" => inv <= conv_std_logic_vector(261695,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12673676,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251573093,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100010" => inv <= conv_std_logic_vector(261693,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12804974,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(86995861,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100011" => inv <= conv_std_logic_vector(261691,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12936272,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(191780799,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100100" => inv <= conv_std_logic_vector(261689,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13067572,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29060005,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100101" => inv <= conv_std_logic_vector(261687,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13198872,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135708424,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100110" => inv <= conv_std_logic_vector(261685,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13330173,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243295652,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011100111" => inv <= conv_std_logic_vector(261683,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13461476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83390266,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101000" => inv <= conv_std_logic_vector(261681,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13592779,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(192867209,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101001" => inv <= conv_std_logic_vector(261679,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13724084,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34858577,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101010" => inv <= conv_std_logic_vector(261677,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13855389,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(146240336,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101011" => inv <= conv_std_logic_vector(261675,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13986695,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258581058,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101100" => inv <= conv_std_logic_vector(261673,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14118003,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(103450342,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101101" => inv <= conv_std_logic_vector(261671,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14249311,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(217721083,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101110" => inv <= conv_std_logic_vector(261669,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14380621,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(64528444,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011101111" => inv <= conv_std_logic_vector(261667,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14511931,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(180746345,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110000" => inv <= conv_std_logic_vector(261665,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14643243,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29508923,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110001" => inv <= conv_std_logic_vector(261663,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14774555,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(147690097,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110010" => inv <= conv_std_logic_vector(261661,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14905868,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(266859463,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110011" => inv <= conv_std_logic_vector(261659,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15037183,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118585591,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110100" => inv <= conv_std_logic_vector(261657,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15168498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239742400,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110101" => inv <= conv_std_logic_vector(261655,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15299815,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(93464027,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110110" => inv <= conv_std_logic_vector(261653,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15431132,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216625411,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011110111" => inv <= conv_std_logic_vector(261651,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15562451,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72360690,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111000" => inv <= conv_std_logic_vector(261649,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15693770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197542758,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111001" => inv <= conv_std_logic_vector(261647,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15825091,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(55306773,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111010" => inv <= conv_std_logic_vector(261645,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15956412,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(182526652,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111011" => inv <= conv_std_logic_vector(261643,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16087735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42335507,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111100" => inv <= conv_std_logic_vector(261641,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16219058,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(171609300,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111101" => inv <= conv_std_logic_vector(261639,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16350383,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33481142,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111110" => inv <= conv_std_logic_vector(261637,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16481708,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(164824949,28); logexp <= conv_std_logic_vector(1013,11); WHEN "011111111" => inv <= conv_std_logic_vector(261635,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16613035,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28774856,28); logexp <= conv_std_logic_vector(1013,11); WHEN "100000000" => inv <= conv_std_logic_vector(261633,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16744362,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(162205798,28); logexp <= conv_std_logic_vector(1013,11); WHEN "100000001" => inv <= conv_std_logic_vector(261632,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97880094,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000010" => inv <= conv_std_logic_vector(261630,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(82069,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232492642,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000011" => inv <= conv_std_logic_vector(261628,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(147734,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(233414771,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000100" => inv <= conv_std_logic_vector(261626,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(213400,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100649515,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000101" => inv <= conv_std_logic_vector(261624,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(279066,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(102634341,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000110" => inv <= conv_std_logic_vector(261622,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(344732,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(239370238,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100000111" => inv <= conv_std_logic_vector(261620,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(410399,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(242424784,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001000" => inv <= conv_std_logic_vector(261618,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(476067,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111799989,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001001" => inv <= conv_std_logic_vector(261616,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(541735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(115933322,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001010" => inv <= conv_std_logic_vector(261614,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(607403,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254826281,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001011" => inv <= conv_std_logic_vector(261612,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(673072,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(260045933,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001100" => inv <= conv_std_logic_vector(261610,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(738742,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(131594288,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001101" => inv <= conv_std_logic_vector(261608,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(804412,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137909323,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001110" => inv <= conv_std_logic_vector(261606,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(870083,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(10556570,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100001111" => inv <= conv_std_logic_vector(261604,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(935754,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17974519,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010000" => inv <= conv_std_logic_vector(261602,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1001425,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(160164667,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010001" => inv <= conv_std_logic_vector(261600,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1067097,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168694080,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010010" => inv <= conv_std_logic_vector(261598,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1132770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(43564256,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010011" => inv <= conv_std_logic_vector(261596,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1198443,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(53213172,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010100" => inv <= conv_std_logic_vector(261594,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1264116,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(197642837,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010101" => inv <= conv_std_logic_vector(261592,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1329790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208419294,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010110" => inv <= conv_std_logic_vector(261590,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1395465,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85545574,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100010111" => inv <= conv_std_logic_vector(261588,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1461140,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97458630,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011000" => inv <= conv_std_logic_vector(261586,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1526815,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(244160472,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011001" => inv <= conv_std_logic_vector(261584,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1592491,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257217653,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011010" => inv <= conv_std_logic_vector(261582,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1658168,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(136632180,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011011" => inv <= conv_std_logic_vector(261580,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1723845,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(150842541,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011100" => inv <= conv_std_logic_vector(261578,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1789523,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31413754,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011101" => inv <= conv_std_logic_vector(261576,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1855201,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46784817,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011110" => inv <= conv_std_logic_vector(261574,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1920879,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(196956715,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100011111" => inv <= conv_std_logic_vector(261572,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(1986558,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213496513,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100000" => inv <= conv_std_logic_vector(261570,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2052238,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(96406727,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100001" => inv <= conv_std_logic_vector(261568,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2117918,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(114123801,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100010" => inv <= conv_std_logic_vector(261566,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2183598,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(266650763,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100011" => inv <= conv_std_logic_vector(261564,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2249280,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17118198,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100100" => inv <= conv_std_logic_vector(261562,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2314961,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(170834480,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100101" => inv <= conv_std_logic_vector(261560,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2380643,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(190931217,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100110" => inv <= conv_std_logic_vector(261558,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2446326,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(77410414,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100100111" => inv <= conv_std_logic_vector(261556,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2512009,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(98709023,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101000" => inv <= conv_std_logic_vector(261554,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2577692,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254830073,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101001" => inv <= conv_std_logic_vector(261552,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2643377,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(8903637,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101010" => inv <= conv_std_logic_vector(261550,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2709061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166239111,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101011" => inv <= conv_std_logic_vector(261548,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2774746,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(189966567,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101100" => inv <= conv_std_logic_vector(261546,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2840432,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80089032,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101101" => inv <= conv_std_logic_vector(261544,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2906118,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(105043970,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101110" => inv <= conv_std_logic_vector(261542,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(2971804,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(264832874,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100101111" => inv <= conv_std_logic_vector(261540,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3037492,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(22586838,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110000" => inv <= conv_std_logic_vector(261538,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3103179,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(183615258,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110001" => inv <= conv_std_logic_vector(261536,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3168867,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(211048205,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110010" => inv <= conv_std_logic_vector(261534,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3234556,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(104888194,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110011" => inv <= conv_std_logic_vector(261532,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3300245,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(133573199,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110100" => inv <= conv_std_logic_vector(261530,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3365935,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(28668746,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110101" => inv <= conv_std_logic_vector(261528,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3431625,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(58613318,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110110" => inv <= conv_std_logic_vector(261526,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3497315,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(223408408,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100110111" => inv <= conv_std_logic_vector(261524,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3563006,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(254621075,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111000" => inv <= conv_std_logic_vector(261522,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3628698,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152253325,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111001" => inv <= conv_std_logic_vector(261520,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3694390,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(184742106,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111010" => inv <= conv_std_logic_vector(261518,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3760083,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83654478,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111011" => inv <= conv_std_logic_vector(261516,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3825776,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(117428410,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111100" => inv <= conv_std_logic_vector(261514,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3891470,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(17629430,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111101" => inv <= conv_std_logic_vector(261512,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(3957164,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52695507,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111110" => inv <= conv_std_logic_vector(261510,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4022858,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(222629156,28); logexp <= conv_std_logic_vector(1014,11); WHEN "100111111" => inv <= conv_std_logic_vector(261508,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4088553,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258996925,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000000" => inv <= conv_std_logic_vector(261506,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4154249,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(161800305,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000001" => inv <= conv_std_logic_vector(261504,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4219945,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(199477266,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000010" => inv <= conv_std_logic_vector(261502,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4285642,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(103593845,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000011" => inv <= conv_std_logic_vector(261500,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4351339,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(142588522,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000100" => inv <= conv_std_logic_vector(261498,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4417037,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(48026823,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000101" => inv <= conv_std_logic_vector(261496,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4482735,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(88347226,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000110" => inv <= conv_std_logic_vector(261494,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4548433,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(263551224,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101000111" => inv <= conv_std_logic_vector(261492,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4614133,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(36769906,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001000" => inv <= conv_std_logic_vector(261490,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4679832,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213312155,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001001" => inv <= conv_std_logic_vector(261488,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4745532,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(256309059,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001010" => inv <= conv_std_logic_vector(261486,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4811233,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(165762621,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001011" => inv <= conv_std_logic_vector(261484,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4876934,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210109787,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001100" => inv <= conv_std_logic_vector(261482,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(4942636,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120917613,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001101" => inv <= conv_std_logic_vector(261480,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5008338,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(166624068,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001110" => inv <= conv_std_logic_vector(261478,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5074041,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78795186,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101001111" => inv <= conv_std_logic_vector(261476,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5139744,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(125868425,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010000" => inv <= conv_std_logic_vector(261474,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5205448,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39410329,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010001" => inv <= conv_std_logic_vector(261472,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5271152,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87859376,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010010" => inv <= conv_std_logic_vector(261470,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5336857,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(2781090,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010011" => inv <= conv_std_logic_vector(261468,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5402562,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52613438,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010100" => inv <= conv_std_logic_vector(261466,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5468267,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237358419,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010101" => inv <= conv_std_logic_vector(261464,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5533974,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(20147124,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010110" => inv <= conv_std_logic_vector(261462,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5599680,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(206287919,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101010111" => inv <= conv_std_logic_vector(261460,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5665387,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(258912403,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011000" => inv <= conv_std_logic_vector(261458,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5731095,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(178022066,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011001" => inv <= conv_std_logic_vector(261456,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5796803,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232054873,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011010" => inv <= conv_std_logic_vector(261454,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5862512,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152577368,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011011" => inv <= conv_std_logic_vector(261452,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5928221,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(208027007,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011100" => inv <= conv_std_logic_vector(261450,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(5993931,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(129969822,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011101" => inv <= conv_std_logic_vector(261448,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6059641,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186844290,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011110" => inv <= conv_std_logic_vector(261446,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6125352,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(110216442,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101011111" => inv <= conv_std_logic_vector(261444,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6191063,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168523735,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100000" => inv <= conv_std_logic_vector(261442,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6256775,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(93333220,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100001" => inv <= conv_std_logic_vector(261440,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6322487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153082353,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100010" => inv <= conv_std_logic_vector(261438,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6388200,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(79337165,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100011" => inv <= conv_std_logic_vector(261436,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6453913,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140535621,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100100" => inv <= conv_std_logic_vector(261434,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6519627,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68244774,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100101" => inv <= conv_std_logic_vector(261432,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6585341,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(130901057,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100110" => inv <= conv_std_logic_vector(261430,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6651056,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(60072032,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101100111" => inv <= conv_std_logic_vector(261428,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6716771,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(124194644,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101000" => inv <= conv_std_logic_vector(261426,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6782487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(54835943,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101001" => inv <= conv_std_logic_vector(261424,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6848203,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120433383,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101010" => inv <= conv_std_logic_vector(261422,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6913920,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(52552996,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101011" => inv <= conv_std_logic_vector(261421,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(6946778,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(203440839,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101100" => inv <= conv_std_logic_vector(261419,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7012496,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(69566203,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101101" => inv <= conv_std_logic_vector(261417,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7078214,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70654699,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101110" => inv <= conv_std_logic_vector(261415,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7143932,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(206708322,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101101111" => inv <= conv_std_logic_vector(261413,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7209651,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209294124,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110000" => inv <= conv_std_logic_vector(261411,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7275371,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(78413591,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110001" => inv <= conv_std_logic_vector(261409,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7341091,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(82505197,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110010" => inv <= conv_std_logic_vector(261407,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7406811,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(221569406,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110011" => inv <= conv_std_logic_vector(261405,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7472532,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(227174289,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110100" => inv <= conv_std_logic_vector(261403,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7538254,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(99321843,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110101" => inv <= conv_std_logic_vector(261401,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7603976,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(106448498,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110110" => inv <= conv_std_logic_vector(261399,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7669698,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(248557271,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101110111" => inv <= conv_std_logic_vector(261397,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7735421,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(257214192,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111000" => inv <= conv_std_logic_vector(261395,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7801145,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132421765,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111001" => inv <= conv_std_logic_vector(261393,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7866869,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(142616931,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111010" => inv <= conv_std_logic_vector(261391,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7932594,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19367251,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111011" => inv <= conv_std_logic_vector(261389,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(7998319,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(31109665,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111100" => inv <= conv_std_logic_vector(261387,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8064044,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(177846168,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111101" => inv <= conv_std_logic_vector(261385,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8129770,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(191143299,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111110" => inv <= conv_std_logic_vector(261383,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8195497,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71003562,28); logexp <= conv_std_logic_vector(1014,11); WHEN "101111111" => inv <= conv_std_logic_vector(261381,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8261224,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85863897,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000000" => inv <= conv_std_logic_vector(261379,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8326951,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(235726809,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000001" => inv <= conv_std_logic_vector(261377,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8392679,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(252159347,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000010" => inv <= conv_std_logic_vector(261375,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8458408,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135162483,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000011" => inv <= conv_std_logic_vector(261373,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8524137,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153174178,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000100" => inv <= conv_std_logic_vector(261371,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8589867,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(37761480,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000101" => inv <= conv_std_logic_vector(261369,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8655597,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57361328,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000110" => inv <= conv_std_logic_vector(261367,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8721327,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(211976226,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110000111" => inv <= conv_std_logic_vector(261365,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8787058,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(233172711,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001000" => inv <= conv_std_logic_vector(261363,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8852790,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120952266,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001001" => inv <= conv_std_logic_vector(261361,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8918522,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(143753360,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001010" => inv <= conv_std_logic_vector(261359,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(8984255,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(33142020,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001011" => inv <= conv_std_logic_vector(261357,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9049988,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57555694,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001100" => inv <= conv_std_logic_vector(261355,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9115721,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(216996886,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001101" => inv <= conv_std_logic_vector(261353,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9181455,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(243031622,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001110" => inv <= conv_std_logic_vector(261351,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9247190,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(135662403,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110001111" => inv <= conv_std_logic_vector(261349,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9312925,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(163326679,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010000" => inv <= conv_std_logic_vector(261347,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9378661,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57590984,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010001" => inv <= conv_std_logic_vector(261345,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9444397,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(86893278,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010010" => inv <= conv_std_logic_vector(261343,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9510133,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(251234532,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010011" => inv <= conv_std_logic_vector(261341,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9575871,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(13747355,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010100" => inv <= conv_std_logic_vector(261339,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9641608,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(179738576,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010101" => inv <= conv_std_logic_vector(261337,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9707346,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(212340806,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010110" => inv <= conv_std_logic_vector(261335,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9773085,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(111555525,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110010111" => inv <= conv_std_logic_vector(261333,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9838824,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145820180,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011000" => inv <= conv_std_logic_vector(261331,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9904564,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(46701306,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011001" => inv <= conv_std_logic_vector(261329,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(9970304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(82636350,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011010" => inv <= conv_std_logic_vector(261327,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10036044,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(253627813,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011011" => inv <= conv_std_logic_vector(261325,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10101786,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(22806772,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011100" => inv <= conv_std_logic_vector(261323,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10167527,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195481587,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011101" => inv <= conv_std_logic_vector(261321,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10233269,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(234782825,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011110" => inv <= conv_std_logic_vector(261319,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10299012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(140713496,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110011111" => inv <= conv_std_logic_vector(261317,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10364755,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(181710537,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100000" => inv <= conv_std_logic_vector(261315,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10430499,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(89340991,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100001" => inv <= conv_std_logic_vector(261313,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10496243,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132041793,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100010" => inv <= conv_std_logic_vector(261311,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10561988,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(41379987,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100011" => inv <= conv_std_logic_vector(261309,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10627733,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(85793018,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100100" => inv <= conv_std_logic_vector(261307,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10693478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(265282876,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100101" => inv <= conv_std_logic_vector(261305,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10759225,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42980638,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100110" => inv <= conv_std_logic_vector(261303,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10824971,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(224195170,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110100111" => inv <= conv_std_logic_vector(261301,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10890719,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3621583,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101000" => inv <= conv_std_logic_vector(261299,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(10956466,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186568744,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101001" => inv <= conv_std_logic_vector(261297,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11022214,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(236167729,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101010" => inv <= conv_std_logic_vector(261295,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11087963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(152420527,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101011" => inv <= conv_std_logic_vector(261293,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11153712,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(203764581,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101100" => inv <= conv_std_logic_vector(261291,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11219462,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(121766424,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101101" => inv <= conv_std_logic_vector(261289,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11285212,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(174864010,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101110" => inv <= conv_std_logic_vector(261287,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11350963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94623359,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110101111" => inv <= conv_std_logic_vector(261285,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11416714,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(149482427,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110000" => inv <= conv_std_logic_vector(261283,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11482466,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(71008255,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110001" => inv <= conv_std_logic_vector(261281,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11548218,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(127637265,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110010" => inv <= conv_std_logic_vector(261279,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11613971,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50936498,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110011" => inv <= conv_std_logic_vector(261277,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11679724,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(109344419,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110100" => inv <= conv_std_logic_vector(261275,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11745478,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(34426028,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110101" => inv <= conv_std_logic_vector(261273,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11811232,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94620297,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110110" => inv <= conv_std_logic_vector(261271,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11876987,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21492737,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110110111" => inv <= conv_std_logic_vector(261269,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(11942742,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(83481301,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111000" => inv <= conv_std_logic_vector(261267,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12008498,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(12153029,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111001" => inv <= conv_std_logic_vector(261265,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12074254,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(75944853,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111010" => inv <= conv_std_logic_vector(261263,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12140011,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(6423814,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111011" => inv <= conv_std_logic_vector(261261,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12205768,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(72026842,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111100" => inv <= conv_std_logic_vector(261259,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12271526,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(4320978,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111101" => inv <= conv_std_logic_vector(261258,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12304405,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(21141383,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111110" => inv <= conv_std_logic_vector(261256,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12370163,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(156129342,28); logexp <= conv_std_logic_vector(1014,11); WHEN "110111111" => inv <= conv_std_logic_vector(261254,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12435922,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(157813374,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000000" => inv <= conv_std_logic_vector(261252,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12501682,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(26195972,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000001" => inv <= conv_std_logic_vector(261250,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12567442,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(29714068,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000010" => inv <= conv_std_logic_vector(261248,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12633202,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(168370156,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000011" => inv <= conv_std_logic_vector(261246,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12698963,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(173730767,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000100" => inv <= conv_std_logic_vector(261244,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12764725,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(45797884,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000101" => inv <= conv_std_logic_vector(261242,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12830487,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(53009458,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000110" => inv <= conv_std_logic_vector(261240,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12896249,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195366963,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111000111" => inv <= conv_std_logic_vector(261238,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(12962012,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(204436928,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001000" => inv <= conv_std_logic_vector(261236,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13027776,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(80222356,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001001" => inv <= conv_std_logic_vector(261234,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13093540,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(91159668,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001010" => inv <= conv_std_logic_vector(261232,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13159304,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(237251358,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001011" => inv <= conv_std_logic_vector(261230,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13225069,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(250064462,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001100" => inv <= conv_std_logic_vector(261228,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13290835,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(129600456,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001101" => inv <= conv_std_logic_vector(261226,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13356601,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(144297287,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001110" => inv <= conv_std_logic_vector(261224,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13422368,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(25721484,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111001111" => inv <= conv_std_logic_vector(261222,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13488135,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(42309975,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010000" => inv <= conv_std_logic_vector(261220,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13553902,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(194065764,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010001" => inv <= conv_std_logic_vector(261218,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13619670,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(212554867,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010010" => inv <= conv_std_logic_vector(261216,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13685439,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(97779777,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010011" => inv <= conv_std_logic_vector(261214,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13751208,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(118177423,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010100" => inv <= conv_std_logic_vector(261212,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13816978,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5314840,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010101" => inv <= conv_std_logic_vector(261210,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13882748,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(27629467,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010110" => inv <= conv_std_logic_vector(261208,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(13948518,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(185123287,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111010111" => inv <= conv_std_logic_vector(261206,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14014289,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(209363335,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011000" => inv <= conv_std_logic_vector(261204,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14080061,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(100351083,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011001" => inv <= conv_std_logic_vector(261202,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14145833,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(126524479,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011010" => inv <= conv_std_logic_vector(261200,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14211606,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(19449539,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011011" => inv <= conv_std_logic_vector(261198,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14277379,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(47564719,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011100" => inv <= conv_std_logic_vector(261196,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14343152,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210871490,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011101" => inv <= conv_std_logic_vector(261194,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14408926,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(240936379,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011110" => inv <= conv_std_logic_vector(261192,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14474701,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(137761877,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111011111" => inv <= conv_std_logic_vector(261190,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14540476,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(169784908,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100000" => inv <= conv_std_logic_vector(261188,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14606252,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(68573020,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100001" => inv <= conv_std_logic_vector(261186,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14672028,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(102562628,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100010" => inv <= conv_std_logic_vector(261184,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100011" => inv <= conv_std_logic_vector(261182,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14803582,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(39285891,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100100" => inv <= conv_std_logic_vector(261180,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14869359,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(210458963,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100101" => inv <= conv_std_logic_vector(261178,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(14935137,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(248407016,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100110" => inv <= conv_std_logic_vector(261176,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15000916,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(153132030,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111100111" => inv <= conv_std_logic_vector(261174,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15066695,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(193071440,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101000" => inv <= conv_std_logic_vector(261172,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15132475,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(99791770,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101001" => inv <= conv_std_logic_vector(261170,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15198255,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(141730965,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101010" => inv <= conv_std_logic_vector(261168,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15264036,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(50455039,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101011" => inv <= conv_std_logic_vector(261166,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15329817,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(94401937,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101100" => inv <= conv_std_logic_vector(261164,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15395599,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(5138692,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101101" => inv <= conv_std_logic_vector(261162,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15461381,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(51101718,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101110" => inv <= conv_std_logic_vector(261160,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15527163,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(232294014,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111101111" => inv <= conv_std_logic_vector(261158,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15592947,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(11846647,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110000" => inv <= conv_std_logic_vector(261156,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15658730,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(195067454,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110001" => inv <= conv_std_logic_vector(261154,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15724514,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(245088520,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110010" => inv <= conv_std_logic_vector(261152,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15790299,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(161910803,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110011" => inv <= conv_std_logic_vector(261150,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15856084,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(213972758,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110100" => inv <= conv_std_logic_vector(261148,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15921870,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(132840397,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110101" => inv <= conv_std_logic_vector(261146,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(15987656,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(186951663,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110110" => inv <= conv_std_logic_vector(261144,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16053443,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(107873077,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111110111" => inv <= conv_std_logic_vector(261142,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16119230,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(164042073,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111000" => inv <= conv_std_logic_vector(261140,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16185018,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(87025173,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111001" => inv <= conv_std_logic_vector(261138,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16250806,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(145260319,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111010" => inv <= conv_std_logic_vector(261136,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16316595,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(70314033,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111011" => inv <= conv_std_logic_vector(261134,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16382384,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(130623237,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111100" => inv <= conv_std_logic_vector(261132,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16448174,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(57754962,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111101" => inv <= conv_std_logic_vector(261130,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16513964,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(120146640,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111110" => inv <= conv_std_logic_vector(261128,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16579755,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(49365303,28); logexp <= conv_std_logic_vector(1014,11); WHEN "111111111" => inv <= conv_std_logic_vector(261126,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(16645546,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(113847871,28); logexp <= conv_std_logic_vector(1014,11); WHEN others => inv <= conv_std_logic_vector(0,18); logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24); logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28); logexp <= conv_std_logic_vector(0,11); END CASE; END PROCESS; END rtl;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.1 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity tri_intersect_data_array_ram is generic( mem_type : string := "block"; dwidth : integer := 576; awidth : integer := 5; mem_size : integer := 20 ); port ( addr0 : in std_logic_vector(awidth-1 downto 0); ce0 : in std_logic; d0 : in std_logic_vector(dwidth-1 downto 0); we0 : in std_logic; q0 : out std_logic_vector(dwidth-1 downto 0); addr1 : in std_logic_vector(awidth-1 downto 0); ce1 : in std_logic; d1 : in std_logic_vector(dwidth-1 downto 0); we1 : in std_logic; q1 : out std_logic_vector(dwidth-1 downto 0); clk : in std_logic ); end entity; architecture rtl of tri_intersect_data_array_ram is signal addr0_tmp : std_logic_vector(awidth-1 downto 0); signal addr1_tmp : std_logic_vector(awidth-1 downto 0); type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0); shared variable ram : mem_array; attribute syn_ramstyle : string; attribute syn_ramstyle of ram : variable is "block_ram"; attribute ram_style : string; attribute ram_style of ram : variable is mem_type; attribute EQUIVALENT_REGISTER_REMOVAL : string; begin memory_access_guard_0: process (addr0) begin addr0_tmp <= addr0; --synthesis translate_off if (CONV_INTEGER(addr0) > mem_size-1) then addr0_tmp <= (others => '0'); else addr0_tmp <= addr0; end if; --synthesis translate_on end process; p_memory_access_0: process (clk) begin if (clk'event and clk = '1') then if (ce0 = '1') then if (we0 = '1') then ram(CONV_INTEGER(addr0_tmp)) := d0; end if; q0 <= ram(CONV_INTEGER(addr0_tmp)); end if; end if; end process; memory_access_guard_1: process (addr1) begin addr1_tmp <= addr1; --synthesis translate_off if (CONV_INTEGER(addr1) > mem_size-1) then addr1_tmp <= (others => '0'); else addr1_tmp <= addr1; end if; --synthesis translate_on end process; p_memory_access_1: process (clk) begin if (clk'event and clk = '1') then if (ce1 = '1') then if (we1 = '1') then ram(CONV_INTEGER(addr1_tmp)) := d1; end if; q1 <= ram(CONV_INTEGER(addr1_tmp)); end if; end if; end process; end rtl; Library IEEE; use IEEE.std_logic_1164.all; entity tri_intersect_data_array is generic ( DataWidth : INTEGER := 576; AddressRange : INTEGER := 20; AddressWidth : INTEGER := 5); port ( reset : IN STD_LOGIC; clk : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); address1 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce1 : IN STD_LOGIC; we1 : IN STD_LOGIC; d1 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); q1 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0)); end entity; architecture arch of tri_intersect_data_array is component tri_intersect_data_array_ram is port ( clk : IN STD_LOGIC; addr0 : IN STD_LOGIC_VECTOR; ce0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR; we0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR; addr1 : IN STD_LOGIC_VECTOR; ce1 : IN STD_LOGIC; d1 : IN STD_LOGIC_VECTOR; we1 : IN STD_LOGIC; q1 : OUT STD_LOGIC_VECTOR); end component; begin tri_intersect_data_array_ram_U : component tri_intersect_data_array_ram port map ( clk => clk, addr0 => address0, ce0 => ce0, d0 => d0, we0 => we0, q0 => q0, addr1 => address1, ce1 => ce1, d1 => d1, we1 => we1, q1 => q1); end architecture;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.1 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity tri_intersect_data_array_ram is generic( mem_type : string := "block"; dwidth : integer := 576; awidth : integer := 5; mem_size : integer := 20 ); port ( addr0 : in std_logic_vector(awidth-1 downto 0); ce0 : in std_logic; d0 : in std_logic_vector(dwidth-1 downto 0); we0 : in std_logic; q0 : out std_logic_vector(dwidth-1 downto 0); addr1 : in std_logic_vector(awidth-1 downto 0); ce1 : in std_logic; d1 : in std_logic_vector(dwidth-1 downto 0); we1 : in std_logic; q1 : out std_logic_vector(dwidth-1 downto 0); clk : in std_logic ); end entity; architecture rtl of tri_intersect_data_array_ram is signal addr0_tmp : std_logic_vector(awidth-1 downto 0); signal addr1_tmp : std_logic_vector(awidth-1 downto 0); type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0); shared variable ram : mem_array; attribute syn_ramstyle : string; attribute syn_ramstyle of ram : variable is "block_ram"; attribute ram_style : string; attribute ram_style of ram : variable is mem_type; attribute EQUIVALENT_REGISTER_REMOVAL : string; begin memory_access_guard_0: process (addr0) begin addr0_tmp <= addr0; --synthesis translate_off if (CONV_INTEGER(addr0) > mem_size-1) then addr0_tmp <= (others => '0'); else addr0_tmp <= addr0; end if; --synthesis translate_on end process; p_memory_access_0: process (clk) begin if (clk'event and clk = '1') then if (ce0 = '1') then if (we0 = '1') then ram(CONV_INTEGER(addr0_tmp)) := d0; end if; q0 <= ram(CONV_INTEGER(addr0_tmp)); end if; end if; end process; memory_access_guard_1: process (addr1) begin addr1_tmp <= addr1; --synthesis translate_off if (CONV_INTEGER(addr1) > mem_size-1) then addr1_tmp <= (others => '0'); else addr1_tmp <= addr1; end if; --synthesis translate_on end process; p_memory_access_1: process (clk) begin if (clk'event and clk = '1') then if (ce1 = '1') then if (we1 = '1') then ram(CONV_INTEGER(addr1_tmp)) := d1; end if; q1 <= ram(CONV_INTEGER(addr1_tmp)); end if; end if; end process; end rtl; Library IEEE; use IEEE.std_logic_1164.all; entity tri_intersect_data_array is generic ( DataWidth : INTEGER := 576; AddressRange : INTEGER := 20; AddressWidth : INTEGER := 5); port ( reset : IN STD_LOGIC; clk : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); address1 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce1 : IN STD_LOGIC; we1 : IN STD_LOGIC; d1 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); q1 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0)); end entity; architecture arch of tri_intersect_data_array is component tri_intersect_data_array_ram is port ( clk : IN STD_LOGIC; addr0 : IN STD_LOGIC_VECTOR; ce0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR; we0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR; addr1 : IN STD_LOGIC_VECTOR; ce1 : IN STD_LOGIC; d1 : IN STD_LOGIC_VECTOR; we1 : IN STD_LOGIC; q1 : OUT STD_LOGIC_VECTOR); end component; begin tri_intersect_data_array_ram_U : component tri_intersect_data_array_ram port map ( clk => clk, addr0 => address0, ce0 => ce0, d0 => d0, we0 => we0, q0 => q0, addr1 => address1, ce1 => ce1, d1 => d1, we1 => we1, q1 => q1); end architecture;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.1 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity tri_intersect_data_array_ram is generic( mem_type : string := "block"; dwidth : integer := 576; awidth : integer := 5; mem_size : integer := 20 ); port ( addr0 : in std_logic_vector(awidth-1 downto 0); ce0 : in std_logic; d0 : in std_logic_vector(dwidth-1 downto 0); we0 : in std_logic; q0 : out std_logic_vector(dwidth-1 downto 0); addr1 : in std_logic_vector(awidth-1 downto 0); ce1 : in std_logic; d1 : in std_logic_vector(dwidth-1 downto 0); we1 : in std_logic; q1 : out std_logic_vector(dwidth-1 downto 0); clk : in std_logic ); end entity; architecture rtl of tri_intersect_data_array_ram is signal addr0_tmp : std_logic_vector(awidth-1 downto 0); signal addr1_tmp : std_logic_vector(awidth-1 downto 0); type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0); shared variable ram : mem_array; attribute syn_ramstyle : string; attribute syn_ramstyle of ram : variable is "block_ram"; attribute ram_style : string; attribute ram_style of ram : variable is mem_type; attribute EQUIVALENT_REGISTER_REMOVAL : string; begin memory_access_guard_0: process (addr0) begin addr0_tmp <= addr0; --synthesis translate_off if (CONV_INTEGER(addr0) > mem_size-1) then addr0_tmp <= (others => '0'); else addr0_tmp <= addr0; end if; --synthesis translate_on end process; p_memory_access_0: process (clk) begin if (clk'event and clk = '1') then if (ce0 = '1') then if (we0 = '1') then ram(CONV_INTEGER(addr0_tmp)) := d0; end if; q0 <= ram(CONV_INTEGER(addr0_tmp)); end if; end if; end process; memory_access_guard_1: process (addr1) begin addr1_tmp <= addr1; --synthesis translate_off if (CONV_INTEGER(addr1) > mem_size-1) then addr1_tmp <= (others => '0'); else addr1_tmp <= addr1; end if; --synthesis translate_on end process; p_memory_access_1: process (clk) begin if (clk'event and clk = '1') then if (ce1 = '1') then if (we1 = '1') then ram(CONV_INTEGER(addr1_tmp)) := d1; end if; q1 <= ram(CONV_INTEGER(addr1_tmp)); end if; end if; end process; end rtl; Library IEEE; use IEEE.std_logic_1164.all; entity tri_intersect_data_array is generic ( DataWidth : INTEGER := 576; AddressRange : INTEGER := 20; AddressWidth : INTEGER := 5); port ( reset : IN STD_LOGIC; clk : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); address1 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce1 : IN STD_LOGIC; we1 : IN STD_LOGIC; d1 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); q1 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0)); end entity; architecture arch of tri_intersect_data_array is component tri_intersect_data_array_ram is port ( clk : IN STD_LOGIC; addr0 : IN STD_LOGIC_VECTOR; ce0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR; we0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR; addr1 : IN STD_LOGIC_VECTOR; ce1 : IN STD_LOGIC; d1 : IN STD_LOGIC_VECTOR; we1 : IN STD_LOGIC; q1 : OUT STD_LOGIC_VECTOR); end component; begin tri_intersect_data_array_ram_U : component tri_intersect_data_array_ram port map ( clk => clk, addr0 => address0, ce0 => ce0, d0 => d0, we0 => we0, q0 => q0, addr1 => address1, ce1 => ce1, d1 => d1, we1 => we1, q1 => q1); end architecture;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2109.vhd,v 1.2 2001-10-26 16:29:45 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b04x00p20n01i02109ent IS END c07s02b04x00p20n01i02109ent; ARCHITECTURE c07s02b04x00p20n01i02109arch OF c07s02b04x00p20n01i02109ent IS TYPE natural_v is array (integer range <>) of natural; SUBTYPE natural_8 is natural_v (1 to 8); SUBTYPE natural_4 is natural_v (1 to 4); BEGIN TESTING : PROCESS variable result : natural_8; variable l_operand : natural_4 := ( 0 , 23 , 0 , 23 ); variable r_operand : natural_4 := ( 23 , 23 , 0 , 0 ); BEGIN result := l_operand & r_operand; wait for 20 ns; assert NOT(result = ( 0 , 23 , 0 , 23 , 23 , 23 , 0 , 0 )) report "***PASSED TEST: c07s02b04x00p20n01i02109" severity NOTE; assert (result = ( 0 , 23 , 0 , 23 , 23 , 23 , 0 , 0 )) report "***FAILED TEST: c07s02b04x00p20n01i02109 - Concatenation of two NATURAL arrays failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b04x00p20n01i02109arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2109.vhd,v 1.2 2001-10-26 16:29:45 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b04x00p20n01i02109ent IS END c07s02b04x00p20n01i02109ent; ARCHITECTURE c07s02b04x00p20n01i02109arch OF c07s02b04x00p20n01i02109ent IS TYPE natural_v is array (integer range <>) of natural; SUBTYPE natural_8 is natural_v (1 to 8); SUBTYPE natural_4 is natural_v (1 to 4); BEGIN TESTING : PROCESS variable result : natural_8; variable l_operand : natural_4 := ( 0 , 23 , 0 , 23 ); variable r_operand : natural_4 := ( 23 , 23 , 0 , 0 ); BEGIN result := l_operand & r_operand; wait for 20 ns; assert NOT(result = ( 0 , 23 , 0 , 23 , 23 , 23 , 0 , 0 )) report "***PASSED TEST: c07s02b04x00p20n01i02109" severity NOTE; assert (result = ( 0 , 23 , 0 , 23 , 23 , 23 , 0 , 0 )) report "***FAILED TEST: c07s02b04x00p20n01i02109 - Concatenation of two NATURAL arrays failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b04x00p20n01i02109arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2109.vhd,v 1.2 2001-10-26 16:29:45 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b04x00p20n01i02109ent IS END c07s02b04x00p20n01i02109ent; ARCHITECTURE c07s02b04x00p20n01i02109arch OF c07s02b04x00p20n01i02109ent IS TYPE natural_v is array (integer range <>) of natural; SUBTYPE natural_8 is natural_v (1 to 8); SUBTYPE natural_4 is natural_v (1 to 4); BEGIN TESTING : PROCESS variable result : natural_8; variable l_operand : natural_4 := ( 0 , 23 , 0 , 23 ); variable r_operand : natural_4 := ( 23 , 23 , 0 , 0 ); BEGIN result := l_operand & r_operand; wait for 20 ns; assert NOT(result = ( 0 , 23 , 0 , 23 , 23 , 23 , 0 , 0 )) report "***PASSED TEST: c07s02b04x00p20n01i02109" severity NOTE; assert (result = ( 0 , 23 , 0 , 23 , 23 , 23 , 0 , 0 )) report "***FAILED TEST: c07s02b04x00p20n01i02109 - Concatenation of two NATURAL arrays failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b04x00p20n01i02109arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2047.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b04x00p01n01i02047ent IS END c07s02b04x00p01n01i02047ent; ARCHITECTURE c07s02b04x00p01n01i02047arch OF c07s02b04x00p01n01i02047ent IS BEGIN TESTING: PROCESS variable STRINGV : STRING( 1 to 8 ); BEGIN STRINGV := STRINGV + "hello, world"; assert FALSE report "***FAILED TEST: c07s02b04x00p01n01i02047 - The adding operators + and - are predefined for any numeric type." severity ERROR; wait; END PROCESS TESTING; END c07s02b04x00p01n01i02047arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2047.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b04x00p01n01i02047ent IS END c07s02b04x00p01n01i02047ent; ARCHITECTURE c07s02b04x00p01n01i02047arch OF c07s02b04x00p01n01i02047ent IS BEGIN TESTING: PROCESS variable STRINGV : STRING( 1 to 8 ); BEGIN STRINGV := STRINGV + "hello, world"; assert FALSE report "***FAILED TEST: c07s02b04x00p01n01i02047 - The adding operators + and - are predefined for any numeric type." severity ERROR; wait; END PROCESS TESTING; END c07s02b04x00p01n01i02047arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2047.vhd,v 1.2 2001-10-26 16:30:15 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b04x00p01n01i02047ent IS END c07s02b04x00p01n01i02047ent; ARCHITECTURE c07s02b04x00p01n01i02047arch OF c07s02b04x00p01n01i02047ent IS BEGIN TESTING: PROCESS variable STRINGV : STRING( 1 to 8 ); BEGIN STRINGV := STRINGV + "hello, world"; assert FALSE report "***FAILED TEST: c07s02b04x00p01n01i02047 - The adding operators + and - are predefined for any numeric type." severity ERROR; wait; END PROCESS TESTING; END c07s02b04x00p01n01i02047arch;
-- ---------------------------------------------------------------------------- -- Entity for implementation of Clark NFA -- ---------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; -- ---------------------------------------------------------------------------- -- Entity declaration -- ---------------------------------------------------------------------------- entity CLARK_NFA is generic( DATA_WIDTH : integer := %$%; RULES : integer := %$% ); port( CLK : in std_logic; RESET : in std_logic; -- input data interface DATA : in std_logic_vector(DATA_WIDTH - 1 downto 0); SOF : in std_logic; EOF : in std_logic; SRC_RDY : in std_logic; DST_RDY : out std_logic; -- output data interface BITMAP : out std_logic_vector(RULES - 1 downto 0); VLD : out std_logic; ACK : in std_logic ); end entity CLARK_NFA; -- ---------------------------------------------------------------------------- -- Architecture: full -- ---------------------------------------------------------------------------- architecture full of CLARK_NFA is signal local_reset : std_logic; signal local_reset_fsm : std_logic; signal we : std_logic; -- signal rdy : std_logic; -- signal vld_internal : std_logic; -- signal set : std_logic; %$% begin local_reset <= RESET or local_reset_fsm; ctrl_fsm: entity work.CONTROL_FSM port map( CLK => CLK, RESET => RESET, -- input interface EOF => EOF, SRC_RDY => SRC_RDY, DST_RDY => DST_RDY, -- output interface WE => we, LOCAL_RESET => local_reset_fsm, -- inner interface VLD => VLD, ACK => ACK ); -- we <= SRC_RDY and rdy; -- DST_RDY <= rdy; -- VLD <= vld_internal; -- set <= SRC_RDY and EOF and rdy; -- rdy <= not vld_internal; -- -- end_reg: process(CLK) -- begin -- if (CLK'event and CLK = '1') then -- if (local_reset = '1') then -- vld_internal <= '0'; -- else -- if set = '1' then -- vld_internal <= '1'; -- end if; -- end if; -- end if; -- end process end_reg; %$% final_bitmap_u: entity work.FINAL_BITMAP generic map( DATA_WIDTH => RULES ) port map( CLK => CLK, RESET => local_reset, -- input data interface SET => bitmap_in, -- output data interface BITMAP => BITMAP ); end architecture full;
------------------------------------------------------------------------------- -- bfm_processor_wrapper.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; library plb_master_bfm_v1_00_a; use plb_master_bfm_v1_00_a.All; entity bfm_processor_wrapper is port ( PLB_CLK : in std_logic; PLB_RESET : in std_logic; SYNCH_OUT : out std_logic_vector(0 to 31); SYNCH_IN : in std_logic_vector(0 to 31); PLB_MAddrAck : in std_logic; PLB_MSsize : in std_logic_vector(0 to 1); PLB_MRearbitrate : in std_logic; PLB_MBusy : in std_logic; PLB_MErr : in std_logic; PLB_MWrDAck : in std_logic; PLB_MRdDBus : in std_logic_vector(0 to 63); PLB_MRdWdAddr : in std_logic_vector(0 to 3); PLB_MRdDAck : in std_logic; PLB_MRdBTerm : in std_logic; PLB_MWrBTerm : in std_logic; M_request : out std_logic; M_priority : out std_logic_vector(0 to 1); M_buslock : out std_logic; M_RNW : out std_logic; M_BE : out std_logic_vector(0 to 7); M_msize : out std_logic_vector(0 to 1); M_size : out std_logic_vector(0 to 3); M_type : out std_logic_vector(0 to 2); M_compress : out std_logic; M_guarded : out std_logic; M_ordered : out std_logic; M_lockErr : out std_logic; M_abort : out std_logic; M_ABus : out std_logic_vector(0 to 31); M_wrDBus : out std_logic_vector(0 to 63); M_wrBurst : out std_logic; M_rdBurst : out std_logic ); end bfm_processor_wrapper; architecture STRUCTURE of bfm_processor_wrapper is component plb_master_bfm is generic ( PLB_MASTER_SIZE : std_logic_vector(0 to 1); PLB_MASTER_NUM : std_logic_vector(0 to 3); PLB_MASTER_ADDR_LO_0 : std_logic_vector(0 to 31); PLB_MASTER_ADDR_HI_0 : std_logic_vector(0 to 31); PLB_MASTER_ADDR_LO_1 : std_logic_vector(0 to 31); PLB_MASTER_ADDR_HI_1 : std_logic_vector(0 to 31); C_PLB_DWIDTH : integer ); port ( PLB_CLK : in std_logic; PLB_RESET : in std_logic; SYNCH_OUT : out std_logic_vector(0 to 31); SYNCH_IN : in std_logic_vector(0 to 31); PLB_MAddrAck : in std_logic; PLB_MSsize : in std_logic_vector(0 to 1); PLB_MRearbitrate : in std_logic; PLB_MBusy : in std_logic; PLB_MErr : in std_logic; PLB_MWrDAck : in std_logic; PLB_MRdDBus : in std_logic_vector(0 to (C_PLB_DWIDTH-1)); PLB_MRdWdAddr : in std_logic_vector(0 to 3); PLB_MRdDAck : in std_logic; PLB_MRdBTerm : in std_logic; PLB_MWrBTerm : in std_logic; M_request : out std_logic; M_priority : out std_logic_vector(0 to 1); M_buslock : out std_logic; M_RNW : out std_logic; M_BE : out std_logic_vector(0 to ((C_PLB_DWIDTH/8)-1)); M_msize : out std_logic_vector(0 to 1); M_size : out std_logic_vector(0 to 3); M_type : out std_logic_vector(0 to 2); M_compress : out std_logic; M_guarded : out std_logic; M_ordered : out std_logic; M_lockErr : out std_logic; M_abort : out std_logic; M_ABus : out std_logic_vector(0 to 31); M_wrDBus : out std_logic_vector(0 to (C_PLB_DWIDTH-1)); M_wrBurst : out std_logic; M_rdBurst : out std_logic ); end component; begin bfm_processor : plb_master_bfm generic map ( PLB_MASTER_SIZE => "01", PLB_MASTER_NUM => "0000", PLB_MASTER_ADDR_LO_0 => X"00000000", PLB_MASTER_ADDR_HI_0 => X"00000000", PLB_MASTER_ADDR_LO_1 => X"00000000", PLB_MASTER_ADDR_HI_1 => X"00000000", C_PLB_DWIDTH => 64 ) port map ( PLB_CLK => PLB_CLK, PLB_RESET => PLB_RESET, SYNCH_OUT => SYNCH_OUT, SYNCH_IN => SYNCH_IN, PLB_MAddrAck => PLB_MAddrAck, PLB_MSsize => PLB_MSsize, PLB_MRearbitrate => PLB_MRearbitrate, PLB_MBusy => PLB_MBusy, PLB_MErr => PLB_MErr, PLB_MWrDAck => PLB_MWrDAck, PLB_MRdDBus => PLB_MRdDBus, PLB_MRdWdAddr => PLB_MRdWdAddr, PLB_MRdDAck => PLB_MRdDAck, PLB_MRdBTerm => PLB_MRdBTerm, PLB_MWrBTerm => PLB_MWrBTerm, M_request => M_request, M_priority => M_priority, M_buslock => M_buslock, M_RNW => M_RNW, M_BE => M_BE, M_msize => M_msize, M_size => M_size, M_type => M_type, M_compress => M_compress, M_guarded => M_guarded, M_ordered => M_ordered, M_lockErr => M_lockErr, M_abort => M_abort, M_ABus => M_ABus, M_wrDBus => M_wrDBus, M_wrBurst => M_wrBurst, M_rdBurst => M_rdBurst ); end architecture STRUCTURE;
library ieee; use ieee.std_logic_1164.ALL; entity MDR is port ( x: in std_logic_vector(31 downto 0); clk: in std_logic; y: out std_logic_vector(31 downto 0) ); end MDR; architecture Structural of MDR is signal temp: std_logic_vector(31 downto 0) := X"00000000"; begin y <= temp; process(clk) begin if rising_edge(clk) then temp <= x; end if; end process; end Structural;
---------------------------------------------------------------------------------- -- -- Copyright (C) 2013 Stephen Robinson -- -- This file is part of HDMI-Light -- -- HDMI-Light is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 2 of the License, or -- (at your option) any later version. -- -- HDMI-Light is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this code (see the file names COPING). -- If not, see <http://www.gnu.org/licenses/>. -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity HdmilightTop is Port ( ADV_P : in STD_LOGIC_VECTOR(23 downto 0); ADV_LLC : in STD_LOGIC; ADV_AP : in STD_LOGIC; ADV_SCLK : in STD_LOGIC; ADV_LRCLK : in STD_LOGIC; ADV_MCLK : in STD_LOGIC; ADV_SCL : inout STD_LOGIC; ADV_SDA : inout STD_LOGIC; ADV_INT1 : in STD_LOGIC; ADV_RST : out STD_LOGIC; ADV_HS : in STD_LOGIC; ADV_VS : in STD_LOGIC; ADV_DE : in STD_LOGIC; OUTPUT : out STD_LOGIC_VECTOR(7 downto 0); RX : in STD_LOGIC; TX : inout STD_LOGIC; CLK : in STD_LOGIC; FLASH_CK : out STD_LOGIC; FLASH_CS : out STD_LOGIC; FLASH_SI : out STD_LOGIC; FLASH_SO : in STD_LOGIC; GPIO : inout STD_LOGIC_VECTOR(3 downto 0) ); end HdmilightTop; architecture Behavioral of HdmilightTop is ----------------------------------------------- -- Component Definitions ----------------------------------------------- COMPONENT DCM32to16 PORT( CLKIN_IN : IN std_logic; CLKFX_OUT : OUT std_logic; CLKIN_IBUFG_OUT : OUT std_logic; CLK0_OUT : OUT std_logic ); END COMPONENT; component data_mem port ( I_CLK : in std_logic; I_ADR : in std_logic_vector(10 downto 0); I_DIN : in std_logic_vector(15 downto 0); I_WE : in std_logic_vector( 1 downto 0); Q_DOUT : out std_logic_vector(15 downto 0)); end component; component cpu_core port ( I_CLK : in std_logic; I_CE : in std_logic; I_CLR : in std_logic; I_INTVEC : in std_logic_vector( 5 downto 0); I_DIN : in std_logic_vector(15 downto 0); Q_OPC : out std_logic_vector(15 downto 0); Q_PC : out std_logic_vector(15 downto 0); Q_DOUT : out std_logic_vector(15 downto 0); Q_ADR : out std_logic_vector(15 downto 0); Q_RD_IO : out std_logic; Q_WE_IO : out std_logic; Q_WE_SRAM : out std_logic_vector(1 downto 0)); end component; component uart generic ( divisor : integer := 139 ); port ( clk : in std_logic; reset : in std_logic; -- txdata : in std_logic_vector(7 downto 0); rxdata : out std_logic_vector(7 downto 0); wr : in std_logic; rd : in std_logic; tx_avail : out std_logic; tx_busy : out std_logic; rx_avail : out std_logic; rx_full : out std_logic; rx_error : out std_logic; -- uart_rxd : in std_logic; uart_txd : out std_logic ); end component; component flashDMAController Port ( clk : in std_logic; flashStartAddr : in std_logic_vector(23 downto 0); sramStartAddr : in std_logic_vector(15 downto 0); copySize : in std_logic_vector(15 downto 0); write : in std_logic; start : in std_logic; busy : out std_logic; we : out std_logic; addr : out std_logic_vector(15 downto 0); din : in std_logic_vector( 7 downto 0); dout : out std_logic_vector( 7 downto 0); spiClk : out std_logic; spiCs : out std_logic; spiSi : out std_logic; spiSo : in std_logic ); end component; ----------------------------------------------- -- Signals ----------------------------------------------- signal RST: std_logic:= '1'; signal RST_COUNT: std_logic_vector(1 downto 0):="00"; signal CLK16: std_logic; -- UART signal UART_TX_DATA : std_logic_vector(7 downto 0):=X"00"; signal UART_RX_DATA : std_logic_vector(7 downto 0):=X"00"; signal UART_WR : std_logic := '0'; signal UART_RD : std_logic := '0'; signal UART_TX_AVAIL : std_logic := '0'; signal UART_TX_BUSY : std_logic := '0'; signal UART_RX_AVAIL : std_logic := '0'; signal UART_RX_FULL : std_logic := '0'; signal UART_RX_ERROR : std_logic := '0'; -- MCU signal MCU_RST: std_logic:= '1'; signal MCU_RUN: std_logic:= '0'; signal MCU_CLK: std_logic:= '0'; signal MCU_INTVEC: std_logic_vector(5 downto 0); signal MCU_INST : std_logic_vector(16-1 downto 0):=(others=>'0'); signal MCU_PC : std_logic_vector(16-1 downto 0):=(others=>'0'); -- MCU IO bus control signal MCU_IO_RD: std_logic:= '0'; signal MCU_IO_WR: std_logic:= '0'; signal MCU_SRAM_WR : std_logic_vector(1 downto 0); signal MCU_ADDR : std_logic_vector(15 downto 0):=(others=>'0'); signal MCU_DOUT : std_logic_vector(15 downto 0); signal MCU_DIN : std_logic_vector(15 downto 0); signal MCU_IO_DATA_READ : std_logic_vector(8-1 downto 0):=(others=>'0'); signal MASTER_WE : std_logic_vector(1 downto 0); signal MASTER_ADDR : std_logic_vector(15 downto 0); signal MASTER_DOUT : std_logic_vector(15 downto 0); signal MASTER_DIN : std_logic_vector(15 downto 0); signal SRAM_WE : std_logic_vector(1 downto 0); signal SRAM_DOUT : std_logic_vector(15 downto 0); signal SRAM_DIN : std_logic_vector(15 downto 0); -- MCU TMR signal MCU_TIMER_VAL : std_logic_vector(32-1 downto 0):=(others=>'0'); signal MCU_TIMER_CNT : std_logic_vector(32-1 downto 0):=(others=>'0'); signal MCU_TIMER_LATCHED : std_logic_vector(32-1 downto 0):=(others=>'0'); signal DDRD : std_logic_vector(7 downto 0); signal PIND : std_logic_vector(7 downto 0); signal PORTD : std_logic_vector(7 downto 0); signal DMA_SRAM_ADDR : std_logic_vector(15 downto 0); signal DMA_FLASH_ADDR : std_logic_vector(23 downto 0); signal DMA_BYTE_COUNT : std_logic_vector(15 downto 0); signal DMA_WRITE : std_logic; signal DMA_START : std_logic; signal DMA_BUSY : std_logic; signal DMA_WE : std_logic; signal DMA_ADDR : std_logic_vector(15 downto 0); signal DMA_DOUT : std_logic_vector(7 downto 0); signal DMA_DIN : std_logic_vector(7 downto 0); signal DMA_IN_PROGRESS: std_logic; signal DMA_BUSY_PREV : std_logic; signal vidclk : std_logic; signal viddata_r : std_logic_vector(7 downto 0); signal viddata_g : std_logic_vector(7 downto 0); signal viddata_b : std_logic_vector(7 downto 0); signal hblank : std_logic_vector(1 downto 0); signal vblank : std_logic_vector(1 downto 0); signal dataenable : std_logic_vector(1 downto 0); signal AMBILIGHT_CFG_WE : std_logic; signal AMBILIGHT_CFG_ADDR : std_logic_vector(15 downto 0); signal AMBILIGHT_CFG_DIN : std_logic_vector(7 downto 0); signal AMBILIGHT_CFG_DOUT : std_logic_vector(7 downto 0); signal driverOutput : std_logic_vector(7 downto 0); signal INT_CLEAR : std_logic_vector(7 downto 0); signal INT_FORMAT : std_logic; signal formatChanged : std_logic; begin ----------------------------------------------- -- Instantiation ----------------------------------------------- ambilight : entity work.ambilight port map(vidclk, viddata_r, viddata_g, viddata_b, hblank(1), vblank(1), dataenable(1), CLK16, AMBILIGHT_CFG_WE, AMBILIGHT_CFG_ADDR, AMBILIGHT_CFG_DIN, AMBILIGHT_CFG_DOUT, driverOutput, formatChanged); Inst_DCM32to16: DCM32to16 PORT MAP( CLKIN_IN => CLK, CLKFX_OUT => CLK16, CLKIN_IBUFG_OUT => open, CLK0_OUT => open ); dma : flashDMAController port map( CLK16, DMA_FLASH_ADDR, DMA_SRAM_ADDR, DMA_BYTE_COUNT, DMA_WRITE, DMA_START, DMA_BUSY, DMA_WE, DMA_ADDR, DMA_DIN, DMA_DOUT, FLASH_CK, FLASH_CS, FLASH_SI, FLASH_SO ); SRAM : data_mem port map( I_CLK => CLK16, I_ADR => MASTER_ADDR(10 downto 0), I_DIN => SRAM_DIN, I_WE => SRAM_WE, Q_DOUT => SRAM_DOUT ); -- Simple fixed baud UART U2_UART: uart port map ( CLK16, RST, UART_TX_DATA, UART_RX_DATA, UART_WR, UART_RD, UART_TX_AVAIL, UART_TX_BUSY, UART_RX_AVAIL, UART_RX_FULL, UART_RX_ERROR, rx, tx); -- AVR Core U3_AVR_MCU: cpu_core port map ( I_CLK => MCU_CLK, I_CE => MCU_RUN, I_CLR => MCU_RST, I_DIN => MCU_DIN, I_INTVEC => MCU_INTVEC, Q_ADR => MCU_ADDR, Q_DOUT => MCU_DOUT, Q_OPC => MCU_INST, Q_PC => MCU_PC, Q_RD_IO => MCU_IO_RD, Q_WE_IO => MCU_IO_WR, Q_WE_SRAM => MCU_SRAM_WR); ----------------------------------------------- -- Implementation ----------------------------------------------- -- Reset Generator process (CLK16) begin if (rising_edge(CLK16)) then if (RST_COUNT = X"3") then RST <= '0'; else RST_COUNT <= RST_COUNT + 1; end if; end if; end process; process(RST,CLK16) begin if(RST = '1') then INT_FORMAT <= '0'; MCU_INTVEC <= (others => '0'); elsif(rising_edge(CLK16)) then if(formatChanged = '1') then INT_FORMAT <= '1'; elsif(INT_CLEAR(0) = '1') then INT_FORMAT <= '0'; end if; if(ADV_INT1 = '1') then MCU_INTVEC <= "100010"; elsif(INT_FORMAT = '1') then MCU_INTVEC <= "100001"; else MCU_INTVEC <= (others => '0'); end if; end if; end process; -- IO memory space handler process (RST,CLK16) begin if (RST = '1') then UART_TX_DATA <= X"00"; UART_WR <= '0'; UART_RD <= '0'; MCU_TIMER_LATCHED <= (others=>'0'); INT_CLEAR <= (others => '0'); elsif (rising_edge(CLK16)) then UART_WR <= '0'; UART_RD <= '0'; DMA_START <= '0'; INT_CLEAR <= (others => '0'); -- IO Read Cycle if (MCU_IO_RD = '1') then case MASTER_ADDR is -- 0x21 -> Uart - UDR - TX BUF when X"0041" => UART_RD <= '1'; when others => end case; end if; -- IO Write Cycle if (MCU_IO_WR = '1') then case MASTER_ADDR is -- 0x21 -> Uart - UDR - TX BUF when X"0041" => UART_TX_DATA <= MASTER_DOUT(7 downto 0); UART_WR <= '1'; -- 0x22 -> 32-bit Timer Control when X"0042" => -- Take snapshot of current timer value MCU_TIMER_LATCHED <= MCU_TIMER_VAL; when X"0049" => DDRD <= MASTER_DOUT(7 downto 0); when X"004b" => PORTD <= MASTER_DOUT(7 downto 0); when X"004c" => DMA_FLASH_ADDR(23 downto 16) <= MASTER_DOUT(7 downto 0); when X"004d" => DMA_FLASH_ADDR(15 downto 8) <= MASTER_DOUT(7 downto 0); when X"004e" => DMA_FLASH_ADDR( 7 downto 0) <= MASTER_DOUT(7 downto 0); when X"004f" => DMA_SRAM_ADDR(15 downto 8) <= MASTER_DOUT(7 downto 0); when X"0050" => DMA_SRAM_ADDR( 7 downto 0) <= MASTER_DOUT(7 downto 0); when X"0051" => DMA_BYTE_COUNT(15 downto 8) <= MASTER_DOUT(7 downto 0); when X"0052" => DMA_BYTE_COUNT( 7 downto 0) <= MASTER_DOUT(7 downto 0); when X"0053" => DMA_WRITE <= MASTER_DOUT(0); DMA_IN_PROGRESS <= '1'; DMA_START <= '1'; when X"0054" => INT_CLEAR <= MASTER_DOUT(7 downto 0); when others => end case; end if; if(DMA_BUSY = '0' and DMA_BUSY_PREV = '1') then DMA_IN_PROGRESS <= '0'; end if; DMA_BUSY_PREV <= DMA_BUSY; end if; end process; -- Asynchronous IO Read Process process (MCU_IO_RD, MASTER_ADDR, UART_RX_ERROR, UART_TX_BUSY, UART_RX_FULL, UART_TX_AVAIL, UART_RX_AVAIL, UART_RX_DATA, MCU_TIMER_LATCHED, DDRD, PIND, PORTD) begin -- Read cycle? if (MCU_IO_RD = '1') then case MASTER_ADDR is -- 0x20 -> Uart - USR - Status Reg when X"0040" => MCU_IO_DATA_READ <= "000" & UART_RX_ERROR & UART_TX_BUSY & UART_RX_FULL & UART_TX_AVAIL & UART_RX_AVAIL; -- 0x21 -> Uart - UDR - RX BUF when X"0041" => MCU_IO_DATA_READ <= UART_RX_DATA; -- 0x22,23,24,25 -> 32-bit Timer when X"0042" => MCU_IO_DATA_READ <= MCU_TIMER_LATCHED(7 downto 0); when X"0043" => MCU_IO_DATA_READ <= MCU_TIMER_LATCHED(15 downto 8); when X"0044" => MCU_IO_DATA_READ <= MCU_TIMER_LATCHED(23 downto 16); when X"0045" => MCU_IO_DATA_READ <= MCU_TIMER_LATCHED(31 downto 24); when X"0049" => MCU_IO_DATA_READ <= DDRD; when X"004a" => MCU_IO_DATA_READ <= PIND; when X"004b" => MCU_IO_DATA_READ <= PORTD; when others => MCU_IO_DATA_READ <= X"00"; end case; else MCU_IO_DATA_READ <= X"00"; end if; end process; -- Timer (1 ms resolution) process (RST,CLK16) begin if (RST = '1') then MCU_TIMER_VAL <= (others=>'0'); MCU_TIMER_CNT <= (others=>'0'); elsif (rising_edge(CLK16)) then -- 16000/0x3E80 = 1ms @ 16MHz if (MCU_TIMER_CNT = X"3E80") then MCU_TIMER_VAL <= MCU_TIMER_VAL + 1; MCU_TIMER_CNT <= (others=>'0'); else MCU_TIMER_CNT <= MCU_TIMER_CNT + 1; end if; end if; end process; process(ADV_LLC) begin if(rising_edge(ADV_LLC)) then viddata_r <= ADV_P(23 downto 16); viddata_g <= ADV_P(15 downto 8); viddata_b <= ADV_P( 7 downto 0); end if; end process; process(ADV_LLC) begin if(rising_edge(ADV_LLC)) then hblank <= hblank(0) & (not ADV_HS); vblank <= vblank(0) & (not ADV_VS); dataenable <= dataenable(0) & ADV_DE; end if; end process; ----------------------------------------------- -- Combinatorial ----------------------------------------------- MCU_CLK <= CLK16; MCU_RST <= RST; MCU_RUN <= not DMA_IN_PROGRESS; MASTER_WE <= '0' & DMA_WE when DMA_IN_PROGRESS = '1' else MCU_SRAM_WR; MASTER_ADDR <= DMA_ADDR when DMA_IN_PROGRESS = '1' else MCU_ADDR; MASTER_DIN <= "00000000" & MCU_IO_DATA_READ when MCU_IO_RD = '1' else SRAM_DOUT when MASTER_ADDR(15) = '0' else "00000000" & AMBILIGHT_CFG_DOUT; MASTER_DOUT <= "00000000" & DMA_DOUT when DMA_IN_PROGRESS = '1' else MCU_DOUT; MCU_DIN <= MASTER_DIN; DMA_DIN <= MASTER_DIN(7 downto 0); AMBILIGHT_CFG_DIN <= MASTER_DOUT(7 downto 0); AMBILIGHT_CFG_ADDR <= '0' & MASTER_ADDR(14 downto 0); AMBILIGHT_CFG_WE <= MASTER_WE(0) and MASTER_ADDR(15); SRAM_DIN <= MASTER_DOUT; SRAM_WE <= MASTER_WE when MASTER_ADDR(15) = '0' else "00"; ADV_RST <= '1'; OUTPUT <= driverOutput; ADV_SCL <= PORTD(7) when DDRD(7) = '1' else 'Z'; ADV_SDA <= PORTD(6) when DDRD(6) = '1' else 'Z'; GPIO(3) <= PORTD(3) when DDRD(3) = '1' else 'Z'; GPIO(2) <= PORTD(2) when DDRD(2) = '1' else 'Z'; GPIO(1) <= PORTD(1) when DDRD(1) = '1' else 'Z'; GPIO(0) <= PORTD(0) when DDRD(0) = '1' else 'Z'; PIND <= ADV_SCL & ADV_SDA & "00" & GPIO(3) & GPIO(2) & GPIO(1) & GPIO(0); vidclk <= ADV_LLC; end Behavioral;
------------------------------------------------------------------------------- -- -- SD/MMC Bootloader -- Chip toplevel -- -- $Id: chip-e.vhd,v 1.3 2005/04/07 20:44:23 arniml Exp $ -- -- Copyright (c) 2005, Arnim Laeuger ([email protected]) -- -- All rights reserved, see COPYING. -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/projects.cgi/web/spi_boot/overview -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity chip is port ( -- System Interface ------------------------------------------------------- clk_i : in std_logic; reset_i : in std_logic; set_sel_n_i : in std_logic_vector(3 downto 0); -- SD Card Interface ------------------------------------------------------ spi_clk_o : out std_logic; spi_cs_n_o : out std_logic; spi_data_in_i : in std_logic; spi_data_out_o : out std_logic; -- FPGA Configuration Interface ------------------------------------------- start_i : in std_logic; mode_i : in std_logic; config_n_o : out std_logic; detached_o : out std_logic; cfg_init_n_i : in std_logic; cfg_done_i : in std_logic; dat_done_i : in std_logic; cfg_clk_o : out std_logic; cfg_dat_o : out std_logic ); end chip; ------------------------------------------------------------------------------- -- File History: -- -- $Log: chip-e.vhd,v $ -- Revision 1.3 2005/04/07 20:44:23 arniml -- add new port detached_o -- -- Revision 1.2 2005/03/08 22:07:11 arniml -- added set selection -- -- Revision 1.1 2005/02/08 20:41:30 arniml -- initial check-in -- -------------------------------------------------------------------------------
entity test is subtype t is foo; end;
------------------------------------------------------------------------------- -- $Id: xor18.vhd,v 1.1.2.3 2010/09/06 09:01:24 rolandp Exp $ ------------------------------------------------------------------------------- -- -- (c) Copyright [2003] - [2011] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES -- ------------------------------------------------------------------------------ -- Filename: xor18.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- xor18.vhd -- ------------------------------------------------------------------------------- -- Author: rolandp -- Revision: $Revision: 1.1.2.3 $ -- Date: $Date: 2010/09/06 09:01:24 $ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; entity XOR18 is generic ( C_USE_LUT6 : boolean); port ( InA : in std_logic_vector(0 to 17); res : out std_logic); end entity XOR18; architecture IMP of XOR18 is begin -- architecture IMP Using_LUT6: if (C_USE_LUT6) generate signal xor6_1 : std_logic; signal xor6_2 : std_logic; signal xor6_3 : std_logic; signal xor18_c1 : std_logic; signal xor18_c2 : std_logic; begin -- generate Using_LUT6 XOR6_1_LUT : LUT6 generic map( INIT => X"6996966996696996") port map( O => xor6_1, I0 => InA(17), I1 => InA(16), I2 => InA(15), I3 => InA(14), I4 => InA(13), I5 => InA(12)); XOR_1st_MUXCY : MUXCY_L port map ( DI => '1', CI => '0', S => xor6_1, LO => xor18_c1); XOR6_2_LUT : LUT6 generic map( INIT => X"6996966996696996") port map( O => xor6_2, I0 => InA(11), I1 => InA(10), I2 => InA(9), I3 => InA(8), I4 => InA(7), I5 => InA(6)); XOR_2nd_MUXCY : MUXCY_L port map ( DI => xor6_1, CI => xor18_c1, S => xor6_2, LO => xor18_c2); XOR6_3_LUT : LUT6 generic map( INIT => X"6996966996696996") port map( O => xor6_3, I0 => InA(5), I1 => InA(4), I2 => InA(3), I3 => InA(2), I4 => InA(1), I5 => InA(0)); XOR18_XORCY : XORCY port map ( LI => xor6_3, CI => xor18_c2, O => res); end generate Using_LUT6; Not_Using_LUT6: if (not C_USE_LUT6) generate begin -- generate Not_Using_LUT6 res <= InA(17) xor InA(16) xor InA(15) xor InA(14) xor InA(13) xor InA(12) xor InA(11) xor InA(10) xor InA(9) xor InA(8) xor InA(7) xor InA(6) xor InA(5) xor InA(4) xor InA(3) xor InA(2) xor InA(1) xor InA(0); end generate Not_Using_LUT6; end architecture IMP;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity w_split4 is port ( clk : in std_logic; ra0_data : out std_logic_vector(7 downto 0); wa0_data : in std_logic_vector(7 downto 0); wa0_addr : in std_logic; wa0_en : in std_logic; ra0_addr : in std_logic ); end w_split4; architecture augh of w_split4 is -- Embedded RAM type ram_type is array (0 to 1) of std_logic_vector(7 downto 0); signal ram : ram_type := ( "00000111", "00000111" ); -- Little utility functions to make VHDL syntactically correct -- with the syntax to_integer(unsigned(vector)) when 'vector' is a std_logic. -- This happens when accessing arrays with <= 2 cells, for example. function to_integer(B: std_logic) return integer is variable V: std_logic_vector(0 to 0); begin V(0) := B; return to_integer(unsigned(V)); end; function to_integer(V: std_logic_vector) return integer is begin return to_integer(unsigned(V)); end; begin -- Sequential process -- It handles the Writes process (clk) begin if rising_edge(clk) then -- Write to the RAM -- Note: there should be only one port. if wa0_en = '1' then ram( to_integer(wa0_addr) ) <= wa0_data; end if; end if; end process; -- The Read side (the outputs) ra0_data <= ram( to_integer(ra0_addr) ); end architecture;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity w_split4 is port ( clk : in std_logic; ra0_data : out std_logic_vector(7 downto 0); wa0_data : in std_logic_vector(7 downto 0); wa0_addr : in std_logic; wa0_en : in std_logic; ra0_addr : in std_logic ); end w_split4; architecture augh of w_split4 is -- Embedded RAM type ram_type is array (0 to 1) of std_logic_vector(7 downto 0); signal ram : ram_type := ( "00000111", "00000111" ); -- Little utility functions to make VHDL syntactically correct -- with the syntax to_integer(unsigned(vector)) when 'vector' is a std_logic. -- This happens when accessing arrays with <= 2 cells, for example. function to_integer(B: std_logic) return integer is variable V: std_logic_vector(0 to 0); begin V(0) := B; return to_integer(unsigned(V)); end; function to_integer(V: std_logic_vector) return integer is begin return to_integer(unsigned(V)); end; begin -- Sequential process -- It handles the Writes process (clk) begin if rising_edge(clk) then -- Write to the RAM -- Note: there should be only one port. if wa0_en = '1' then ram( to_integer(wa0_addr) ) <= wa0_data; end if; end if; end process; -- The Read side (the outputs) ra0_data <= ram( to_integer(ra0_addr) ); end architecture;
library ieee; use ieee.std_logic_1164.all; entity tb_output06 is end tb_output06; architecture behav of tb_output06 is signal i : std_logic; signal o : std_logic_vector (3 downto 0); begin inst: entity work.output06 port map (i => i, o => o); process begin i <= '0'; wait for 1 ns; assert o = "0010" severity failure; i <= '1'; wait for 1 ns; assert o = "1001" severity failure; wait; end process; end behav;
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity ShiftRegister4 is port( clk : in std_logic; so : out std_logic; dataOut : out std_logic_vector(3 downto 0); si : in std_logic); end ShiftRegister4; architecture Behavioral of ShiftRegister4 is signal s_dataOut : std_logic_vector(3 downto 0); begin process(clk) begin if(rising_edge(clk)) then s_dataOut <= s_dataOut(2 downto 0) & si; end if; end process; dataOut <= s_dataOut(3 downto 0); so <= s_dataOut(3); end Behavioral;
--------------------------------------------------------------------------- -- VGA controller -- -- Kyle Kloepper -- -- 4-05-2005 -- -- -- -- Modified by Stephen Kempf 04-08-2005 -- -- 10-05-2006 -- -- 03-12-2007 -- -- Fall 2009 Distribution -- -- -- -- Used standard 640x480 vga found at epanorama -- -- -- -- reference: http://www.xilinx.com/bvdocs/userguides/ug130.pdf -- -- http://www.epanorama.net/documents/pc/vga_timing.html -- -- -- -- note: The standard is changed slightly because of 25 mhz instead -- -- of 25.175 mhz pixel clock. Refresh rate drops slightly. -- -- -- -- For use with ECE 385 Lab 9 and Final Project -- -- ECE Department @ UIUC -- --------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity vga_controller is Port ( clk : in std_logic; -- 50 MHz clock reset : in std_logic; -- reset signal hs : out std_logic; -- Horizontal sync pulse. Active low vs : out std_logic; -- Vertical sync pulse. Active low pixel_clk : out std_logic; -- 25 MHz pixel clock output blank : out std_logic; -- Blanking interval indicator. Active low. sync : out std_logic; -- Composite Sync signal. Active low. We don't use it in this lab, -- but the video DAC on the DE2 board requires an input for it. DrawX : out std_logic_vector(10 downto 0); -- horizontal coordinate DrawY : out std_logic_vector(10 downto 0) ); -- vertical coordinate end vga_controller; architecture Behavioral of vga_controller is --800 horizontal pixels indexed 0 to 799 --525 vertical pixels indexed 0 to 524 constant hpixels : std_logic_vector(9 downto 0) := "1100011111"; constant vlines : std_logic_vector(9 downto 0) := "1000001100"; --horizontal pixel and vertical line counters signal hc, vc : std_logic_vector(10 downto 0); signal clkdiv : std_logic; --signal indicates if ok to display color for a pixel signal display : std_logic; begin -- Disable Composite Sync sync <= '0'; --This cuts the 50 Mhz clock in half to generate a 25 MHz pixel clock process(clk, reset) begin if (reset = '1') then clkdiv <= '0'; elsif (rising_edge(clk)) then clkdiv <= not clkdiv; end if; end process; --Runs the horizontal counter when it resets vertical counter is incremented counter_proc : process(clkdiv, reset) begin if (reset = '1') then hc <= "00000000000"; vc <= "00000000000"; elsif (rising_edge(clkdiv)) then if (hc = hpixels) then --If hc has reached the end of pixel count hc <= "00000000000"; if (vc = vlines) then -- if vc has reached end of line count vc <= "00000000000"; else vc <= vc + 1; end if; else hc <= hc + 1; -- no statement about vc, implied vc <= vc; end if; end if; end process; DrawX <= hc; DrawY <= vc; -- horizontal sync pulse is 96 pixels long at pixels 656-752 -- (signal is registered to ensure clean output waveform) hsync_proc : process (reset, clkdiv, hc) begin if (reset = '1') then hs <= '0'; elsif (rising_edge(clkdiv)) then if ((hc + 1) >= "1010010000" and (hc + 1) < "1011110000") then -- must check next value of hc hs <= '0'; else hs <= '1'; end if; end if; end process; -- vertical sync pulse is 2 lines(800 pixels) long at line 490-491 -- (signal is registered to ensure clean output waveform) vsync_proc : process(reset, clkdiv, vc) begin if (reset = '1') then vs <= '0'; elsif (rising_edge(clkdiv)) then if ((vc + 1) = "111101010" or (vc + 1) = "111101011") then -- must check next value of vc vs <= '0'; else vs <= '1'; end if; end if; end process; -- only display pixels between horizontal 0-639 and vertical 0-479 (640x480) -- (This signal is registered within the DAC chip, so we can leave it as pure combinational logic here) blank_proc : process(hc, vc) begin if ((hc >= "1010000000") or (vc >= "0111100000")) then display <= '0'; else display <= '1'; end if; end process; blank <= display; pixel_clk <= clkdiv; end Behavioral;
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2018.2 -- Copyright (C) 1986-2018 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity array_arith is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; d_address0 : OUT STD_LOGIC_VECTOR (2 downto 0); d_ce0 : OUT STD_LOGIC; d_we0 : OUT STD_LOGIC; d_d0 : OUT STD_LOGIC_VECTOR (31 downto 0); d_q0 : IN STD_LOGIC_VECTOR (31 downto 0) ); end; architecture behav of array_arith is attribute CORE_GENERATION_INFO : STRING; attribute CORE_GENERATION_INFO of behav : architecture is "array_arith,hls_ip_2018_2,{HLS_INPUT_TYPE=c,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z010clg400-1,HLS_INPUT_CLOCK=4.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=2.552000,HLS_SYN_LAT=21,HLS_SYN_TPT=none,HLS_SYN_MEM=0,HLS_SYN_DSP=0,HLS_SYN_FF=108,HLS_SYN_LUT=122,HLS_VERSION=2018_2}"; constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (5 downto 0) := "000001"; constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (5 downto 0) := "000010"; constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (5 downto 0) := "000100"; constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (5 downto 0) := "001000"; constant ap_ST_fsm_state5 : STD_LOGIC_VECTOR (5 downto 0) := "010000"; constant ap_ST_fsm_state6 : STD_LOGIC_VECTOR (5 downto 0) := "100000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100"; constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000"; constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101"; constant ap_const_lv3_4 : STD_LOGIC_VECTOR (2 downto 0) := "100"; constant ap_const_lv3_1 : STD_LOGIC_VECTOR (2 downto 0) := "001"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_boolean_1 : BOOLEAN := true; signal ap_CS_fsm : STD_LOGIC_VECTOR (5 downto 0) := "000001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal acc : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal i_1_fu_61_p2 : STD_LOGIC_VECTOR (2 downto 0); signal i_1_reg_94 : STD_LOGIC_VECTOR (2 downto 0); signal ap_CS_fsm_state2 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none"; signal ap_CS_fsm_state3 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state3 : signal is "none"; signal d_load_reg_105 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state4 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state4 : signal is "none"; signal tmp_2_fu_75_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_2_reg_110 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state5 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state5 : signal is "none"; signal i_reg_43 : STD_LOGIC_VECTOR (2 downto 0); signal ap_CS_fsm_state6 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state6 : signal is "none"; signal tmp_1_fu_67_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_3_fu_86_p1 : STD_LOGIC_VECTOR (63 downto 0); signal exitcond_fu_55_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_NS_fsm : STD_LOGIC_VECTOR (5 downto 0); begin ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; i_reg_43_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state6)) then i_reg_43 <= i_1_reg_94; elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then i_reg_43 <= ap_const_lv3_0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state5)) then acc <= tmp_2_fu_75_p2; tmp_2_reg_110 <= tmp_2_fu_75_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state4)) then d_load_reg_105 <= d_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state2)) then i_1_reg_94 <= i_1_fu_61_p2; end if; end if; end process; ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, ap_CS_fsm_state2, exitcond_fu_55_p2) begin case ap_CS_fsm is when ap_ST_fsm_state1 => if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state1; end if; when ap_ST_fsm_state2 => if (((exitcond_fu_55_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_NS_fsm <= ap_ST_fsm_state1; else ap_NS_fsm <= ap_ST_fsm_state3; end if; when ap_ST_fsm_state3 => ap_NS_fsm <= ap_ST_fsm_state4; when ap_ST_fsm_state4 => ap_NS_fsm <= ap_ST_fsm_state5; when ap_ST_fsm_state5 => ap_NS_fsm <= ap_ST_fsm_state6; when ap_ST_fsm_state6 => ap_NS_fsm <= ap_ST_fsm_state2; when others => ap_NS_fsm <= "XXXXXX"; end case; end process; ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_CS_fsm_state2 <= ap_CS_fsm(1); ap_CS_fsm_state3 <= ap_CS_fsm(2); ap_CS_fsm_state4 <= ap_CS_fsm(3); ap_CS_fsm_state5 <= ap_CS_fsm(4); ap_CS_fsm_state6 <= ap_CS_fsm(5); ap_done_assign_proc : process(ap_CS_fsm_state2, exitcond_fu_55_p2) begin if (((exitcond_fu_55_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1) begin if (((ap_start = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_ready_assign_proc : process(ap_CS_fsm_state2, exitcond_fu_55_p2) begin if (((exitcond_fu_55_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; d_address0_assign_proc : process(ap_CS_fsm_state3, ap_CS_fsm_state6, tmp_1_fu_67_p1, tmp_3_fu_86_p1) begin if ((ap_const_logic_1 = ap_CS_fsm_state6)) then d_address0 <= tmp_3_fu_86_p1(3 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_address0 <= tmp_1_fu_67_p1(3 - 1 downto 0); else d_address0 <= "XXX"; end if; end process; d_ce0_assign_proc : process(ap_CS_fsm_state3, ap_CS_fsm_state6) begin if (((ap_const_logic_1 = ap_CS_fsm_state6) or (ap_const_logic_1 = ap_CS_fsm_state3))) then d_ce0 <= ap_const_logic_1; else d_ce0 <= ap_const_logic_0; end if; end process; d_d0 <= tmp_2_reg_110; d_we0_assign_proc : process(ap_CS_fsm_state6) begin if ((ap_const_logic_1 = ap_CS_fsm_state6)) then d_we0 <= ap_const_logic_1; else d_we0 <= ap_const_logic_0; end if; end process; exitcond_fu_55_p2 <= "1" when (i_reg_43 = ap_const_lv3_4) else "0"; i_1_fu_61_p2 <= std_logic_vector(unsigned(i_reg_43) + unsigned(ap_const_lv3_1)); tmp_1_fu_67_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_1_reg_94),64)); tmp_2_fu_75_p2 <= std_logic_vector(unsigned(d_load_reg_105) + unsigned(acc)); tmp_3_fu_86_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_reg_43),64)); end behav;
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2018.2 -- Copyright (C) 1986-2018 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity array_arith is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; d_address0 : OUT STD_LOGIC_VECTOR (2 downto 0); d_ce0 : OUT STD_LOGIC; d_we0 : OUT STD_LOGIC; d_d0 : OUT STD_LOGIC_VECTOR (31 downto 0); d_q0 : IN STD_LOGIC_VECTOR (31 downto 0) ); end; architecture behav of array_arith is attribute CORE_GENERATION_INFO : STRING; attribute CORE_GENERATION_INFO of behav : architecture is "array_arith,hls_ip_2018_2,{HLS_INPUT_TYPE=c,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z010clg400-1,HLS_INPUT_CLOCK=4.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=2.552000,HLS_SYN_LAT=21,HLS_SYN_TPT=none,HLS_SYN_MEM=0,HLS_SYN_DSP=0,HLS_SYN_FF=108,HLS_SYN_LUT=122,HLS_VERSION=2018_2}"; constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (5 downto 0) := "000001"; constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (5 downto 0) := "000010"; constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (5 downto 0) := "000100"; constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (5 downto 0) := "001000"; constant ap_ST_fsm_state5 : STD_LOGIC_VECTOR (5 downto 0) := "010000"; constant ap_ST_fsm_state6 : STD_LOGIC_VECTOR (5 downto 0) := "100000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100"; constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000"; constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101"; constant ap_const_lv3_4 : STD_LOGIC_VECTOR (2 downto 0) := "100"; constant ap_const_lv3_1 : STD_LOGIC_VECTOR (2 downto 0) := "001"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_boolean_1 : BOOLEAN := true; signal ap_CS_fsm : STD_LOGIC_VECTOR (5 downto 0) := "000001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal acc : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal i_1_fu_61_p2 : STD_LOGIC_VECTOR (2 downto 0); signal i_1_reg_94 : STD_LOGIC_VECTOR (2 downto 0); signal ap_CS_fsm_state2 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none"; signal ap_CS_fsm_state3 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state3 : signal is "none"; signal d_load_reg_105 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state4 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state4 : signal is "none"; signal tmp_2_fu_75_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_2_reg_110 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state5 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state5 : signal is "none"; signal i_reg_43 : STD_LOGIC_VECTOR (2 downto 0); signal ap_CS_fsm_state6 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state6 : signal is "none"; signal tmp_1_fu_67_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_3_fu_86_p1 : STD_LOGIC_VECTOR (63 downto 0); signal exitcond_fu_55_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_NS_fsm : STD_LOGIC_VECTOR (5 downto 0); begin ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; i_reg_43_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state6)) then i_reg_43 <= i_1_reg_94; elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then i_reg_43 <= ap_const_lv3_0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state5)) then acc <= tmp_2_fu_75_p2; tmp_2_reg_110 <= tmp_2_fu_75_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state4)) then d_load_reg_105 <= d_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state2)) then i_1_reg_94 <= i_1_fu_61_p2; end if; end if; end process; ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, ap_CS_fsm_state2, exitcond_fu_55_p2) begin case ap_CS_fsm is when ap_ST_fsm_state1 => if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state1; end if; when ap_ST_fsm_state2 => if (((exitcond_fu_55_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_NS_fsm <= ap_ST_fsm_state1; else ap_NS_fsm <= ap_ST_fsm_state3; end if; when ap_ST_fsm_state3 => ap_NS_fsm <= ap_ST_fsm_state4; when ap_ST_fsm_state4 => ap_NS_fsm <= ap_ST_fsm_state5; when ap_ST_fsm_state5 => ap_NS_fsm <= ap_ST_fsm_state6; when ap_ST_fsm_state6 => ap_NS_fsm <= ap_ST_fsm_state2; when others => ap_NS_fsm <= "XXXXXX"; end case; end process; ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_CS_fsm_state2 <= ap_CS_fsm(1); ap_CS_fsm_state3 <= ap_CS_fsm(2); ap_CS_fsm_state4 <= ap_CS_fsm(3); ap_CS_fsm_state5 <= ap_CS_fsm(4); ap_CS_fsm_state6 <= ap_CS_fsm(5); ap_done_assign_proc : process(ap_CS_fsm_state2, exitcond_fu_55_p2) begin if (((exitcond_fu_55_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1) begin if (((ap_start = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_ready_assign_proc : process(ap_CS_fsm_state2, exitcond_fu_55_p2) begin if (((exitcond_fu_55_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; d_address0_assign_proc : process(ap_CS_fsm_state3, ap_CS_fsm_state6, tmp_1_fu_67_p1, tmp_3_fu_86_p1) begin if ((ap_const_logic_1 = ap_CS_fsm_state6)) then d_address0 <= tmp_3_fu_86_p1(3 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_address0 <= tmp_1_fu_67_p1(3 - 1 downto 0); else d_address0 <= "XXX"; end if; end process; d_ce0_assign_proc : process(ap_CS_fsm_state3, ap_CS_fsm_state6) begin if (((ap_const_logic_1 = ap_CS_fsm_state6) or (ap_const_logic_1 = ap_CS_fsm_state3))) then d_ce0 <= ap_const_logic_1; else d_ce0 <= ap_const_logic_0; end if; end process; d_d0 <= tmp_2_reg_110; d_we0_assign_proc : process(ap_CS_fsm_state6) begin if ((ap_const_logic_1 = ap_CS_fsm_state6)) then d_we0 <= ap_const_logic_1; else d_we0 <= ap_const_logic_0; end if; end process; exitcond_fu_55_p2 <= "1" when (i_reg_43 = ap_const_lv3_4) else "0"; i_1_fu_61_p2 <= std_logic_vector(unsigned(i_reg_43) + unsigned(ap_const_lv3_1)); tmp_1_fu_67_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_1_reg_94),64)); tmp_2_fu_75_p2 <= std_logic_vector(unsigned(d_load_reg_105) + unsigned(acc)); tmp_3_fu_86_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_reg_43),64)); end behav;
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2018.2 -- Copyright (C) 1986-2018 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity array_arith is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; d_address0 : OUT STD_LOGIC_VECTOR (2 downto 0); d_ce0 : OUT STD_LOGIC; d_we0 : OUT STD_LOGIC; d_d0 : OUT STD_LOGIC_VECTOR (31 downto 0); d_q0 : IN STD_LOGIC_VECTOR (31 downto 0) ); end; architecture behav of array_arith is attribute CORE_GENERATION_INFO : STRING; attribute CORE_GENERATION_INFO of behav : architecture is "array_arith,hls_ip_2018_2,{HLS_INPUT_TYPE=c,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z010clg400-1,HLS_INPUT_CLOCK=4.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=2.552000,HLS_SYN_LAT=21,HLS_SYN_TPT=none,HLS_SYN_MEM=0,HLS_SYN_DSP=0,HLS_SYN_FF=108,HLS_SYN_LUT=122,HLS_VERSION=2018_2}"; constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (5 downto 0) := "000001"; constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (5 downto 0) := "000010"; constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (5 downto 0) := "000100"; constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (5 downto 0) := "001000"; constant ap_ST_fsm_state5 : STD_LOGIC_VECTOR (5 downto 0) := "010000"; constant ap_ST_fsm_state6 : STD_LOGIC_VECTOR (5 downto 0) := "100000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100"; constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000"; constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101"; constant ap_const_lv3_4 : STD_LOGIC_VECTOR (2 downto 0) := "100"; constant ap_const_lv3_1 : STD_LOGIC_VECTOR (2 downto 0) := "001"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_boolean_1 : BOOLEAN := true; signal ap_CS_fsm : STD_LOGIC_VECTOR (5 downto 0) := "000001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal acc : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal i_1_fu_61_p2 : STD_LOGIC_VECTOR (2 downto 0); signal i_1_reg_94 : STD_LOGIC_VECTOR (2 downto 0); signal ap_CS_fsm_state2 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none"; signal ap_CS_fsm_state3 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state3 : signal is "none"; signal d_load_reg_105 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state4 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state4 : signal is "none"; signal tmp_2_fu_75_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_2_reg_110 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state5 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state5 : signal is "none"; signal i_reg_43 : STD_LOGIC_VECTOR (2 downto 0); signal ap_CS_fsm_state6 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state6 : signal is "none"; signal tmp_1_fu_67_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_3_fu_86_p1 : STD_LOGIC_VECTOR (63 downto 0); signal exitcond_fu_55_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_NS_fsm : STD_LOGIC_VECTOR (5 downto 0); begin ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; i_reg_43_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state6)) then i_reg_43 <= i_1_reg_94; elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then i_reg_43 <= ap_const_lv3_0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state5)) then acc <= tmp_2_fu_75_p2; tmp_2_reg_110 <= tmp_2_fu_75_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state4)) then d_load_reg_105 <= d_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state2)) then i_1_reg_94 <= i_1_fu_61_p2; end if; end if; end process; ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, ap_CS_fsm_state2, exitcond_fu_55_p2) begin case ap_CS_fsm is when ap_ST_fsm_state1 => if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state1; end if; when ap_ST_fsm_state2 => if (((exitcond_fu_55_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_NS_fsm <= ap_ST_fsm_state1; else ap_NS_fsm <= ap_ST_fsm_state3; end if; when ap_ST_fsm_state3 => ap_NS_fsm <= ap_ST_fsm_state4; when ap_ST_fsm_state4 => ap_NS_fsm <= ap_ST_fsm_state5; when ap_ST_fsm_state5 => ap_NS_fsm <= ap_ST_fsm_state6; when ap_ST_fsm_state6 => ap_NS_fsm <= ap_ST_fsm_state2; when others => ap_NS_fsm <= "XXXXXX"; end case; end process; ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_CS_fsm_state2 <= ap_CS_fsm(1); ap_CS_fsm_state3 <= ap_CS_fsm(2); ap_CS_fsm_state4 <= ap_CS_fsm(3); ap_CS_fsm_state5 <= ap_CS_fsm(4); ap_CS_fsm_state6 <= ap_CS_fsm(5); ap_done_assign_proc : process(ap_CS_fsm_state2, exitcond_fu_55_p2) begin if (((exitcond_fu_55_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1) begin if (((ap_start = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_ready_assign_proc : process(ap_CS_fsm_state2, exitcond_fu_55_p2) begin if (((exitcond_fu_55_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; d_address0_assign_proc : process(ap_CS_fsm_state3, ap_CS_fsm_state6, tmp_1_fu_67_p1, tmp_3_fu_86_p1) begin if ((ap_const_logic_1 = ap_CS_fsm_state6)) then d_address0 <= tmp_3_fu_86_p1(3 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state3)) then d_address0 <= tmp_1_fu_67_p1(3 - 1 downto 0); else d_address0 <= "XXX"; end if; end process; d_ce0_assign_proc : process(ap_CS_fsm_state3, ap_CS_fsm_state6) begin if (((ap_const_logic_1 = ap_CS_fsm_state6) or (ap_const_logic_1 = ap_CS_fsm_state3))) then d_ce0 <= ap_const_logic_1; else d_ce0 <= ap_const_logic_0; end if; end process; d_d0 <= tmp_2_reg_110; d_we0_assign_proc : process(ap_CS_fsm_state6) begin if ((ap_const_logic_1 = ap_CS_fsm_state6)) then d_we0 <= ap_const_logic_1; else d_we0 <= ap_const_logic_0; end if; end process; exitcond_fu_55_p2 <= "1" when (i_reg_43 = ap_const_lv3_4) else "0"; i_1_fu_61_p2 <= std_logic_vector(unsigned(i_reg_43) + unsigned(ap_const_lv3_1)); tmp_1_fu_67_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_1_reg_94),64)); tmp_2_fu_75_p2 <= std_logic_vector(unsigned(d_load_reg_105) + unsigned(acc)); tmp_3_fu_86_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_reg_43),64)); end behav;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1376.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s05b00x00p03n01i01376ent IS END c08s05b00x00p03n01i01376ent; ARCHITECTURE c08s05b00x00p03n01i01376arch OF c08s05b00x00p03n01i01376ent IS BEGIN TESTING: PROCESS type type1 is range 1 to 10; type type2 is range 1 to 10; variable v1 : type1 := 1; variable v2 : type2 := 1; BEGIN -- -- The following variable assignment is illegal and -- should generate a type mis-match error. -- v1 := v2; -- mismatched types assert FALSE report "***FAILED TEST: c08s05b00x00p03n01i01376 - Named variable and right-hand side expression type mismatched." severity ERROR; wait; END PROCESS TESTING; END c08s05b00x00p03n01i01376arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1376.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s05b00x00p03n01i01376ent IS END c08s05b00x00p03n01i01376ent; ARCHITECTURE c08s05b00x00p03n01i01376arch OF c08s05b00x00p03n01i01376ent IS BEGIN TESTING: PROCESS type type1 is range 1 to 10; type type2 is range 1 to 10; variable v1 : type1 := 1; variable v2 : type2 := 1; BEGIN -- -- The following variable assignment is illegal and -- should generate a type mis-match error. -- v1 := v2; -- mismatched types assert FALSE report "***FAILED TEST: c08s05b00x00p03n01i01376 - Named variable and right-hand side expression type mismatched." severity ERROR; wait; END PROCESS TESTING; END c08s05b00x00p03n01i01376arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1376.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s05b00x00p03n01i01376ent IS END c08s05b00x00p03n01i01376ent; ARCHITECTURE c08s05b00x00p03n01i01376arch OF c08s05b00x00p03n01i01376ent IS BEGIN TESTING: PROCESS type type1 is range 1 to 10; type type2 is range 1 to 10; variable v1 : type1 := 1; variable v2 : type2 := 1; BEGIN -- -- The following variable assignment is illegal and -- should generate a type mis-match error. -- v1 := v2; -- mismatched types assert FALSE report "***FAILED TEST: c08s05b00x00p03n01i01376 - Named variable and right-hand side expression type mismatched." severity ERROR; wait; END PROCESS TESTING; END c08s05b00x00p03n01i01376arch;
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used -- -- solely for design, simulation, implementation and creation of -- -- design files limited to Xilinx devices or technologies. Use -- -- with non-Xilinx devices or technologies is expressly prohibited -- -- and immediately terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- -- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- -- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- -- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- -- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- -- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- -- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- -- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- -- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- -- FOR A PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support -- -- appliances, devices, or systems. Use in such applications are -- -- expressly prohibited. -- -- -- -- (c) Copyright 1995-2009 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -- You must compile the wrapper file blk_mem_40K.vhd when simulating -- the core, blk_mem_40K. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off Library XilinxCoreLib; -- synthesis translate_on ENTITY blk_mem_40K IS port ( clka: IN std_logic; wea: IN std_logic_VECTOR(0 downto 0); addra: IN std_logic_VECTOR(15 downto 0); dina: IN std_logic_VECTOR(7 downto 0); douta: OUT std_logic_VECTOR(7 downto 0)); END blk_mem_40K; ARCHITECTURE blk_mem_40K_a OF blk_mem_40K IS -- synthesis translate_off component wrapped_blk_mem_40K port ( clka: IN std_logic; wea: IN std_logic_VECTOR(0 downto 0); addra: IN std_logic_VECTOR(15 downto 0); dina: IN std_logic_VECTOR(7 downto 0); douta: OUT std_logic_VECTOR(7 downto 0)); end component; -- Configuration specification for all : wrapped_blk_mem_40K use entity XilinxCoreLib.blk_mem_gen_v3_1(behavioral) generic map( c_has_regceb => 0, c_has_regcea => 0, c_mem_type => 0, c_rstram_b => 0, c_rstram_a => 0, c_has_injecterr => 0, c_rst_type => "SYNC", c_prim_type => 1, c_read_width_b => 8, c_initb_val => "0", c_family => "spartan3", c_read_width_a => 8, c_disable_warn_bhv_coll => 1, c_write_mode_b => "WRITE_FIRST", c_init_file_name => "blk_mem_40K.mif", c_write_mode_a => "WRITE_FIRST", c_mux_pipeline_stages => 0, c_has_mem_output_regs_b => 0, c_has_mem_output_regs_a => 0, c_load_init_file => 1, c_xdevicefamily => "spartan3e", c_write_depth_b => 40960, c_write_depth_a => 40960, c_has_rstb => 0, c_has_rsta => 0, c_has_mux_output_regs_b => 0, c_inita_val => "0", c_has_mux_output_regs_a => 0, c_addra_width => 16, c_addrb_width => 16, c_default_data => "0", c_use_ecc => 0, c_algorithm => 1, c_disable_warn_bhv_range => 1, c_write_width_b => 8, c_write_width_a => 8, c_read_depth_b => 40960, c_read_depth_a => 40960, c_byte_size => 9, c_sim_collision_check => "NONE", c_common_clk => 0, c_wea_width => 1, c_has_enb => 0, c_web_width => 1, c_has_ena => 0, c_use_byte_web => 0, c_use_byte_wea => 0, c_rst_priority_b => "CE", c_rst_priority_a => "CE", c_use_default_data => 1); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_blk_mem_40K port map ( clka => clka, wea => wea, addra => addra, dina => dina, douta => douta); -- synthesis translate_on END blk_mem_40K_a;
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used -- -- solely for design, simulation, implementation and creation of -- -- design files limited to Xilinx devices or technologies. Use -- -- with non-Xilinx devices or technologies is expressly prohibited -- -- and immediately terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- -- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- -- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- -- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- -- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- -- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- -- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- -- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- -- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- -- FOR A PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support -- -- appliances, devices, or systems. Use in such applications are -- -- expressly prohibited. -- -- -- -- (c) Copyright 1995-2009 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -- You must compile the wrapper file blk_mem_40K.vhd when simulating -- the core, blk_mem_40K. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off Library XilinxCoreLib; -- synthesis translate_on ENTITY blk_mem_40K IS port ( clka: IN std_logic; wea: IN std_logic_VECTOR(0 downto 0); addra: IN std_logic_VECTOR(15 downto 0); dina: IN std_logic_VECTOR(7 downto 0); douta: OUT std_logic_VECTOR(7 downto 0)); END blk_mem_40K; ARCHITECTURE blk_mem_40K_a OF blk_mem_40K IS -- synthesis translate_off component wrapped_blk_mem_40K port ( clka: IN std_logic; wea: IN std_logic_VECTOR(0 downto 0); addra: IN std_logic_VECTOR(15 downto 0); dina: IN std_logic_VECTOR(7 downto 0); douta: OUT std_logic_VECTOR(7 downto 0)); end component; -- Configuration specification for all : wrapped_blk_mem_40K use entity XilinxCoreLib.blk_mem_gen_v3_1(behavioral) generic map( c_has_regceb => 0, c_has_regcea => 0, c_mem_type => 0, c_rstram_b => 0, c_rstram_a => 0, c_has_injecterr => 0, c_rst_type => "SYNC", c_prim_type => 1, c_read_width_b => 8, c_initb_val => "0", c_family => "spartan3", c_read_width_a => 8, c_disable_warn_bhv_coll => 1, c_write_mode_b => "WRITE_FIRST", c_init_file_name => "blk_mem_40K.mif", c_write_mode_a => "WRITE_FIRST", c_mux_pipeline_stages => 0, c_has_mem_output_regs_b => 0, c_has_mem_output_regs_a => 0, c_load_init_file => 1, c_xdevicefamily => "spartan3e", c_write_depth_b => 40960, c_write_depth_a => 40960, c_has_rstb => 0, c_has_rsta => 0, c_has_mux_output_regs_b => 0, c_inita_val => "0", c_has_mux_output_regs_a => 0, c_addra_width => 16, c_addrb_width => 16, c_default_data => "0", c_use_ecc => 0, c_algorithm => 1, c_disable_warn_bhv_range => 1, c_write_width_b => 8, c_write_width_a => 8, c_read_depth_b => 40960, c_read_depth_a => 40960, c_byte_size => 9, c_sim_collision_check => "NONE", c_common_clk => 0, c_wea_width => 1, c_has_enb => 0, c_web_width => 1, c_has_ena => 0, c_use_byte_web => 0, c_use_byte_wea => 0, c_rst_priority_b => "CE", c_rst_priority_a => "CE", c_use_default_data => 1); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_blk_mem_40K port map ( clka => clka, wea => wea, addra => addra, dina => dina, douta => douta); -- synthesis translate_on END blk_mem_40K_a;
-- This file is part of Realtimestagram. -- -- Realtimestagram is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 2 of the License, or -- (at your option) any later version. -- -- Realtimestagram is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with Realtimestagram. If not, see <http://www.gnu.org/licenses/>. --! use standard library library ieee; --! use std_logic_vector use ieee.std_logic_1164.all; --! needed for colorscheme calculations use ieee.numeric_std.all; --! used for writing and reading images use std.textio.all; --! used only for calculation of constants use ieee.math_real.all; use work.image_io_pkg.all; use work.config_const_pkg.all; entity test_bench_driver_color is generic ( wordsize: integer; --! size of input pixel value in bits input_file: string; output_file: string; clk_period_ns: time := 2 ns; rst_after: time := 10 ns; rst_duration: time := 10 ns; --! Number of clk pulses of delay of a Device Under Test between input and output dut_delay: integer := 1; h_count_size: integer := integer(ceil(log2(real(const_imagewidth)))); v_count_size: integer := integer(ceil(log2(real(const_imageheight)))) ); port ( clk: out std_logic; --! completely clocked process rst: out std_logic; --! asynchronous reset enable: out std_logic; h_count: out std_logic_vector(h_count_size-1 downto 0) := (others => '0'); v_count: out std_logic_vector(v_count_size-1 downto 0) := (others => '0'); red_pixel_from_file: out std_logic_vector((wordsize-1) downto 0); --! the input pixel green_pixel_from_file: out std_logic_vector((wordsize-1) downto 0); --! the input pixel blue_pixel_from_file: out std_logic_vector((wordsize-1) downto 0); --! the input pixel red_pixel_to_file: in std_logic_vector((wordsize-1) downto 0); --! the output pixel green_pixel_to_file: in std_logic_vector((wordsize-1) downto 0); --! the output pixel blue_pixel_to_file: in std_logic_vector((wordsize-1) downto 0) --! the output pixel ); end entity; architecture behavioural of test_bench_driver_color is --===================signal declaration===================-- signal tb_clk: std_logic := '0'; signal tb_rst: std_logic := '0'; signal tb_enable: std_logic := '0'; signal tb_done: std_logic := '0'; signal dut_data_valid: std_logic := '0'; signal end_of_file: std_logic := '0'; signal red_pixel_tmp: std_logic_vector(wordsize-1 downto 0) := (others => '0'); signal green_pixel_tmp: std_logic_vector(wordsize-1 downto 0) := (others => '0'); signal blue_pixel_tmp: std_logic_vector(wordsize-1 downto 0) := (others => '0'); --===================file declaration===================-- --! File containing pixels for input of the testbench file file_input_pixel: text open read_mode is input_file; --! File used as output for the tesbench file file_output_pixel: text open write_mode is output_file; begin --===================rst===================-- tb_rst <= '0', '1' after rst_after, '0' after rst_after+rst_duration when (tb_done = '0'); rst <= tb_rst; --===================clock===================-- tb_clk <= not tb_clk after clk_period_ns when (tb_done = '0'); clk <= tb_clk; --=================== enable ===============-- enable <= tb_enable; --=================== release ===============-- release_process: process(tb_clk, tb_rst, end_of_file) variable pre_delay_count : integer := dut_delay; variable post_delay_count : integer := dut_delay - 1; begin if rising_edge(tb_clk) then if tb_rst = '1' then tb_enable <= '1'; -- enable tb end if; if tb_enable = '1' and tb_rst = '0' then if pre_delay_count > 0 then pre_delay_count := pre_delay_count - 1; else dut_data_valid <= '1'; end if; end if; if end_of_file = '1' or post_delay_count < dut_delay-1 then if post_delay_count > 0 then post_delay_count := post_delay_count - 1; else tb_enable <= '0'; tb_done <= '1'; end if; end if; end if; end process; --===================process for reading input_pixels ===============-- reading_input_pixels: process(tb_clk) constant pgm_width : integer := const_imagewidth; constant pgm_height : integer := const_imageheight; constant max_pixel_value : integer := 2**wordsize-1; variable readheader: std_logic := '1'; begin red_pixel_from_file <= red_pixel_tmp; green_pixel_from_file <= green_pixel_tmp; blue_pixel_from_file <= blue_pixel_tmp; if rising_edge(tb_clk) then if readheader = '1' then read_pbmplus_header( pgm_width, pgm_height, max_pixel_value, ppm, file_input_pixel ); readheader := '0'; end if; if tb_rst = '0' then if tb_enable = '1' and end_of_file = '0' then read_rgb_pixel(file_input_pixel, red_pixel_tmp, green_pixel_tmp, blue_pixel_tmp, end_of_file); end if; end if; end if; end process; --===================process for writing output ===================================-- writing_output_file: process( tb_clk ) constant pgm_width : integer := const_imagewidth; constant pgm_height : integer := const_imageheight; constant max_pixel_value : integer := 2**wordsize-1; variable writeheader: std_logic := '1'; variable r_val: integer := 0; variable g_val: integer := 0; variable b_val: integer := 0; begin if rising_edge(tb_clk) then if writeheader = '1' then write_pbmplus_header( pgm_width, pgm_height, max_pixel_value, ppm, file_output_pixel ); writeheader := '0'; end if; if tb_enable = '1' and tb_rst = '0' and dut_data_valid = '1' then -- write output image r_val := to_integer(unsigned(red_pixel_to_file)); g_val := to_integer(unsigned(green_pixel_to_file)); b_val := to_integer(unsigned(blue_pixel_to_file)); write_rgb_pixel( r_val, g_val, b_val, file_output_pixel); end if; end if; end process; --=================== process for pixel counts ===================================-- h_and_v_counters: process( tb_clk ) constant pgm_width : integer := const_imagewidth; constant pgm_height : integer := const_imageheight; variable h_count_var : integer range 0 to const_imagewidth := 0; variable v_count_var : integer range 0 to const_imageheight := 0; begin if rising_edge(tb_clk) then if tb_enable = '1' and tb_rst = '0' then if h_count_var < const_imagewidth-1 then h_count_var := h_count_var + 1; else h_count_var := 0; if v_count_var < const_imageheight-1 then v_count_var := v_count_var + 1; else v_count_var := 0; end if; end if; h_count <= std_logic_vector(to_unsigned(h_count_var, h_count_size)); v_count <= std_logic_vector(to_unsigned(v_count_var, v_count_size)); end if; end if; end process; end architecture;
-- This file is part of Realtimestagram. -- -- Realtimestagram is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 2 of the License, or -- (at your option) any later version. -- -- Realtimestagram is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with Realtimestagram. If not, see <http://www.gnu.org/licenses/>. --! use standard library library ieee; --! use std_logic_vector use ieee.std_logic_1164.all; --! needed for colorscheme calculations use ieee.numeric_std.all; --! used for writing and reading images use std.textio.all; --! used only for calculation of constants use ieee.math_real.all; use work.image_io_pkg.all; use work.config_const_pkg.all; entity test_bench_driver_color is generic ( wordsize: integer; --! size of input pixel value in bits input_file: string; output_file: string; clk_period_ns: time := 2 ns; rst_after: time := 10 ns; rst_duration: time := 10 ns; --! Number of clk pulses of delay of a Device Under Test between input and output dut_delay: integer := 1; h_count_size: integer := integer(ceil(log2(real(const_imagewidth)))); v_count_size: integer := integer(ceil(log2(real(const_imageheight)))) ); port ( clk: out std_logic; --! completely clocked process rst: out std_logic; --! asynchronous reset enable: out std_logic; h_count: out std_logic_vector(h_count_size-1 downto 0) := (others => '0'); v_count: out std_logic_vector(v_count_size-1 downto 0) := (others => '0'); red_pixel_from_file: out std_logic_vector((wordsize-1) downto 0); --! the input pixel green_pixel_from_file: out std_logic_vector((wordsize-1) downto 0); --! the input pixel blue_pixel_from_file: out std_logic_vector((wordsize-1) downto 0); --! the input pixel red_pixel_to_file: in std_logic_vector((wordsize-1) downto 0); --! the output pixel green_pixel_to_file: in std_logic_vector((wordsize-1) downto 0); --! the output pixel blue_pixel_to_file: in std_logic_vector((wordsize-1) downto 0) --! the output pixel ); end entity; architecture behavioural of test_bench_driver_color is --===================signal declaration===================-- signal tb_clk: std_logic := '0'; signal tb_rst: std_logic := '0'; signal tb_enable: std_logic := '0'; signal tb_done: std_logic := '0'; signal dut_data_valid: std_logic := '0'; signal end_of_file: std_logic := '0'; signal red_pixel_tmp: std_logic_vector(wordsize-1 downto 0) := (others => '0'); signal green_pixel_tmp: std_logic_vector(wordsize-1 downto 0) := (others => '0'); signal blue_pixel_tmp: std_logic_vector(wordsize-1 downto 0) := (others => '0'); --===================file declaration===================-- --! File containing pixels for input of the testbench file file_input_pixel: text open read_mode is input_file; --! File used as output for the tesbench file file_output_pixel: text open write_mode is output_file; begin --===================rst===================-- tb_rst <= '0', '1' after rst_after, '0' after rst_after+rst_duration when (tb_done = '0'); rst <= tb_rst; --===================clock===================-- tb_clk <= not tb_clk after clk_period_ns when (tb_done = '0'); clk <= tb_clk; --=================== enable ===============-- enable <= tb_enable; --=================== release ===============-- release_process: process(tb_clk, tb_rst, end_of_file) variable pre_delay_count : integer := dut_delay; variable post_delay_count : integer := dut_delay - 1; begin if rising_edge(tb_clk) then if tb_rst = '1' then tb_enable <= '1'; -- enable tb end if; if tb_enable = '1' and tb_rst = '0' then if pre_delay_count > 0 then pre_delay_count := pre_delay_count - 1; else dut_data_valid <= '1'; end if; end if; if end_of_file = '1' or post_delay_count < dut_delay-1 then if post_delay_count > 0 then post_delay_count := post_delay_count - 1; else tb_enable <= '0'; tb_done <= '1'; end if; end if; end if; end process; --===================process for reading input_pixels ===============-- reading_input_pixels: process(tb_clk) constant pgm_width : integer := const_imagewidth; constant pgm_height : integer := const_imageheight; constant max_pixel_value : integer := 2**wordsize-1; variable readheader: std_logic := '1'; begin red_pixel_from_file <= red_pixel_tmp; green_pixel_from_file <= green_pixel_tmp; blue_pixel_from_file <= blue_pixel_tmp; if rising_edge(tb_clk) then if readheader = '1' then read_pbmplus_header( pgm_width, pgm_height, max_pixel_value, ppm, file_input_pixel ); readheader := '0'; end if; if tb_rst = '0' then if tb_enable = '1' and end_of_file = '0' then read_rgb_pixel(file_input_pixel, red_pixel_tmp, green_pixel_tmp, blue_pixel_tmp, end_of_file); end if; end if; end if; end process; --===================process for writing output ===================================-- writing_output_file: process( tb_clk ) constant pgm_width : integer := const_imagewidth; constant pgm_height : integer := const_imageheight; constant max_pixel_value : integer := 2**wordsize-1; variable writeheader: std_logic := '1'; variable r_val: integer := 0; variable g_val: integer := 0; variable b_val: integer := 0; begin if rising_edge(tb_clk) then if writeheader = '1' then write_pbmplus_header( pgm_width, pgm_height, max_pixel_value, ppm, file_output_pixel ); writeheader := '0'; end if; if tb_enable = '1' and tb_rst = '0' and dut_data_valid = '1' then -- write output image r_val := to_integer(unsigned(red_pixel_to_file)); g_val := to_integer(unsigned(green_pixel_to_file)); b_val := to_integer(unsigned(blue_pixel_to_file)); write_rgb_pixel( r_val, g_val, b_val, file_output_pixel); end if; end if; end process; --=================== process for pixel counts ===================================-- h_and_v_counters: process( tb_clk ) constant pgm_width : integer := const_imagewidth; constant pgm_height : integer := const_imageheight; variable h_count_var : integer range 0 to const_imagewidth := 0; variable v_count_var : integer range 0 to const_imageheight := 0; begin if rising_edge(tb_clk) then if tb_enable = '1' and tb_rst = '0' then if h_count_var < const_imagewidth-1 then h_count_var := h_count_var + 1; else h_count_var := 0; if v_count_var < const_imageheight-1 then v_count_var := v_count_var + 1; else v_count_var := 0; end if; end if; h_count <= std_logic_vector(to_unsigned(h_count_var, h_count_size)); v_count <= std_logic_vector(to_unsigned(v_count_var, v_count_size)); end if; end if; end process; end architecture;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA architecture arch of ent is type t is . . .; signal s : t; procedure p1 ( . . . ) is variable v1 : t; begin v1 := s; end procedure p1; begin -- arch proc1 : process is variable v2 : t; procedure p2 ( . . . ) is variable v3 : t; begin p1 ( v2, v3, . . . ); end procedure p2; begin -- proc1 p2 ( v2, . . . ); end process proc1; proc2 : process is . . . begin -- proc2 p1 ( . . . ); end process proc2; end architecture arch;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA architecture arch of ent is type t is . . .; signal s : t; procedure p1 ( . . . ) is variable v1 : t; begin v1 := s; end procedure p1; begin -- arch proc1 : process is variable v2 : t; procedure p2 ( . . . ) is variable v3 : t; begin p1 ( v2, v3, . . . ); end procedure p2; begin -- proc1 p2 ( v2, . . . ); end process proc1; proc2 : process is . . . begin -- proc2 p1 ( . . . ); end process proc2; end architecture arch;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA architecture arch of ent is type t is . . .; signal s : t; procedure p1 ( . . . ) is variable v1 : t; begin v1 := s; end procedure p1; begin -- arch proc1 : process is variable v2 : t; procedure p2 ( . . . ) is variable v3 : t; begin p1 ( v2, v3, . . . ); end procedure p2; begin -- proc1 p2 ( v2, . . . ); end process proc1; proc2 : process is . . . begin -- proc2 p1 ( . . . ); end process proc2; end architecture arch;
entity nest is constant c : natural := 1; end nest; architecture behav of nest is -- Not valid in vhdl-02. signal c : boolean; begin end behav;
library ieee; use ieee.std_logic_1164.all; entity child is port ( x : in std_logic; y : out std_logic ); end; architecture a of child is begin y <= x; end; ----------------------------------- library ieee; use ieee.std_logic_1164.all; entity ent is port ( a : in std_logic; b : in std_logic; q : out std_logic ); end; architecture a of ent is component child_comp is port ( x : in std_logic; y : out std_logic ); end component; begin child_inst: child_comp port map ( x => a and b, y => q ); end; ----------------------------------- configuration conf of ent is for a for child_inst : child_comp use entity work.child; end for; end for; end configuration;
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2004, Gideon's Logic Architectures -- ------------------------------------------------------------------------------- -- Title : token_crc.vhd ------------------------------------------------------------------------------- -- File : token_crc.vhd -- Author : Gideon Zweijtzer <[email protected]> ------------------------------------------------------------------------------- -- Description: This file is used to calculate the CRC over a USB token ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity token_crc is port ( clock : in std_logic; token_in : in std_logic_vector(10 downto 0); crc : out std_logic_vector(4 downto 0) ); end token_crc; architecture Gideon of token_crc is -- CRC-5 = x5 + x2 + 1 constant polynom : std_logic_vector(4 downto 0) := "00101"; begin process(clock) variable tmp : std_logic_vector(crc'range); variable d : std_logic; begin if rising_edge(clock) then tmp := (others => '1'); for i in token_in'reverse_range loop -- LSB first! d := tmp(tmp'high) xor token_in(i); -- loop xor tmp := tmp(tmp'high-1 downto 0) & '0'; -- cyclic shift if d = '1' then -- if highest bit was '1' then apply polynom tmp := tmp xor polynom; end if; tmp(0) := d; end loop; for i in tmp'range loop -- reverse crc(crc'high-i) <= not tmp(i); end loop; end if; end process; end Gideon;
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2004, Gideon's Logic Architectures -- ------------------------------------------------------------------------------- -- Title : token_crc.vhd ------------------------------------------------------------------------------- -- File : token_crc.vhd -- Author : Gideon Zweijtzer <[email protected]> ------------------------------------------------------------------------------- -- Description: This file is used to calculate the CRC over a USB token ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity token_crc is port ( clock : in std_logic; token_in : in std_logic_vector(10 downto 0); crc : out std_logic_vector(4 downto 0) ); end token_crc; architecture Gideon of token_crc is -- CRC-5 = x5 + x2 + 1 constant polynom : std_logic_vector(4 downto 0) := "00101"; begin process(clock) variable tmp : std_logic_vector(crc'range); variable d : std_logic; begin if rising_edge(clock) then tmp := (others => '1'); for i in token_in'reverse_range loop -- LSB first! d := tmp(tmp'high) xor token_in(i); -- loop xor tmp := tmp(tmp'high-1 downto 0) & '0'; -- cyclic shift if d = '1' then -- if highest bit was '1' then apply polynom tmp := tmp xor polynom; end if; tmp(0) := d; end loop; for i in tmp'range loop -- reverse crc(crc'high-i) <= not tmp(i); end loop; end if; end process; end Gideon;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc466.vhd,v 1.2 2001-10-26 16:29:54 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY model IS PORT ( F1: OUT integer := 3; F2: INOUT integer := 3; F3: IN integer ); END model; architecture model of model is begin process begin wait for 1 ns; assert F3= 3 report"wrong initialization of F3 through type conversion" severity failure; assert F2 = 3 report"wrong initialization of F2 through type conversion" severity failure; wait; end process; end; ENTITY c03s02b01x01p19n01i00466ent IS END c03s02b01x01p19n01i00466ent; ARCHITECTURE c03s02b01x01p19n01i00466arch OF c03s02b01x01p19n01i00466ent IS constant low_number : integer := 0; constant hi_number : integer := 7; subtype hi_to_low_range is integer range low_number to hi_number; type integer_vector is array (natural range <>) of integer; subtype integer_vector_range is integer_vector(hi_to_low_range); constant C66: integer_vector_range := (others => 3); function complex_scalar(s : integer_vector_range) return integer is begin return 3; end complex_scalar; function scalar_complex(s : integer) return integer_vector_range is begin return C66; end scalar_complex; component model1 PORT ( F1: OUT integer; F2: INOUT integer; F3: IN integer ); end component; for T1 : model1 use entity work.model(model); signal S1 : integer_vector_range; signal S2 : integer_vector_range; signal S3 : integer_vector_range:= C66; BEGIN T1: model1 port map ( scalar_complex(F1) => S1, scalar_complex(F2) => complex_scalar(S2), F3 => complex_scalar(S3) ); TESTING: PROCESS BEGIN wait for 1 ns; assert NOT((S1 = C66) and (S2 = C66)) report "***PASSED TEST: c03s02b01x01p19n01i00466" severity NOTE; assert ((S1 = C66) and (S2 = C66)) report "***FAILED TEST: c03s02b01x01p19n01i00466 - For an interface object of mode out, buffer, inout, or linkage, if the formal part includes a type conversion function, then the parameter subtype of that function must be a constrained array subtype." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x01p19n01i00466arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc466.vhd,v 1.2 2001-10-26 16:29:54 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY model IS PORT ( F1: OUT integer := 3; F2: INOUT integer := 3; F3: IN integer ); END model; architecture model of model is begin process begin wait for 1 ns; assert F3= 3 report"wrong initialization of F3 through type conversion" severity failure; assert F2 = 3 report"wrong initialization of F2 through type conversion" severity failure; wait; end process; end; ENTITY c03s02b01x01p19n01i00466ent IS END c03s02b01x01p19n01i00466ent; ARCHITECTURE c03s02b01x01p19n01i00466arch OF c03s02b01x01p19n01i00466ent IS constant low_number : integer := 0; constant hi_number : integer := 7; subtype hi_to_low_range is integer range low_number to hi_number; type integer_vector is array (natural range <>) of integer; subtype integer_vector_range is integer_vector(hi_to_low_range); constant C66: integer_vector_range := (others => 3); function complex_scalar(s : integer_vector_range) return integer is begin return 3; end complex_scalar; function scalar_complex(s : integer) return integer_vector_range is begin return C66; end scalar_complex; component model1 PORT ( F1: OUT integer; F2: INOUT integer; F3: IN integer ); end component; for T1 : model1 use entity work.model(model); signal S1 : integer_vector_range; signal S2 : integer_vector_range; signal S3 : integer_vector_range:= C66; BEGIN T1: model1 port map ( scalar_complex(F1) => S1, scalar_complex(F2) => complex_scalar(S2), F3 => complex_scalar(S3) ); TESTING: PROCESS BEGIN wait for 1 ns; assert NOT((S1 = C66) and (S2 = C66)) report "***PASSED TEST: c03s02b01x01p19n01i00466" severity NOTE; assert ((S1 = C66) and (S2 = C66)) report "***FAILED TEST: c03s02b01x01p19n01i00466 - For an interface object of mode out, buffer, inout, or linkage, if the formal part includes a type conversion function, then the parameter subtype of that function must be a constrained array subtype." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x01p19n01i00466arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc466.vhd,v 1.2 2001-10-26 16:29:54 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY model IS PORT ( F1: OUT integer := 3; F2: INOUT integer := 3; F3: IN integer ); END model; architecture model of model is begin process begin wait for 1 ns; assert F3= 3 report"wrong initialization of F3 through type conversion" severity failure; assert F2 = 3 report"wrong initialization of F2 through type conversion" severity failure; wait; end process; end; ENTITY c03s02b01x01p19n01i00466ent IS END c03s02b01x01p19n01i00466ent; ARCHITECTURE c03s02b01x01p19n01i00466arch OF c03s02b01x01p19n01i00466ent IS constant low_number : integer := 0; constant hi_number : integer := 7; subtype hi_to_low_range is integer range low_number to hi_number; type integer_vector is array (natural range <>) of integer; subtype integer_vector_range is integer_vector(hi_to_low_range); constant C66: integer_vector_range := (others => 3); function complex_scalar(s : integer_vector_range) return integer is begin return 3; end complex_scalar; function scalar_complex(s : integer) return integer_vector_range is begin return C66; end scalar_complex; component model1 PORT ( F1: OUT integer; F2: INOUT integer; F3: IN integer ); end component; for T1 : model1 use entity work.model(model); signal S1 : integer_vector_range; signal S2 : integer_vector_range; signal S3 : integer_vector_range:= C66; BEGIN T1: model1 port map ( scalar_complex(F1) => S1, scalar_complex(F2) => complex_scalar(S2), F3 => complex_scalar(S3) ); TESTING: PROCESS BEGIN wait for 1 ns; assert NOT((S1 = C66) and (S2 = C66)) report "***PASSED TEST: c03s02b01x01p19n01i00466" severity NOTE; assert ((S1 = C66) and (S2 = C66)) report "***FAILED TEST: c03s02b01x01p19n01i00466 - For an interface object of mode out, buffer, inout, or linkage, if the formal part includes a type conversion function, then the parameter subtype of that function must be a constrained array subtype." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x01p19n01i00466arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc928.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c10s04b00x00p01n01i00928pkg is -- It is OK to define a type that overrides the name of a library type work is (foo, bar); -- No_failure_here end c10s04b00x00p01n01i00928pkg; use work.c10s04b00x00p01n01i00928pkg.all; ENTITY c10s04b00x00p01n01i00928ent IS port (P : in bit); END c10s04b00x00p01n01i00928ent; ARCHITECTURE c10s04b00x00p01n01i00928arch OF c10s04b00x00p01n01i00928ent IS BEGIN TESTING: PROCESS(P) -- This is an error because the type work defined in work.c10s04b00x00p01n01i00928pkg is -- NOT directly visible, it is overridden by library "work" variable doit : work ; -- Failure_here BEGIN assert FALSE report "***FAILED TEST: c10s04b00x00p01n01i00928 - Type definition for 'work' does not exist in scope of declaration region for architecture 'blow2' of 'E'." severity ERROR; END PROCESS TESTING; END c10s04b00x00p01n01i00928arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc928.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c10s04b00x00p01n01i00928pkg is -- It is OK to define a type that overrides the name of a library type work is (foo, bar); -- No_failure_here end c10s04b00x00p01n01i00928pkg; use work.c10s04b00x00p01n01i00928pkg.all; ENTITY c10s04b00x00p01n01i00928ent IS port (P : in bit); END c10s04b00x00p01n01i00928ent; ARCHITECTURE c10s04b00x00p01n01i00928arch OF c10s04b00x00p01n01i00928ent IS BEGIN TESTING: PROCESS(P) -- This is an error because the type work defined in work.c10s04b00x00p01n01i00928pkg is -- NOT directly visible, it is overridden by library "work" variable doit : work ; -- Failure_here BEGIN assert FALSE report "***FAILED TEST: c10s04b00x00p01n01i00928 - Type definition for 'work' does not exist in scope of declaration region for architecture 'blow2' of 'E'." severity ERROR; END PROCESS TESTING; END c10s04b00x00p01n01i00928arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc928.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c10s04b00x00p01n01i00928pkg is -- It is OK to define a type that overrides the name of a library type work is (foo, bar); -- No_failure_here end c10s04b00x00p01n01i00928pkg; use work.c10s04b00x00p01n01i00928pkg.all; ENTITY c10s04b00x00p01n01i00928ent IS port (P : in bit); END c10s04b00x00p01n01i00928ent; ARCHITECTURE c10s04b00x00p01n01i00928arch OF c10s04b00x00p01n01i00928ent IS BEGIN TESTING: PROCESS(P) -- This is an error because the type work defined in work.c10s04b00x00p01n01i00928pkg is -- NOT directly visible, it is overridden by library "work" variable doit : work ; -- Failure_here BEGIN assert FALSE report "***FAILED TEST: c10s04b00x00p01n01i00928 - Type definition for 'work' does not exist in scope of declaration region for architecture 'blow2' of 'E'." severity ERROR; END PROCESS TESTING; END c10s04b00x00p01n01i00928arch;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.electrical_systems.all; entity quad_opamp is port ( terminal plus_in, minus_in, output : electrical_vector(1 to 4) ); end entity quad_opamp; ---------------------------------------------------------------- architecture slew_limited of quad_opamp is constant gain : real := 50.0; quantity v_in across plus_in to minus_in; quantity v_out across i_out through output; quantity v_amplified : real_vector(1 to 4); begin v_amplified(1) == gain * v_in(1); v_amplified(2) == gain * v_in(2); v_amplified(3) == gain * v_in(3); v_amplified(4) == gain * v_in(4); real_vector(v_out) == v_amplified'slew(1.0e6,-1.0e6); end architecture slew_limited;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.electrical_systems.all; entity quad_opamp is port ( terminal plus_in, minus_in, output : electrical_vector(1 to 4) ); end entity quad_opamp; ---------------------------------------------------------------- architecture slew_limited of quad_opamp is constant gain : real := 50.0; quantity v_in across plus_in to minus_in; quantity v_out across i_out through output; quantity v_amplified : real_vector(1 to 4); begin v_amplified(1) == gain * v_in(1); v_amplified(2) == gain * v_in(2); v_amplified(3) == gain * v_in(3); v_amplified(4) == gain * v_in(4); real_vector(v_out) == v_amplified'slew(1.0e6,-1.0e6); end architecture slew_limited;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.electrical_systems.all; entity quad_opamp is port ( terminal plus_in, minus_in, output : electrical_vector(1 to 4) ); end entity quad_opamp; ---------------------------------------------------------------- architecture slew_limited of quad_opamp is constant gain : real := 50.0; quantity v_in across plus_in to minus_in; quantity v_out across i_out through output; quantity v_amplified : real_vector(1 to 4); begin v_amplified(1) == gain * v_in(1); v_amplified(2) == gain * v_in(2); v_amplified(3) == gain * v_in(3); v_amplified(4) == gain * v_in(4); real_vector(v_out) == v_amplified'slew(1.0e6,-1.0e6); end architecture slew_limited;