content
stringlengths
1
1.04M
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JtPucT+1uvXaBZ5bKQa6EQoSYdrCWeCFYJnc6Expf32anhgrsy/SPMoz3uuZimHlkSAJYfhvTh8O J+gGlNV7dQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ikdDCNUlYk+SdRAm0dSlZG02FZNzYnM0E5yE6LY0rxWNEhuEAnCuHUZi+XboGQYRCr1SzapEGgL5 3VJoc4mgET5H4AUcZDjMlIWBypv1ByqAkS/bjWswmuJCB2QDP5kaAjU9Ksk6KJVehrHihvkGYsQ/ ZnaA7V7b8siV0HQnmOo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block czoTUuPiRa4n+tNzCcrBHkkEvs9u/Fnxh1SWyEYAKzMSd7aAKhRAY/5x33qXt5auM4Y05tTQ8g6x 6jmDUpRvsGIP3L6JVHuiXtY4J2xWv7WClojIETrTJWRxbBoy3WWvzK+G9iARuGLc70a+y6DZgFEN 9vO/iDCXSud+Zjksg7ZnP0DvJVGX7bcWIQywUVmDVWhvLhH2DV//nxUp33w5ghztYgEtXEqri8co 0hzL/bH5lGkh9Fb5+k0J4a4hzungm74zq16Wi1J5SW1rXQK9FivDSAF+KaJ2So3mJrwPPzOrb7mJ NSqrN4+wf9mF3iDZNmkp3Xh8SsLOeUSv0NudSw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gQILIV+hkptsWt6fdUg/Tv9fikgtvc8qkiPKq/AZym5/4qVoRnV8eZGqWnqmUFz14SXkWe9EytXd bOiCEmqYckGmYBEMSWmNAc8LCBWvH/wu5Hkd/H9EX2S6Y0TXceJ4Hvze7vkRCAtPIJpeZ/aWrq2l NIcFxkw3WxED6Ol1uC0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LAL5H2kMQ3st1aKDhGeiNu9aqFGHD9nH16up6GUE0lagbws41h5bnJ75+nA1NJZ59Y4KtKILiyZN 3pvkit9wZN39qzRT/6Noc/UjajFx82jH51OpSehTmlmsso0OftbXoxcDY4Lkquvl/NaubYK8YWuG YfKIL8eAUIQl8IkDtrNYoCQiL+/33/7HpRGsziowx2+JVzPFSyrxYGCVGsFyeE9uYRFcrhh1UPyI LQcGzRQ04RcCUvR1hgP1ikCE0wsyE2BfccqCnwngA+s6WpfscAlWZo6IM+tqmXx0HxQXysjPahKj WouMtp7yz2BBI56yKQnsU/EIj0IIr4gtUB4EuQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5088) `protect data_block 20Wp+2QKadOvGqCATihtGfvpEfXMN5fdv5oifcAWye/X4M+wzrRT8MD/05Axw3gAxVF4Di/OreZL wksVfRvweljrp45tXLSmABvO7F//prybRaMY2G6DPa+N/9okHXtsLWISiNqCbbwP6rI62wrbtYY8 RLcglG0ITXr1A/HDKSGbpbPTz4SpepdzZpUKVv3ghLgoNh70qritXrmJG/sRucPIhPzWp7sGY/aR ojS56IaHrkOTPKiDqTkhAULxz4buyZJaF9z0uKvqRGDW6RTYQiQTCb5u49zq8e6sAmzUmboW6tYZ Ium80gJYBYg78i1C0K/Yhyj1OIZ/fpSkl8vigv+pb0D8+pEhIsMueQCtnuIkCXPSW+CHpsiLwsXc mvuyAKpjYIj783A1T8UrPzM7Z4JnxTzckS3LmUp0eYDcodRifP+VH717WzSk12x7fPrsx5Pa7DGr nSKSs64LlW5JKR+DE3E7ptPFDN48VQrblgGLyQEKXRtY4d8v/tGYsVl7HJBChRBGev4wbLv7lYw1 CPpOcaIfT2FOPq7hQ3TIUAdgvBIkIvFZ8e/gcrRjURjYTgcjvXPl0gCYdouiQokgWLuOqMe6hLhP Idl75FrS1mrouN+hHi860A4XAE/oo2JuBYxFYqgDTphgRDZK6FRhEiL0Js/XDJZ5O8bqvQ6359R+ covhTuu9g8Km8iexuhy/YiNri8QhplY6wPNxYFAQOd2UqYx9xE65izqwcFp5D1JqrUlk41WLGnb6 349F2pjgd6c1J3jGZRAAtVzIgjND0Y0pJqYEXoicM+qKBOBAY2LylvoBcIOYsWdx1zxtUQjNh0SY FmogKQJJtEJgKDpd+nVoW7/6qbzhbxcO1La7KlWGUHqXYA1m/785Q4u8QldVR0m5WhvLZ0qYdfyZ BStUfkF8MNGRpvTwmhWnPXT+Mx775JAxNnnXx1vtvV11QtkoMeLaSN4OZp32NQNZUpP6cfXA5WfD xpABJKLzcCr1/rPeUMXPU9gohqMGkj6e13YYkQNURv9MR9OHiRLRyyOjjn0wG91elmHwpGEOuTjE abYDajhEWA6pGWvfzKiVldm5+bPc+nv/7HOo0X2J7maGZExMttVa3bScCHBJtEU8rTbkX+uYkWBB D0JcHWS0awDMgYir6McEfOHPGBWA7mooNAexVROkGpIoiqi2cCnhbjWQhi3ZCFLVFgoMUko2PJXo xNUIqxzbz0ByT71G/8x+T/GKmAI761mqj6NgEc1O18yx77qtZesf6h5/+BFY5hsLl8jdnCvxg6Uw jGHGcARUYXqnbJCiAaRfSy3LuUj5t9t3uilImHHZZXY/PKgW0L66ROCZiSAKerSbBfvHj6q/c5GC KY0LxxZY9b2Cy4gTQHGfd2Nn8+3cmpDSN9bof4/j8QiHwoM8niOhyzGKQ2pUhTu6k9nBmkjgxcLx c8OX2s5VlI3Xp8hpx/BGd1JOmodAKs75chp1KEcnAYIKjmZzDT8wo/3LOBZ0eUPjLF1PxoNAxADP 9qnicNYImB+392A7VWLuJDMWuWh2wz2m7n2Ax0DOxdVjS9Tilon5ZU2rv10Sc3PLkQTo/03I1F/R EMJq9/jOvdpWkBTSPNVD9TNtnUcrfgAyuJkjncgRGg3Gf0mlXKtkDahIajKJDdDUCanlYS9f5N1Y QZGfUJlUjLEr22gcS3fRv5kKoy3yPck2oIlEuRAg0skOJ+Wx3yz5rWtZbOcrf0Qt8Xvalg68/r4W s7oqMwp6Xt0R0uJgWvZw9vz+AU8Bsa5hy0oaXrOu+SBuoO3umD3gBZ2rgjkP3OA0MSeokrAY7a9q rMC254aFmPSHLQzkErT8Q38MsPxCHmmywDQ1yH9QWORmHbtJ7zJ+i33ytTxqHRiJZHYcnEF71cvg cz8NrCUAxSozsQzBULP0TIIexmYOF+lIGG485DXxiNsQdH2QUGUZ3LvYqlWSHe9mc7/qr8Qk93Cu wZR11rNMFr4APHurjEs7U0wKkowQ9QzHYkNnUrEJJcwe9C7Kuqw+W5wDhSpT1JTqPR8A67WxNtA8 QsywSFKQtQSEA471PzDIgeeXPUJp6HMwSk/2YlL3wvLN0mmCYRbZtCrjAdTmRUl/wCmiOKQC4rkT ydGuxaj0VxSFy3OOAFBqfOGGlqqAglxseEI1/xjktnQgysBXwWTXt3Pq1VdFbjcRaLdUaaT7tuL0 uAo/WBQt0itQ9I0DMUOgpwf3nyxoXQ6qYini/zc39mNQrxC5jZHmMYb1Z6HU2/z3rWC/gqIs79d4 qenDr3FxnAmGmkO0pW2zt5e6VlAm0ef8GNVFmSs2N/iqv+ixfVXTy8oNQQRLAOpTXkKmRpH7RH+j bD8HRtEW5tmv89jt4iWc1VtX1ab+rKHz2X/mRSw8UU5Sp3+rX7TYUc8H8+KY0r9vaBDicWyztbRB Qz60+S4+eFnG1IQkmfKkKcrZr7u/S4Mui83vj0A+47Pb60asMLOdfHm5aHbH8fwkBXzS0J6eO8SO 5HYuhs+t2YOqAf+uBFzo3+uacfONw3GAfLEEWvGxCY+9HUQ2eH2SyOWvZkudO0UwfEeB6POHlCZA MH1u5vcssOa3/ptlOwrVq0WQkIbuJWP8RGYoqVQ2jTIx5X9Sc/KkEA9XVORHkfWELnmgIBRuzb9B IRFQusBY9cgPniKuME1JvH005Kt24jNtcpeRLVNAtU7pK2iyCnTT2BjtZ3+cKepKDUCXcQBm3Pg9 kq7aQh/xhFs779xwMQ3HH3Dm7rXZXN90B5zefFwCRbfOeRWhHj6O/D0RUANGggoew88pQGGgYr8Z +RMUXM3ekZ+ga4B8tsS11/Dbz7Oqt4MdZp1CW1AN+d1NqM07T9gLusob1Va3cstrgCt3WUyL2rAc keio8v2EEfICHxv1j/iZmKA3FLkz7vrBY4TpNFlDnBpUgUINIt9EuTL++HecYjEw4UsIenjeCCh0 /lS4ldsbxGG74bs7lsBWSAOu+BTdE5vgzkwHgvQE13G5+KT4W2r5XZMZPhMShBobLe3vKmuy/dAx nrTU/HGubgFCHIzop2zPqa9FYnQj6CC6srtN8rgD0X9hMPGak8N9y9VUU7EKyPmnaEawbEuCCyLP QYl8KHD1Na9tNPOHx1rvX+/Al9+ML41xbhA5q3hAg03cmYyOyBJ+EYgvzGPeZdGlAs1+dgCXKNc1 /rxFK4kgX+dyy7NBalcsW5Oyn/gIcSVbWDCctzgEMtGdoOYvnr5j7D3DfHNF30e1x8PPY/7660Mn qyr+Ssn8wK5jdIp7ewU2TEPQEovcSLBhs/bEBX/uW2rQnyqXE9A33E40u2ADcDvGWQJJ3afQRrHF tx7or86qHp3Dv8VbpyU9ZpxCfZrEOPxPxGc3qV+grfrXFG70ziTn1Wsfu9OlXotLE8Nh3w3augyC kp/pCnmJ78uF11z0ywdQ8WlASdIfRG84Q0HkoccSVnTsHTWzPKvd1UyhdxSxhsn4LsL/L3FweqDf hiHMZOWpiUpjC/fr+oIm4QpX9lG7Y8nCdCJrMnKac+XaLg/iPMyvoBBvnqXz0cB8JyykzT6VK9vZ 6fY3trvOcWRnlHNu5MERKwIqpIOKwHtT61pSWKCDsE8WxvZBsbtbJjhcOgQ90Ewp3KcY4sQyOLPf Uiu4TXxu2Lsw+2Hn5l9pYoOXk4T0OOhtoBvclwg53jJZ/5YhieJu2digRvq1Ydq+ZAdFQZVU52Nk ZQy+uRiPGjp2qR/bBX0fkkTxU0PFin2XmyrF8rxXYvOQ7/0DJ7vVsWqJQtEkDLyDJk9as4WsXQsd AgcP5sqy5yf6L51lqSuEHxOiQcei+UGQ6HtCPGxKSpmdIrj+7zrNG8vdO6rrRHdYCNhqhKOkE9A5 IZzdUwx7wX8mLR6bePF0NxruGuqwY69ewKdw0pU1y7VXJx6Czuv6EJ0/JQxL34LhpfyJeanw5vVb rxGyyUC4yO8SLSyNWEdyavMVr5QqJR9FUtsi2u2Y9ldQyXi/1O8TwaH0qOIOQfpQDpkd8taddjIr d8O43D8iWk32fK7hsStazL0F/7SrR0I78GiheXy65Y97FBCnzwVQfc7SEIueSpDEkWwzeZBVUbsc TMeeTTSWgskmkbtWopsXxcKL5ye36RiqSXHrK8QOkVvvgIFmQBHiNMhiscBzh43ZzkuA3dqG2DzB oxpvQMZwvRb1qB9Yr4TwilYlthG9sFkPBwOWZZAbHq0npgEpjORJQQ584doPkoW4OWooVBQvjkNY KllXm6jhZctA1lAeMCp4FU0LuV5iEMWhGPHGw/GedNHTjAiH/whPlCS6hgLfEUwzxVflsTi7CCr1 VmMgtPG2bZ5NnIaBUlQ0/Qt23wdS+TcTZIVzeYhne7XnTmj0V8gHLJ8TtJnf1+FcJ9K5pvKuwSfI K4iGMEUaFUAkx3e7qL29wU16VTu7NkvgWbV8g7jbPA2xbSroA4bFA2gHpKpF/pvOAzTdNs+k2woI epJG2jFZmfwqTciTaDb4h6HwVQm7NZatuB2Plym1yFEs/SPyH9DIPGwPZQP2fGoeOI3wxwG6paMN YlSBclLs4pCKqnxGlO12UFEHDpVKikXE/266SXWtHkLkIVs4doLV5bx4RnYoa6eeoHrB04A+7F3F +Jc+ufmrv3mSGR6yKdtedLEzKpFSS6us3t75O7HWp+m6sOItRRZm+T49+cs4tk0k7d+3kRp/toIo XLoj3KVjGNfa3xKuzpym93QcNm1Dt5PzFttkKOs1vR3Vbv8BlWk7q5BOCZFzYa/8P2N0Cx7AtiPb RSvqFUZiGqycVvcmgF2xv7DKusKJZ4rqduBC9MqMzCfbesViyUMj/TllYUs2o+utbv0uikcxsG4p InlSLsr682yShT2Db9qD7/QmoAqZTOVf5oa2SZvAhKo0pDfmUjI7i7HMDoFQPZZRD37/tbynmh+J TSihw7XkRBCcoc2RJLKvhLIc8AH2gAAoQcLtE6+DJkSNL1qfT0bG3MskiVX8zJcwrpqnyXRAXIfh tXr7LPor1ii8JrA3AZkQTlp6Za3l7IrpYOZa69amtL5/bGS69ifEdrjHPp47Tf/V/cKyuogkvqED 5XRWJQQgOgX2HIWjJNrdYY0WCg4fsNUahWeM5NMArB93QpxLvf859lgccUeqqoPpX1v7Xi3wpsWf F0KmtP4ezl7d8vSaqvxwMsxaous+983qWfweLbbfLYIAemsxSb059gvcqBSIek4o8fQK51gJL8/7 +kIWZ6L6z5eV2tMzg19KTPPr/xC2a/z5XOY79UoclqvozCbUbQXdn9OQ8FVjmlcSh75Y9NCVQDca kf2Cr2b9ehSquHcWWqAs2iu83NR/MkWia/5D5oEl3jvRbSmfaUj3B1qvPp0kgKdP64cuWLM/La4N +5N3XXEl0WZaS7Fqbt419mm2/SAqWyNQwyO17ScZpo2/GhAdTSrPPeHpqHrtAPDZOXDaHy16yGJV 2glWvzkVhBqq9m51O+IU/2uEsDrBv02qz4IxDpTflfhyY35+Wd6emddH0Fg0lX/yYX1F8kzsgcJq pyr/71jj0C0eZxGVHoDHU7CR8ITFc2CXMg3CU2hdCwa47/Vnd6XcvyHVAjFow7ikr3odv/V6Nemc ORDcz79CFMLJ9OyOISOdJEbeIAGZDDCmeVYLreGtNQHsdUi73bG6dc7hTu3jz1UIKfiXMnwHT9cc 7/Cfs74H39UHySX98L1rBNRoWWbNz7KYVEGu1PdWdegNlDVWSe5KEfWtTZBMoVFhjURldJv4TB3R 9M1IMejgqhV+1abLqpReWkR4WrpMo90/fS7A0kDcdthyjq9Ltoa+/srFu+T0UVlZ5UtDofy+Ud5K oQq60+fAKxijgb4lJHlvgC8V+LwthCpCmNJgvBcjeNKhY1DNcbtnZ2+PN+ParkRhcMN6w/tFQOGt AvMQOjptvPUeTB/t0yDhcr79816GW2l3er85ZzqFxURp9Fe82phZ8jPgMT+rRM3ka3zcE5CLf56L FC9NkFJdvBQDOOyr/CgwLqECAj4zOnbdl5urfVTJPLcERTrNHnvANNdHiN0wtVYVIKcrPzEGyTvq EOyzmZXDwGcEcNOUVsTYdgZ+33pLtHsr2/AjJe1t8urivlc7IpoLfbK71crh+AP8VmY2Goox1EPq Xgo/kwXDi5JmzedaFSCgAQvx+6PZKmZtALK586lZtB9AVJapRBuzKedCICAE8PRZ0yZ1oe1iQjUj KICo9vXGJSUUc4vXjsEKXR4A8U5U8BOhpZFGI5ZOyVyCg9JkJfWffsuhxnLaDMfOxYnj127ehrjt sNBNpc8xUvrDn11mmpQ2jGKx1CaClBPc9hPFMJh93WOJReJJJMeVsDWaloOJn8UGa7V5YmmV+ZKS hMzX30qFP8zWTybCydMEKNNJ1xRA9/wJiCzD3wkKhoFUgX8mp0eZiyflbAlNzA8Xu8hh7f72Hbgd 2/Rk0/RrUIYJN4i/cQ/+JSxbvByxHCaiqQ+2e6eXHS5BcJqGqH2Lr3OtpN1wNxw9uXkRU5L1n7FI gcENUaBwA4fq2DUZDV3PIPYkhCKhem14XYFJEV0wYF4EMkne2HBXi7NLnXU8p8fY9iAEQ9+taDjv ypi2X0t9xOdQzlszVR6tNqnAvm44ou7JoQQwlC4zgAgQZ65QCm6rl9xUfVfg0BcmnHL6GWCSecIJ 5WsIpVEfRiUlg0XFjLH66JY06OJdXMh8uHQHNtysNqe+HquSptNStQlEcnzAncJT4AQu/gOSswy2 lIsm9cILrp5kcf+hSDby `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JtPucT+1uvXaBZ5bKQa6EQoSYdrCWeCFYJnc6Expf32anhgrsy/SPMoz3uuZimHlkSAJYfhvTh8O J+gGlNV7dQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ikdDCNUlYk+SdRAm0dSlZG02FZNzYnM0E5yE6LY0rxWNEhuEAnCuHUZi+XboGQYRCr1SzapEGgL5 3VJoc4mgET5H4AUcZDjMlIWBypv1ByqAkS/bjWswmuJCB2QDP5kaAjU9Ksk6KJVehrHihvkGYsQ/ ZnaA7V7b8siV0HQnmOo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block czoTUuPiRa4n+tNzCcrBHkkEvs9u/Fnxh1SWyEYAKzMSd7aAKhRAY/5x33qXt5auM4Y05tTQ8g6x 6jmDUpRvsGIP3L6JVHuiXtY4J2xWv7WClojIETrTJWRxbBoy3WWvzK+G9iARuGLc70a+y6DZgFEN 9vO/iDCXSud+Zjksg7ZnP0DvJVGX7bcWIQywUVmDVWhvLhH2DV//nxUp33w5ghztYgEtXEqri8co 0hzL/bH5lGkh9Fb5+k0J4a4hzungm74zq16Wi1J5SW1rXQK9FivDSAF+KaJ2So3mJrwPPzOrb7mJ NSqrN4+wf9mF3iDZNmkp3Xh8SsLOeUSv0NudSw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gQILIV+hkptsWt6fdUg/Tv9fikgtvc8qkiPKq/AZym5/4qVoRnV8eZGqWnqmUFz14SXkWe9EytXd bOiCEmqYckGmYBEMSWmNAc8LCBWvH/wu5Hkd/H9EX2S6Y0TXceJ4Hvze7vkRCAtPIJpeZ/aWrq2l NIcFxkw3WxED6Ol1uC0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LAL5H2kMQ3st1aKDhGeiNu9aqFGHD9nH16up6GUE0lagbws41h5bnJ75+nA1NJZ59Y4KtKILiyZN 3pvkit9wZN39qzRT/6Noc/UjajFx82jH51OpSehTmlmsso0OftbXoxcDY4Lkquvl/NaubYK8YWuG YfKIL8eAUIQl8IkDtrNYoCQiL+/33/7HpRGsziowx2+JVzPFSyrxYGCVGsFyeE9uYRFcrhh1UPyI LQcGzRQ04RcCUvR1hgP1ikCE0wsyE2BfccqCnwngA+s6WpfscAlWZo6IM+tqmXx0HxQXysjPahKj WouMtp7yz2BBI56yKQnsU/EIj0IIr4gtUB4EuQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5088) `protect data_block 20Wp+2QKadOvGqCATihtGfvpEfXMN5fdv5oifcAWye/X4M+wzrRT8MD/05Axw3gAxVF4Di/OreZL wksVfRvweljrp45tXLSmABvO7F//prybRaMY2G6DPa+N/9okHXtsLWISiNqCbbwP6rI62wrbtYY8 RLcglG0ITXr1A/HDKSGbpbPTz4SpepdzZpUKVv3ghLgoNh70qritXrmJG/sRucPIhPzWp7sGY/aR ojS56IaHrkOTPKiDqTkhAULxz4buyZJaF9z0uKvqRGDW6RTYQiQTCb5u49zq8e6sAmzUmboW6tYZ Ium80gJYBYg78i1C0K/Yhyj1OIZ/fpSkl8vigv+pb0D8+pEhIsMueQCtnuIkCXPSW+CHpsiLwsXc mvuyAKpjYIj783A1T8UrPzM7Z4JnxTzckS3LmUp0eYDcodRifP+VH717WzSk12x7fPrsx5Pa7DGr nSKSs64LlW5JKR+DE3E7ptPFDN48VQrblgGLyQEKXRtY4d8v/tGYsVl7HJBChRBGev4wbLv7lYw1 CPpOcaIfT2FOPq7hQ3TIUAdgvBIkIvFZ8e/gcrRjURjYTgcjvXPl0gCYdouiQokgWLuOqMe6hLhP Idl75FrS1mrouN+hHi860A4XAE/oo2JuBYxFYqgDTphgRDZK6FRhEiL0Js/XDJZ5O8bqvQ6359R+ covhTuu9g8Km8iexuhy/YiNri8QhplY6wPNxYFAQOd2UqYx9xE65izqwcFp5D1JqrUlk41WLGnb6 349F2pjgd6c1J3jGZRAAtVzIgjND0Y0pJqYEXoicM+qKBOBAY2LylvoBcIOYsWdx1zxtUQjNh0SY FmogKQJJtEJgKDpd+nVoW7/6qbzhbxcO1La7KlWGUHqXYA1m/785Q4u8QldVR0m5WhvLZ0qYdfyZ BStUfkF8MNGRpvTwmhWnPXT+Mx775JAxNnnXx1vtvV11QtkoMeLaSN4OZp32NQNZUpP6cfXA5WfD xpABJKLzcCr1/rPeUMXPU9gohqMGkj6e13YYkQNURv9MR9OHiRLRyyOjjn0wG91elmHwpGEOuTjE abYDajhEWA6pGWvfzKiVldm5+bPc+nv/7HOo0X2J7maGZExMttVa3bScCHBJtEU8rTbkX+uYkWBB D0JcHWS0awDMgYir6McEfOHPGBWA7mooNAexVROkGpIoiqi2cCnhbjWQhi3ZCFLVFgoMUko2PJXo xNUIqxzbz0ByT71G/8x+T/GKmAI761mqj6NgEc1O18yx77qtZesf6h5/+BFY5hsLl8jdnCvxg6Uw jGHGcARUYXqnbJCiAaRfSy3LuUj5t9t3uilImHHZZXY/PKgW0L66ROCZiSAKerSbBfvHj6q/c5GC KY0LxxZY9b2Cy4gTQHGfd2Nn8+3cmpDSN9bof4/j8QiHwoM8niOhyzGKQ2pUhTu6k9nBmkjgxcLx c8OX2s5VlI3Xp8hpx/BGd1JOmodAKs75chp1KEcnAYIKjmZzDT8wo/3LOBZ0eUPjLF1PxoNAxADP 9qnicNYImB+392A7VWLuJDMWuWh2wz2m7n2Ax0DOxdVjS9Tilon5ZU2rv10Sc3PLkQTo/03I1F/R EMJq9/jOvdpWkBTSPNVD9TNtnUcrfgAyuJkjncgRGg3Gf0mlXKtkDahIajKJDdDUCanlYS9f5N1Y QZGfUJlUjLEr22gcS3fRv5kKoy3yPck2oIlEuRAg0skOJ+Wx3yz5rWtZbOcrf0Qt8Xvalg68/r4W s7oqMwp6Xt0R0uJgWvZw9vz+AU8Bsa5hy0oaXrOu+SBuoO3umD3gBZ2rgjkP3OA0MSeokrAY7a9q rMC254aFmPSHLQzkErT8Q38MsPxCHmmywDQ1yH9QWORmHbtJ7zJ+i33ytTxqHRiJZHYcnEF71cvg cz8NrCUAxSozsQzBULP0TIIexmYOF+lIGG485DXxiNsQdH2QUGUZ3LvYqlWSHe9mc7/qr8Qk93Cu wZR11rNMFr4APHurjEs7U0wKkowQ9QzHYkNnUrEJJcwe9C7Kuqw+W5wDhSpT1JTqPR8A67WxNtA8 QsywSFKQtQSEA471PzDIgeeXPUJp6HMwSk/2YlL3wvLN0mmCYRbZtCrjAdTmRUl/wCmiOKQC4rkT ydGuxaj0VxSFy3OOAFBqfOGGlqqAglxseEI1/xjktnQgysBXwWTXt3Pq1VdFbjcRaLdUaaT7tuL0 uAo/WBQt0itQ9I0DMUOgpwf3nyxoXQ6qYini/zc39mNQrxC5jZHmMYb1Z6HU2/z3rWC/gqIs79d4 qenDr3FxnAmGmkO0pW2zt5e6VlAm0ef8GNVFmSs2N/iqv+ixfVXTy8oNQQRLAOpTXkKmRpH7RH+j bD8HRtEW5tmv89jt4iWc1VtX1ab+rKHz2X/mRSw8UU5Sp3+rX7TYUc8H8+KY0r9vaBDicWyztbRB Qz60+S4+eFnG1IQkmfKkKcrZr7u/S4Mui83vj0A+47Pb60asMLOdfHm5aHbH8fwkBXzS0J6eO8SO 5HYuhs+t2YOqAf+uBFzo3+uacfONw3GAfLEEWvGxCY+9HUQ2eH2SyOWvZkudO0UwfEeB6POHlCZA MH1u5vcssOa3/ptlOwrVq0WQkIbuJWP8RGYoqVQ2jTIx5X9Sc/KkEA9XVORHkfWELnmgIBRuzb9B IRFQusBY9cgPniKuME1JvH005Kt24jNtcpeRLVNAtU7pK2iyCnTT2BjtZ3+cKepKDUCXcQBm3Pg9 kq7aQh/xhFs779xwMQ3HH3Dm7rXZXN90B5zefFwCRbfOeRWhHj6O/D0RUANGggoew88pQGGgYr8Z +RMUXM3ekZ+ga4B8tsS11/Dbz7Oqt4MdZp1CW1AN+d1NqM07T9gLusob1Va3cstrgCt3WUyL2rAc keio8v2EEfICHxv1j/iZmKA3FLkz7vrBY4TpNFlDnBpUgUINIt9EuTL++HecYjEw4UsIenjeCCh0 /lS4ldsbxGG74bs7lsBWSAOu+BTdE5vgzkwHgvQE13G5+KT4W2r5XZMZPhMShBobLe3vKmuy/dAx nrTU/HGubgFCHIzop2zPqa9FYnQj6CC6srtN8rgD0X9hMPGak8N9y9VUU7EKyPmnaEawbEuCCyLP QYl8KHD1Na9tNPOHx1rvX+/Al9+ML41xbhA5q3hAg03cmYyOyBJ+EYgvzGPeZdGlAs1+dgCXKNc1 /rxFK4kgX+dyy7NBalcsW5Oyn/gIcSVbWDCctzgEMtGdoOYvnr5j7D3DfHNF30e1x8PPY/7660Mn qyr+Ssn8wK5jdIp7ewU2TEPQEovcSLBhs/bEBX/uW2rQnyqXE9A33E40u2ADcDvGWQJJ3afQRrHF tx7or86qHp3Dv8VbpyU9ZpxCfZrEOPxPxGc3qV+grfrXFG70ziTn1Wsfu9OlXotLE8Nh3w3augyC kp/pCnmJ78uF11z0ywdQ8WlASdIfRG84Q0HkoccSVnTsHTWzPKvd1UyhdxSxhsn4LsL/L3FweqDf hiHMZOWpiUpjC/fr+oIm4QpX9lG7Y8nCdCJrMnKac+XaLg/iPMyvoBBvnqXz0cB8JyykzT6VK9vZ 6fY3trvOcWRnlHNu5MERKwIqpIOKwHtT61pSWKCDsE8WxvZBsbtbJjhcOgQ90Ewp3KcY4sQyOLPf Uiu4TXxu2Lsw+2Hn5l9pYoOXk4T0OOhtoBvclwg53jJZ/5YhieJu2digRvq1Ydq+ZAdFQZVU52Nk ZQy+uRiPGjp2qR/bBX0fkkTxU0PFin2XmyrF8rxXYvOQ7/0DJ7vVsWqJQtEkDLyDJk9as4WsXQsd AgcP5sqy5yf6L51lqSuEHxOiQcei+UGQ6HtCPGxKSpmdIrj+7zrNG8vdO6rrRHdYCNhqhKOkE9A5 IZzdUwx7wX8mLR6bePF0NxruGuqwY69ewKdw0pU1y7VXJx6Czuv6EJ0/JQxL34LhpfyJeanw5vVb rxGyyUC4yO8SLSyNWEdyavMVr5QqJR9FUtsi2u2Y9ldQyXi/1O8TwaH0qOIOQfpQDpkd8taddjIr d8O43D8iWk32fK7hsStazL0F/7SrR0I78GiheXy65Y97FBCnzwVQfc7SEIueSpDEkWwzeZBVUbsc TMeeTTSWgskmkbtWopsXxcKL5ye36RiqSXHrK8QOkVvvgIFmQBHiNMhiscBzh43ZzkuA3dqG2DzB oxpvQMZwvRb1qB9Yr4TwilYlthG9sFkPBwOWZZAbHq0npgEpjORJQQ584doPkoW4OWooVBQvjkNY KllXm6jhZctA1lAeMCp4FU0LuV5iEMWhGPHGw/GedNHTjAiH/whPlCS6hgLfEUwzxVflsTi7CCr1 VmMgtPG2bZ5NnIaBUlQ0/Qt23wdS+TcTZIVzeYhne7XnTmj0V8gHLJ8TtJnf1+FcJ9K5pvKuwSfI K4iGMEUaFUAkx3e7qL29wU16VTu7NkvgWbV8g7jbPA2xbSroA4bFA2gHpKpF/pvOAzTdNs+k2woI epJG2jFZmfwqTciTaDb4h6HwVQm7NZatuB2Plym1yFEs/SPyH9DIPGwPZQP2fGoeOI3wxwG6paMN YlSBclLs4pCKqnxGlO12UFEHDpVKikXE/266SXWtHkLkIVs4doLV5bx4RnYoa6eeoHrB04A+7F3F +Jc+ufmrv3mSGR6yKdtedLEzKpFSS6us3t75O7HWp+m6sOItRRZm+T49+cs4tk0k7d+3kRp/toIo XLoj3KVjGNfa3xKuzpym93QcNm1Dt5PzFttkKOs1vR3Vbv8BlWk7q5BOCZFzYa/8P2N0Cx7AtiPb RSvqFUZiGqycVvcmgF2xv7DKusKJZ4rqduBC9MqMzCfbesViyUMj/TllYUs2o+utbv0uikcxsG4p InlSLsr682yShT2Db9qD7/QmoAqZTOVf5oa2SZvAhKo0pDfmUjI7i7HMDoFQPZZRD37/tbynmh+J TSihw7XkRBCcoc2RJLKvhLIc8AH2gAAoQcLtE6+DJkSNL1qfT0bG3MskiVX8zJcwrpqnyXRAXIfh tXr7LPor1ii8JrA3AZkQTlp6Za3l7IrpYOZa69amtL5/bGS69ifEdrjHPp47Tf/V/cKyuogkvqED 5XRWJQQgOgX2HIWjJNrdYY0WCg4fsNUahWeM5NMArB93QpxLvf859lgccUeqqoPpX1v7Xi3wpsWf F0KmtP4ezl7d8vSaqvxwMsxaous+983qWfweLbbfLYIAemsxSb059gvcqBSIek4o8fQK51gJL8/7 +kIWZ6L6z5eV2tMzg19KTPPr/xC2a/z5XOY79UoclqvozCbUbQXdn9OQ8FVjmlcSh75Y9NCVQDca kf2Cr2b9ehSquHcWWqAs2iu83NR/MkWia/5D5oEl3jvRbSmfaUj3B1qvPp0kgKdP64cuWLM/La4N +5N3XXEl0WZaS7Fqbt419mm2/SAqWyNQwyO17ScZpo2/GhAdTSrPPeHpqHrtAPDZOXDaHy16yGJV 2glWvzkVhBqq9m51O+IU/2uEsDrBv02qz4IxDpTflfhyY35+Wd6emddH0Fg0lX/yYX1F8kzsgcJq pyr/71jj0C0eZxGVHoDHU7CR8ITFc2CXMg3CU2hdCwa47/Vnd6XcvyHVAjFow7ikr3odv/V6Nemc ORDcz79CFMLJ9OyOISOdJEbeIAGZDDCmeVYLreGtNQHsdUi73bG6dc7hTu3jz1UIKfiXMnwHT9cc 7/Cfs74H39UHySX98L1rBNRoWWbNz7KYVEGu1PdWdegNlDVWSe5KEfWtTZBMoVFhjURldJv4TB3R 9M1IMejgqhV+1abLqpReWkR4WrpMo90/fS7A0kDcdthyjq9Ltoa+/srFu+T0UVlZ5UtDofy+Ud5K oQq60+fAKxijgb4lJHlvgC8V+LwthCpCmNJgvBcjeNKhY1DNcbtnZ2+PN+ParkRhcMN6w/tFQOGt AvMQOjptvPUeTB/t0yDhcr79816GW2l3er85ZzqFxURp9Fe82phZ8jPgMT+rRM3ka3zcE5CLf56L FC9NkFJdvBQDOOyr/CgwLqECAj4zOnbdl5urfVTJPLcERTrNHnvANNdHiN0wtVYVIKcrPzEGyTvq EOyzmZXDwGcEcNOUVsTYdgZ+33pLtHsr2/AjJe1t8urivlc7IpoLfbK71crh+AP8VmY2Goox1EPq Xgo/kwXDi5JmzedaFSCgAQvx+6PZKmZtALK586lZtB9AVJapRBuzKedCICAE8PRZ0yZ1oe1iQjUj KICo9vXGJSUUc4vXjsEKXR4A8U5U8BOhpZFGI5ZOyVyCg9JkJfWffsuhxnLaDMfOxYnj127ehrjt sNBNpc8xUvrDn11mmpQ2jGKx1CaClBPc9hPFMJh93WOJReJJJMeVsDWaloOJn8UGa7V5YmmV+ZKS hMzX30qFP8zWTybCydMEKNNJ1xRA9/wJiCzD3wkKhoFUgX8mp0eZiyflbAlNzA8Xu8hh7f72Hbgd 2/Rk0/RrUIYJN4i/cQ/+JSxbvByxHCaiqQ+2e6eXHS5BcJqGqH2Lr3OtpN1wNxw9uXkRU5L1n7FI gcENUaBwA4fq2DUZDV3PIPYkhCKhem14XYFJEV0wYF4EMkne2HBXi7NLnXU8p8fY9iAEQ9+taDjv ypi2X0t9xOdQzlszVR6tNqnAvm44ou7JoQQwlC4zgAgQZ65QCm6rl9xUfVfg0BcmnHL6GWCSecIJ 5WsIpVEfRiUlg0XFjLH66JY06OJdXMh8uHQHNtysNqe+HquSptNStQlEcnzAncJT4AQu/gOSswy2 lIsm9cILrp5kcf+hSDby `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JtPucT+1uvXaBZ5bKQa6EQoSYdrCWeCFYJnc6Expf32anhgrsy/SPMoz3uuZimHlkSAJYfhvTh8O J+gGlNV7dQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ikdDCNUlYk+SdRAm0dSlZG02FZNzYnM0E5yE6LY0rxWNEhuEAnCuHUZi+XboGQYRCr1SzapEGgL5 3VJoc4mgET5H4AUcZDjMlIWBypv1ByqAkS/bjWswmuJCB2QDP5kaAjU9Ksk6KJVehrHihvkGYsQ/ ZnaA7V7b8siV0HQnmOo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block czoTUuPiRa4n+tNzCcrBHkkEvs9u/Fnxh1SWyEYAKzMSd7aAKhRAY/5x33qXt5auM4Y05tTQ8g6x 6jmDUpRvsGIP3L6JVHuiXtY4J2xWv7WClojIETrTJWRxbBoy3WWvzK+G9iARuGLc70a+y6DZgFEN 9vO/iDCXSud+Zjksg7ZnP0DvJVGX7bcWIQywUVmDVWhvLhH2DV//nxUp33w5ghztYgEtXEqri8co 0hzL/bH5lGkh9Fb5+k0J4a4hzungm74zq16Wi1J5SW1rXQK9FivDSAF+KaJ2So3mJrwPPzOrb7mJ NSqrN4+wf9mF3iDZNmkp3Xh8SsLOeUSv0NudSw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gQILIV+hkptsWt6fdUg/Tv9fikgtvc8qkiPKq/AZym5/4qVoRnV8eZGqWnqmUFz14SXkWe9EytXd bOiCEmqYckGmYBEMSWmNAc8LCBWvH/wu5Hkd/H9EX2S6Y0TXceJ4Hvze7vkRCAtPIJpeZ/aWrq2l NIcFxkw3WxED6Ol1uC0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LAL5H2kMQ3st1aKDhGeiNu9aqFGHD9nH16up6GUE0lagbws41h5bnJ75+nA1NJZ59Y4KtKILiyZN 3pvkit9wZN39qzRT/6Noc/UjajFx82jH51OpSehTmlmsso0OftbXoxcDY4Lkquvl/NaubYK8YWuG YfKIL8eAUIQl8IkDtrNYoCQiL+/33/7HpRGsziowx2+JVzPFSyrxYGCVGsFyeE9uYRFcrhh1UPyI LQcGzRQ04RcCUvR1hgP1ikCE0wsyE2BfccqCnwngA+s6WpfscAlWZo6IM+tqmXx0HxQXysjPahKj WouMtp7yz2BBI56yKQnsU/EIj0IIr4gtUB4EuQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5088) `protect data_block 20Wp+2QKadOvGqCATihtGfvpEfXMN5fdv5oifcAWye/X4M+wzrRT8MD/05Axw3gAxVF4Di/OreZL wksVfRvweljrp45tXLSmABvO7F//prybRaMY2G6DPa+N/9okHXtsLWISiNqCbbwP6rI62wrbtYY8 RLcglG0ITXr1A/HDKSGbpbPTz4SpepdzZpUKVv3ghLgoNh70qritXrmJG/sRucPIhPzWp7sGY/aR ojS56IaHrkOTPKiDqTkhAULxz4buyZJaF9z0uKvqRGDW6RTYQiQTCb5u49zq8e6sAmzUmboW6tYZ Ium80gJYBYg78i1C0K/Yhyj1OIZ/fpSkl8vigv+pb0D8+pEhIsMueQCtnuIkCXPSW+CHpsiLwsXc mvuyAKpjYIj783A1T8UrPzM7Z4JnxTzckS3LmUp0eYDcodRifP+VH717WzSk12x7fPrsx5Pa7DGr nSKSs64LlW5JKR+DE3E7ptPFDN48VQrblgGLyQEKXRtY4d8v/tGYsVl7HJBChRBGev4wbLv7lYw1 CPpOcaIfT2FOPq7hQ3TIUAdgvBIkIvFZ8e/gcrRjURjYTgcjvXPl0gCYdouiQokgWLuOqMe6hLhP Idl75FrS1mrouN+hHi860A4XAE/oo2JuBYxFYqgDTphgRDZK6FRhEiL0Js/XDJZ5O8bqvQ6359R+ covhTuu9g8Km8iexuhy/YiNri8QhplY6wPNxYFAQOd2UqYx9xE65izqwcFp5D1JqrUlk41WLGnb6 349F2pjgd6c1J3jGZRAAtVzIgjND0Y0pJqYEXoicM+qKBOBAY2LylvoBcIOYsWdx1zxtUQjNh0SY FmogKQJJtEJgKDpd+nVoW7/6qbzhbxcO1La7KlWGUHqXYA1m/785Q4u8QldVR0m5WhvLZ0qYdfyZ BStUfkF8MNGRpvTwmhWnPXT+Mx775JAxNnnXx1vtvV11QtkoMeLaSN4OZp32NQNZUpP6cfXA5WfD xpABJKLzcCr1/rPeUMXPU9gohqMGkj6e13YYkQNURv9MR9OHiRLRyyOjjn0wG91elmHwpGEOuTjE abYDajhEWA6pGWvfzKiVldm5+bPc+nv/7HOo0X2J7maGZExMttVa3bScCHBJtEU8rTbkX+uYkWBB D0JcHWS0awDMgYir6McEfOHPGBWA7mooNAexVROkGpIoiqi2cCnhbjWQhi3ZCFLVFgoMUko2PJXo xNUIqxzbz0ByT71G/8x+T/GKmAI761mqj6NgEc1O18yx77qtZesf6h5/+BFY5hsLl8jdnCvxg6Uw jGHGcARUYXqnbJCiAaRfSy3LuUj5t9t3uilImHHZZXY/PKgW0L66ROCZiSAKerSbBfvHj6q/c5GC KY0LxxZY9b2Cy4gTQHGfd2Nn8+3cmpDSN9bof4/j8QiHwoM8niOhyzGKQ2pUhTu6k9nBmkjgxcLx c8OX2s5VlI3Xp8hpx/BGd1JOmodAKs75chp1KEcnAYIKjmZzDT8wo/3LOBZ0eUPjLF1PxoNAxADP 9qnicNYImB+392A7VWLuJDMWuWh2wz2m7n2Ax0DOxdVjS9Tilon5ZU2rv10Sc3PLkQTo/03I1F/R EMJq9/jOvdpWkBTSPNVD9TNtnUcrfgAyuJkjncgRGg3Gf0mlXKtkDahIajKJDdDUCanlYS9f5N1Y QZGfUJlUjLEr22gcS3fRv5kKoy3yPck2oIlEuRAg0skOJ+Wx3yz5rWtZbOcrf0Qt8Xvalg68/r4W s7oqMwp6Xt0R0uJgWvZw9vz+AU8Bsa5hy0oaXrOu+SBuoO3umD3gBZ2rgjkP3OA0MSeokrAY7a9q rMC254aFmPSHLQzkErT8Q38MsPxCHmmywDQ1yH9QWORmHbtJ7zJ+i33ytTxqHRiJZHYcnEF71cvg cz8NrCUAxSozsQzBULP0TIIexmYOF+lIGG485DXxiNsQdH2QUGUZ3LvYqlWSHe9mc7/qr8Qk93Cu wZR11rNMFr4APHurjEs7U0wKkowQ9QzHYkNnUrEJJcwe9C7Kuqw+W5wDhSpT1JTqPR8A67WxNtA8 QsywSFKQtQSEA471PzDIgeeXPUJp6HMwSk/2YlL3wvLN0mmCYRbZtCrjAdTmRUl/wCmiOKQC4rkT ydGuxaj0VxSFy3OOAFBqfOGGlqqAglxseEI1/xjktnQgysBXwWTXt3Pq1VdFbjcRaLdUaaT7tuL0 uAo/WBQt0itQ9I0DMUOgpwf3nyxoXQ6qYini/zc39mNQrxC5jZHmMYb1Z6HU2/z3rWC/gqIs79d4 qenDr3FxnAmGmkO0pW2zt5e6VlAm0ef8GNVFmSs2N/iqv+ixfVXTy8oNQQRLAOpTXkKmRpH7RH+j bD8HRtEW5tmv89jt4iWc1VtX1ab+rKHz2X/mRSw8UU5Sp3+rX7TYUc8H8+KY0r9vaBDicWyztbRB Qz60+S4+eFnG1IQkmfKkKcrZr7u/S4Mui83vj0A+47Pb60asMLOdfHm5aHbH8fwkBXzS0J6eO8SO 5HYuhs+t2YOqAf+uBFzo3+uacfONw3GAfLEEWvGxCY+9HUQ2eH2SyOWvZkudO0UwfEeB6POHlCZA MH1u5vcssOa3/ptlOwrVq0WQkIbuJWP8RGYoqVQ2jTIx5X9Sc/KkEA9XVORHkfWELnmgIBRuzb9B IRFQusBY9cgPniKuME1JvH005Kt24jNtcpeRLVNAtU7pK2iyCnTT2BjtZ3+cKepKDUCXcQBm3Pg9 kq7aQh/xhFs779xwMQ3HH3Dm7rXZXN90B5zefFwCRbfOeRWhHj6O/D0RUANGggoew88pQGGgYr8Z +RMUXM3ekZ+ga4B8tsS11/Dbz7Oqt4MdZp1CW1AN+d1NqM07T9gLusob1Va3cstrgCt3WUyL2rAc keio8v2EEfICHxv1j/iZmKA3FLkz7vrBY4TpNFlDnBpUgUINIt9EuTL++HecYjEw4UsIenjeCCh0 /lS4ldsbxGG74bs7lsBWSAOu+BTdE5vgzkwHgvQE13G5+KT4W2r5XZMZPhMShBobLe3vKmuy/dAx nrTU/HGubgFCHIzop2zPqa9FYnQj6CC6srtN8rgD0X9hMPGak8N9y9VUU7EKyPmnaEawbEuCCyLP QYl8KHD1Na9tNPOHx1rvX+/Al9+ML41xbhA5q3hAg03cmYyOyBJ+EYgvzGPeZdGlAs1+dgCXKNc1 /rxFK4kgX+dyy7NBalcsW5Oyn/gIcSVbWDCctzgEMtGdoOYvnr5j7D3DfHNF30e1x8PPY/7660Mn qyr+Ssn8wK5jdIp7ewU2TEPQEovcSLBhs/bEBX/uW2rQnyqXE9A33E40u2ADcDvGWQJJ3afQRrHF tx7or86qHp3Dv8VbpyU9ZpxCfZrEOPxPxGc3qV+grfrXFG70ziTn1Wsfu9OlXotLE8Nh3w3augyC kp/pCnmJ78uF11z0ywdQ8WlASdIfRG84Q0HkoccSVnTsHTWzPKvd1UyhdxSxhsn4LsL/L3FweqDf hiHMZOWpiUpjC/fr+oIm4QpX9lG7Y8nCdCJrMnKac+XaLg/iPMyvoBBvnqXz0cB8JyykzT6VK9vZ 6fY3trvOcWRnlHNu5MERKwIqpIOKwHtT61pSWKCDsE8WxvZBsbtbJjhcOgQ90Ewp3KcY4sQyOLPf Uiu4TXxu2Lsw+2Hn5l9pYoOXk4T0OOhtoBvclwg53jJZ/5YhieJu2digRvq1Ydq+ZAdFQZVU52Nk ZQy+uRiPGjp2qR/bBX0fkkTxU0PFin2XmyrF8rxXYvOQ7/0DJ7vVsWqJQtEkDLyDJk9as4WsXQsd AgcP5sqy5yf6L51lqSuEHxOiQcei+UGQ6HtCPGxKSpmdIrj+7zrNG8vdO6rrRHdYCNhqhKOkE9A5 IZzdUwx7wX8mLR6bePF0NxruGuqwY69ewKdw0pU1y7VXJx6Czuv6EJ0/JQxL34LhpfyJeanw5vVb rxGyyUC4yO8SLSyNWEdyavMVr5QqJR9FUtsi2u2Y9ldQyXi/1O8TwaH0qOIOQfpQDpkd8taddjIr d8O43D8iWk32fK7hsStazL0F/7SrR0I78GiheXy65Y97FBCnzwVQfc7SEIueSpDEkWwzeZBVUbsc TMeeTTSWgskmkbtWopsXxcKL5ye36RiqSXHrK8QOkVvvgIFmQBHiNMhiscBzh43ZzkuA3dqG2DzB oxpvQMZwvRb1qB9Yr4TwilYlthG9sFkPBwOWZZAbHq0npgEpjORJQQ584doPkoW4OWooVBQvjkNY KllXm6jhZctA1lAeMCp4FU0LuV5iEMWhGPHGw/GedNHTjAiH/whPlCS6hgLfEUwzxVflsTi7CCr1 VmMgtPG2bZ5NnIaBUlQ0/Qt23wdS+TcTZIVzeYhne7XnTmj0V8gHLJ8TtJnf1+FcJ9K5pvKuwSfI K4iGMEUaFUAkx3e7qL29wU16VTu7NkvgWbV8g7jbPA2xbSroA4bFA2gHpKpF/pvOAzTdNs+k2woI epJG2jFZmfwqTciTaDb4h6HwVQm7NZatuB2Plym1yFEs/SPyH9DIPGwPZQP2fGoeOI3wxwG6paMN YlSBclLs4pCKqnxGlO12UFEHDpVKikXE/266SXWtHkLkIVs4doLV5bx4RnYoa6eeoHrB04A+7F3F +Jc+ufmrv3mSGR6yKdtedLEzKpFSS6us3t75O7HWp+m6sOItRRZm+T49+cs4tk0k7d+3kRp/toIo XLoj3KVjGNfa3xKuzpym93QcNm1Dt5PzFttkKOs1vR3Vbv8BlWk7q5BOCZFzYa/8P2N0Cx7AtiPb RSvqFUZiGqycVvcmgF2xv7DKusKJZ4rqduBC9MqMzCfbesViyUMj/TllYUs2o+utbv0uikcxsG4p InlSLsr682yShT2Db9qD7/QmoAqZTOVf5oa2SZvAhKo0pDfmUjI7i7HMDoFQPZZRD37/tbynmh+J TSihw7XkRBCcoc2RJLKvhLIc8AH2gAAoQcLtE6+DJkSNL1qfT0bG3MskiVX8zJcwrpqnyXRAXIfh tXr7LPor1ii8JrA3AZkQTlp6Za3l7IrpYOZa69amtL5/bGS69ifEdrjHPp47Tf/V/cKyuogkvqED 5XRWJQQgOgX2HIWjJNrdYY0WCg4fsNUahWeM5NMArB93QpxLvf859lgccUeqqoPpX1v7Xi3wpsWf F0KmtP4ezl7d8vSaqvxwMsxaous+983qWfweLbbfLYIAemsxSb059gvcqBSIek4o8fQK51gJL8/7 +kIWZ6L6z5eV2tMzg19KTPPr/xC2a/z5XOY79UoclqvozCbUbQXdn9OQ8FVjmlcSh75Y9NCVQDca kf2Cr2b9ehSquHcWWqAs2iu83NR/MkWia/5D5oEl3jvRbSmfaUj3B1qvPp0kgKdP64cuWLM/La4N +5N3XXEl0WZaS7Fqbt419mm2/SAqWyNQwyO17ScZpo2/GhAdTSrPPeHpqHrtAPDZOXDaHy16yGJV 2glWvzkVhBqq9m51O+IU/2uEsDrBv02qz4IxDpTflfhyY35+Wd6emddH0Fg0lX/yYX1F8kzsgcJq pyr/71jj0C0eZxGVHoDHU7CR8ITFc2CXMg3CU2hdCwa47/Vnd6XcvyHVAjFow7ikr3odv/V6Nemc ORDcz79CFMLJ9OyOISOdJEbeIAGZDDCmeVYLreGtNQHsdUi73bG6dc7hTu3jz1UIKfiXMnwHT9cc 7/Cfs74H39UHySX98L1rBNRoWWbNz7KYVEGu1PdWdegNlDVWSe5KEfWtTZBMoVFhjURldJv4TB3R 9M1IMejgqhV+1abLqpReWkR4WrpMo90/fS7A0kDcdthyjq9Ltoa+/srFu+T0UVlZ5UtDofy+Ud5K oQq60+fAKxijgb4lJHlvgC8V+LwthCpCmNJgvBcjeNKhY1DNcbtnZ2+PN+ParkRhcMN6w/tFQOGt AvMQOjptvPUeTB/t0yDhcr79816GW2l3er85ZzqFxURp9Fe82phZ8jPgMT+rRM3ka3zcE5CLf56L FC9NkFJdvBQDOOyr/CgwLqECAj4zOnbdl5urfVTJPLcERTrNHnvANNdHiN0wtVYVIKcrPzEGyTvq EOyzmZXDwGcEcNOUVsTYdgZ+33pLtHsr2/AjJe1t8urivlc7IpoLfbK71crh+AP8VmY2Goox1EPq Xgo/kwXDi5JmzedaFSCgAQvx+6PZKmZtALK586lZtB9AVJapRBuzKedCICAE8PRZ0yZ1oe1iQjUj KICo9vXGJSUUc4vXjsEKXR4A8U5U8BOhpZFGI5ZOyVyCg9JkJfWffsuhxnLaDMfOxYnj127ehrjt sNBNpc8xUvrDn11mmpQ2jGKx1CaClBPc9hPFMJh93WOJReJJJMeVsDWaloOJn8UGa7V5YmmV+ZKS hMzX30qFP8zWTybCydMEKNNJ1xRA9/wJiCzD3wkKhoFUgX8mp0eZiyflbAlNzA8Xu8hh7f72Hbgd 2/Rk0/RrUIYJN4i/cQ/+JSxbvByxHCaiqQ+2e6eXHS5BcJqGqH2Lr3OtpN1wNxw9uXkRU5L1n7FI gcENUaBwA4fq2DUZDV3PIPYkhCKhem14XYFJEV0wYF4EMkne2HBXi7NLnXU8p8fY9iAEQ9+taDjv ypi2X0t9xOdQzlszVR6tNqnAvm44ou7JoQQwlC4zgAgQZ65QCm6rl9xUfVfg0BcmnHL6GWCSecIJ 5WsIpVEfRiUlg0XFjLH66JY06OJdXMh8uHQHNtysNqe+HquSptNStQlEcnzAncJT4AQu/gOSswy2 lIsm9cILrp5kcf+hSDby `protect end_protected
---------------------------------------------------------------------------------------------------- -- ENTITY - Multiplexer for UART -- -- Autor: Lennart Bublies (inf100434), Leander Schulz (inf102143) -- Date: 29.06.2017 -- Last change: 25.10.2017 ---------------------------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE work.tld_ecdsa_package.all; ENTITY e_uart_receive_mux IS PORT ( -- Clock and reset clk_i : IN std_logic; rst_i : IN std_logic; -- UART uart_i : IN std_logic; -- Set mode mode_o : OUT std_logic; -- Output r_o : OUT std_logic_vector(M-1 DOWNTO 0); -- M-1 s_o : OUT std_logic_vector(M-1 DOWNTO 0); m_o : OUT std_logic_vector(M-1 DOWNTO 0); -- Ready flag ready_o : OUT std_logic ); END e_uart_receive_mux; ARCHITECTURE rtl OF e_uart_receive_mux IS -- Import entity e_sipo_register COMPONENT e_nm_sipo_register IS PORT( clk_i : IN std_logic; rst_i : IN std_logic; enable_i : IN std_logic; data_i : IN std_logic_vector(U-1 DOWNTO 0); data_o : OUT std_logic_vector(M-1 DOWNTO 0) ); END COMPONENT; -- IMPORT UART COMPONENT COMPONENT e_uart_receiver IS GENERIC ( baud_rate : IN NATURAL RANGE 1200 TO 500000; N : IN NATURAL RANGE 1 TO 256; M : IN NATURAL RANGE 1 TO 256); PORT ( clk_i : IN std_logic; rst_i : IN std_logic; rx_i : IN std_logic; mode_o : OUT std_logic; data_o : OUT std_logic_vector (7 DOWNTO 0); ena_r_o : OUT std_logic; ena_s_o : OUT std_logic; ena_m_o : OUT std_logic; rdy_o : OUT std_logic); END COMPONENT e_uart_receiver; -- Internal signals SIGNAL uart_data: std_logic_vector(7 DOWNTO 0) := (OTHERS=>'0'); SIGNAL enable_r_register, enable_s_register, enable_m_register: std_logic := '0'; BEGIN -- Instantiate sipo register entity for r register r_register: e_nm_sipo_register PORT MAP( clk_i => clk_i, rst_i => rst_i, enable_i => enable_r_register, data_i => uart_data, data_o => r_o ); -- Instantiate sipo register entity for s register s_register: e_nm_sipo_register PORT MAP( clk_i => clk_i, rst_i => rst_i, enable_i => enable_s_register, data_i => uart_data, data_o => s_o ); -- Instantiate sipo register entity for m register m_register: e_nm_sipo_register PORT MAP( clk_i => clk_i, rst_i => rst_i, enable_i => enable_m_register, data_i => uart_data, data_o => m_o ); -- Instantiate UART Receiver uart_receiver : e_uart_receiver GENERIC MAP ( baud_rate => BAUD_RATE, N => 21, -- length of message M => M) -- length of key PORT MAP ( clk_i => clk_i, rst_i => rst_i, rx_i => uart_i, mode_o => mode_o, data_o => uart_data, ena_r_o => enable_r_register, ena_s_o => enable_s_register, ena_m_o => enable_m_register, rdy_o => ready_o ); END rtl;
---------------------------------------------------------------------------- -- UART_RX_CTRL.vhd -- Simple UART RX controller -- Written by Hamster -- Modified by Warren Toomey -- -- This component may be used to transfer data over a UART device. It will -- receive a byte of serial data and transmit it over an 8-bit bus. The -- serialized data has to have the following characteristics: -- *9600 Baud Rate -- *8 data bits, LSB first -- *1 stop bit -- *no parity -- -- Port Descriptions: -- UART_RX - This is the serial signal line from the UART. -- CLK - A 100 MHz clock is expected. -- DATA - The parallel data to be read. -- READ_DATA - Signal flag indicating when data is ready to be read. -- RESET_READ - Data has been read, which turns off READ_DATA ---------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity UART_RX_CTRL is port ( UART_RX: in STD_LOGIC; CLK: in STD_LOGIC; DATA: out STD_LOGIC_VECTOR (7 downto 0); READ_DATA: out STD_LOGIC := '0'; RESET_READ: in STD_LOGIC ); end UART_RX_CTRL; architecture behavioral of UART_RX_CTRL is constant FREQ : integer := 100000000; -- 100MHz Nexys4 CLK constant BAUD : integer := 9600; -- Bit rate of serial comms -- A counter of clock cycles. We sample the incoming -- serial signal at 1.5x the serial bit duration to -- skip the start bit and get halfway into the first -- data bit. After that, we skip whole bit durations -- to sample midway through the other data bits signal count : integer := 0; constant sample_0: integer := 3 * FREQ/(BAUD*2)-1; constant sample_1: integer := 5 * FREQ/(BAUD*2)-1; constant sample_2: integer := 7 * FREQ/(BAUD*2)-1; constant sample_3: integer := 9 * FREQ/(BAUD*2)-1; constant sample_4: integer := 11 * FREQ/(BAUD*2)-1; constant sample_5: integer := 13 * FREQ/(BAUD*2)-1; constant sample_6: integer := 15 * FREQ/(BAUD*2)-1; constant sample_7: integer := 17 * FREQ/(BAUD*2)-1; constant stop_bit: integer := 19 * FREQ/(BAUD*2)-1; -- The bits from the serial input accumulate here signal byte: std_logic_vector(7 downto 0) := (others => '0'); begin rx_state_process : process (CLK) begin if (rising_edge(CLK)) then -- The data has been read, so lower the flag -- that indicates new data has arrived if (RESET_READ = '1') then READ_DATA <= '0'; end if; -- Sample the serial line several times to find -- the eight data bits and the stop bit case count is when sample_0 => byte <= UART_RX & byte(7 downto 1); when sample_1 => byte <= UART_RX & byte(7 downto 1); when sample_2 => byte <= UART_RX & byte(7 downto 1); when sample_3 => byte <= UART_RX & byte(7 downto 1); when sample_4 => byte <= UART_RX & byte(7 downto 1); when sample_5 => byte <= UART_RX & byte(7 downto 1); when sample_6 => byte <= UART_RX & byte(7 downto 1); when sample_7 => byte <= UART_RX & byte(7 downto 1); when stop_bit => -- Send out the data when we see a valid stop bit if UART_RX = '1' then DATA <= byte; READ_DATA <= '1'; end if; when others => null; end case; -- Reset the counter when we reach the stop bit if count = stop_bit then count <= 0; elsif count = 0 then if UART_RX = '0' then -- Start bit just seen, so start counting count <= count + 1; end if; else count <= count + 1; end if; end if; end process; end behavioral;
---------------------------------------------------------------------------- -- UART_RX_CTRL.vhd -- Simple UART RX controller -- Written by Hamster -- Modified by Warren Toomey -- -- This component may be used to transfer data over a UART device. It will -- receive a byte of serial data and transmit it over an 8-bit bus. The -- serialized data has to have the following characteristics: -- *9600 Baud Rate -- *8 data bits, LSB first -- *1 stop bit -- *no parity -- -- Port Descriptions: -- UART_RX - This is the serial signal line from the UART. -- CLK - A 100 MHz clock is expected. -- DATA - The parallel data to be read. -- READ_DATA - Signal flag indicating when data is ready to be read. -- RESET_READ - Data has been read, which turns off READ_DATA ---------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity UART_RX_CTRL is port ( UART_RX: in STD_LOGIC; CLK: in STD_LOGIC; DATA: out STD_LOGIC_VECTOR (7 downto 0); READ_DATA: out STD_LOGIC := '0'; RESET_READ: in STD_LOGIC ); end UART_RX_CTRL; architecture behavioral of UART_RX_CTRL is constant FREQ : integer := 100000000; -- 100MHz Nexys4 CLK constant BAUD : integer := 9600; -- Bit rate of serial comms -- A counter of clock cycles. We sample the incoming -- serial signal at 1.5x the serial bit duration to -- skip the start bit and get halfway into the first -- data bit. After that, we skip whole bit durations -- to sample midway through the other data bits signal count : integer := 0; constant sample_0: integer := 3 * FREQ/(BAUD*2)-1; constant sample_1: integer := 5 * FREQ/(BAUD*2)-1; constant sample_2: integer := 7 * FREQ/(BAUD*2)-1; constant sample_3: integer := 9 * FREQ/(BAUD*2)-1; constant sample_4: integer := 11 * FREQ/(BAUD*2)-1; constant sample_5: integer := 13 * FREQ/(BAUD*2)-1; constant sample_6: integer := 15 * FREQ/(BAUD*2)-1; constant sample_7: integer := 17 * FREQ/(BAUD*2)-1; constant stop_bit: integer := 19 * FREQ/(BAUD*2)-1; -- The bits from the serial input accumulate here signal byte: std_logic_vector(7 downto 0) := (others => '0'); begin rx_state_process : process (CLK) begin if (rising_edge(CLK)) then -- The data has been read, so lower the flag -- that indicates new data has arrived if (RESET_READ = '1') then READ_DATA <= '0'; end if; -- Sample the serial line several times to find -- the eight data bits and the stop bit case count is when sample_0 => byte <= UART_RX & byte(7 downto 1); when sample_1 => byte <= UART_RX & byte(7 downto 1); when sample_2 => byte <= UART_RX & byte(7 downto 1); when sample_3 => byte <= UART_RX & byte(7 downto 1); when sample_4 => byte <= UART_RX & byte(7 downto 1); when sample_5 => byte <= UART_RX & byte(7 downto 1); when sample_6 => byte <= UART_RX & byte(7 downto 1); when sample_7 => byte <= UART_RX & byte(7 downto 1); when stop_bit => -- Send out the data when we see a valid stop bit if UART_RX = '1' then DATA <= byte; READ_DATA <= '1'; end if; when others => null; end case; -- Reset the counter when we reach the stop bit if count = stop_bit then count <= 0; elsif count = 0 then if UART_RX = '0' then -- Start bit just seen, so start counting count <= count + 1; end if; else count <= count + 1; end if; end if; end process; end behavioral;
---------------------------------------------------------------------------------- -- Author: Jonny Doin, [email protected] -- -- Create Date: 15:36:20 05/15/2011 -- Module Name: SPI_SLAVE - RTL -- Project Name: SPI INTERFACE -- Target Devices: Spartan-6 -- Tool versions: ISE 13.1 -- Description: -- -- This block is the SPI slave interface, implemented in one single entity. -- All internal core operations are synchronous to the external SPI clock, and follows the general SPI de-facto standard. -- The parallel read/write interface is synchronous to a supplied system master clock, 'clk_i'. -- Synchronization for the parallel ports is provided by input data request and write enable lines, and output data valid line. -- Fully pipelined cross-clock circuitry guarantees that no setup artifacts occur on the buffers that are accessed by the two -- clock domains. -- -- The block is very simple to use, and has parallel inputs and outputs that behave like a synchronous memory i/o. -- It is parameterizable via generics for the data width ('N'), SPI mode (CPHA and CPOL), and lookahead prefetch -- signaling ('PREFETCH'). -- -- PARALLEL WRITE INTERFACE -- The parallel interface has a input port 'di_i' and an output port 'do_o'. -- Parallel load is controlled using 3 signals: 'di_i', 'di_req_o' and 'wren_i'. -- When the core needs input data, a look ahead data request strobe , 'di_req_o' is pulsed 'PREFETCH' 'spi_sck_i' -- cycles in advance to synchronize a user pipelined memory or fifo to present the next input data at 'di_i' -- in time to have continuous clock at the spi bus, to allow back-to-back continuous load. -- The data request strobe on 'di_req_o' is 2 'clk_i' clock cycles long. -- The write to 'di_i' must occur at most one 'spi_sck_i' cycle before actual load to the core shift register, to avoid -- race conditions at the register transfer. -- The user circuit places data at the 'di_i' port and strobes the 'wren_i' line for one rising edge of 'clk_i'. -- For a pipelined sync RAM, a PREFETCH of 3 cycles allows an address generator to present the new adress to the RAM in one -- cycle, and the RAM to respond in one more cycle, in time for 'di_i' to be latched by the interface one clock before transfer. -- If the user sequencer needs a different value for PREFETCH, the generic can be altered at instantiation time. -- The 'wren_i' write enable strobe must be valid at least one setup time before the rising edge of the last clock cycle, -- if continuous transmission is intended. -- When the interface is idle ('spi_ssel_i' is HIGH), the top bit of the latched 'di_i' port is presented at port 'spi_miso_o'. -- -- PARALLEL WRITE PIPELINED SEQUENCE -- ================================= -- __ __ __ __ __ __ __ -- clk_i __/ \__/ \__/ \__/ \__/ \__/ \__/ \... -- parallel interface clock -- ___________ -- di_req_o ________/ \_____________________... -- 'di_req_o' asserted on rising edge of 'clk_i' -- ______________ ___________________________... -- di_i __old_data____X______new_data_____________... -- user circuit loads data on 'di_i' at next 'clk_i' rising edge -- ________ -- wren_i __________________________/ \______... -- 'wren_i' enables latch on rising edge of 'clk_i' -- -- -- PARALLEL READ INTERFACE -- An internal buffer is used to copy the internal shift register data to drive the 'do_o' port. When a complete -- word is received, the core shift register is transferred to the buffer, at the rising edge of the spi clock, 'spi_sck_i'. -- The signal 'do_valid_o' is strobed 3 'clk_i' clocks after, to directly drive a synchronous memory or fifo write enable. -- 'do_valid_o' is synchronous to the parallel interface clock, and changes only on rising edges of 'clk_i'. -- When the interface is idle, data at the 'do_o' port holds the last word received. -- -- PARALLEL READ PIPELINED SEQUENCE -- ================================ -- ______ ______ ______ ______ -- clk_spi_i ___/ bit1 \______/ bitN \______/bitN-1\______/bitN-2\__... -- spi base clock -- __ __ __ __ __ __ __ __ __ -- clk_i __/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \_... -- parallel interface clock -- _________________ _____________________________________... -- 1) received data is transferred to 'do_buffer_reg' -- do_o __old_data_______X__________new_data___________________... -- after last bit received, at next shift clock. -- ____________ -- do_valid_o ________________________________/ \_________... -- 2) 'do_valid_o' strobed for 2 'clk_i' cycles -- -- on the 3rd 'clk_i' rising edge. -- -- -- This design was originally targeted to a Spartan-6 platform, synthesized with XST and normal constraints. -- ------------------------------ COPYRIGHT NOTICE ----------------------------------------------------------------------- -- -- This file is part of the SPI MASTER/SLAVE INTERFACE project http://opencores.org/project,spi_master_slave -- -- Author(s): Jonny Doin, [email protected], [email protected] -- -- Copyright (C) 2011 Jonny Doin -- ----------------------------- -- -- This source file may be used and distributed without restriction provided that this copyright statement is not -- removed from the file and that any derivative work contains the original copyright notice and the associated -- disclaimer. -- -- This source file is free software; you can redistribute it and/or modify it under the terms of the GNU Lesser -- General Public License as published by the Free Software Foundation; either version 2.1 of the License, or -- (at your option) any later version. -- -- This source is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public License for more -- details. -- -- You should have received a copy of the GNU Lesser General Public License along with this source; if not, download -- it from http://www.gnu.org/licenses/lgpl.txt -- ------------------------------ REVISION HISTORY ----------------------------------------------------------------------- -- -- 2011/05/15 v0.10.0050 [JD] created the slave logic, with 2 clock domains, from SPI_MASTER module. -- 2011/05/15 v0.15.0055 [JD] fixed logic for starting state when CPHA='1'. -- 2011/05/17 v0.80.0049 [JD] added explicit clock synchronization circuitry across clock boundaries. -- 2011/05/18 v0.95.0050 [JD] clock generation circuitry, with generators for all-rising-edge clock core. -- 2011/06/05 v0.96.0053 [JD] changed async clear to sync resets. -- 2011/06/07 v0.97.0065 [JD] added cross-clock buffers, fixed fsm async glitches. -- 2011/06/09 v0.97.0068 [JD] reduced control sets (resets, CE, presets) to the absolute minimum to operate, to reduce -- synthesis LUT overhead in Spartan-6 architecture. -- 2011/06/11 v0.97.0075 [JD] redesigned all parallel data interfacing ports, and implemented cross-clock strobe logic. -- 2011/06/12 v0.97.0079 [JD] implemented wr_ack and di_req logic for state 0, and eliminated unnecessary registers reset. -- 2011/06/17 v0.97.0079 [JD] implemented wr_ack and di_req logic for state 0, and eliminated unnecessary registers reset. -- 2011/07/16 v1.11.0080 [JD] verified both spi_master and spi_slave in loopback at 50MHz SPI clock. -- 2011/07/29 v2.00.0110 [JD] FIX: CPHA bugs: -- - redesigned core clocking to address all CPOL and CPHA configurations. -- - added CHANGE_EDGE to the FSM register transfer logic, to have MISO change at opposite -- clock phases from SHIFT_EDGE. -- Removed global signal setting at the FSM, implementing exhaustive explicit signal attributions -- for each state, to avoid reported inference problems in some synthesis engines. -- Streamlined port names and indentation blocks. -- 2011/08/01 v2.01.0115 [JD] Adjusted 'do_valid_o' pulse width to be 2 'clk_i', as in the master core. -- Simulated in iSim with the master core for continuous transmission mode. -- 2011/08/02 v2.02.0120 [JD] Added mux for MISO at reset state, to output di(N-1) at start. This fixed a bug in first bit. -- The master and slave cores were verified in FPGA with continuous transmission, for all SPI modes. -- 2011/08/04 v2.02.0121 [JD] Changed minor comment bugs in the combinatorial fsm logic. -- 2011/08/08 v2.02.0122 [JD] FIX: continuous transfer mode bug. When wren_i is not strobed prior to state 1 (last bit), the -- sequencer goes to state 0, and then to state 'N' again. This produces a wrong bit-shift for received -- data. The fix consists in engaging continuous transfer regardless of the user strobing write enable, and -- sequencing from state 1 to N as long as the master clock is present. If the user does not write new -- data, the last data word is repeated. -- 2011/08/08 v2.02.0123 [JD] ISSUE: continuous transfer mode bug, for ignored 'di_req' cycles. Instead of repeating the last data word, -- the slave will send (others => '0') instead. -- 2011/08/28 v2.02.0126 [JD] ISSUE: the miso_o MUX that preloads tx_bit when slave is desselected will glitch for CPHA='1'. -- FIX: added a registered drive for the MUX select that will transfer the tx_reg only after the first tx_reg update. -- ----------------------------------------------------------------------------------------------------------------------- -- TODO -- ==== -- ----------------------------------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_unsigned.all; entity spi_slave is Generic ( N : positive := 32; -- 32bit serial word length is default CPOL : std_logic := '0'; -- SPI mode selection (mode 0 default) CPHA : std_logic := '0'; -- CPOL = clock polarity, CPHA = clock phase. PREFETCH : positive := 3); -- prefetch lookahead cycles Port ( clk_i : in std_logic := 'X'; -- internal interface clock (clocks di/do registers) spi_ssel_i : in std_logic := 'X'; -- spi bus slave select line spi_sck_i : in std_logic := 'X'; -- spi bus sck clock (clocks the shift register core) spi_mosi_i : in std_logic := 'X'; -- spi bus mosi input spi_miso_o : out std_logic := 'X'; -- spi bus spi_miso_o output di_req_o : out std_logic; -- preload lookahead data request line di_i : in std_logic_vector (N-1 downto 0) := (others => 'X'); -- parallel load data in (clocked in on rising edge of clk_i) wren_i : in std_logic := 'X'; -- user data write enable wr_ack_o : out std_logic; -- write acknowledge do_valid_o : out std_logic; -- do_o data valid strobe, valid during one clk_i rising edge. do_o : out std_logic_vector (N-1 downto 0); -- parallel output (clocked out on falling clk_i) --- debug ports: can be removed for the application circuit --- do_transfer_o : out std_logic; -- debug: internal transfer driver wren_o : out std_logic; -- debug: internal state of the wren_i pulse stretcher rx_bit_next_o : out std_logic; -- debug: internal rx bit state_dbg_o : out std_logic_vector (3 downto 0); -- debug: internal state register sh_reg_dbg_o : out std_logic_vector (N-1 downto 0) -- debug: internal shift register ); end spi_slave; --================================================================================================================ -- SYNTHESIS CONSIDERATIONS -- ======================== -- There are several output ports that are used to simulate and verify the core operation. -- Do not map any signals to the unused ports, and the synthesis tool will remove the related interfacing -- circuitry. -- The same is valid for the transmit and receive ports. If the receive ports are not mapped, the -- synthesis tool will remove the receive logic from the generated circuitry. -- Alternatively, you can remove these ports and related circuitry once the core is verified and -- integrated to your circuit. --================================================================================================================ architecture rtl of spi_slave is -- constants to control FlipFlop synthesis constant SHIFT_EDGE : std_logic := (CPOL xnor CPHA); -- MOSI data is captured and shifted at this SCK edge constant CHANGE_EDGE : std_logic := (CPOL xor CPHA); -- MISO data is updated at this SCK edge ------------------------------------------------------------------------------------------ -- GLOBAL RESET: -- all signals are initialized to zero at GSR (global set/reset) by giving explicit -- initialization values at declaration. This is needed for all Xilinx FPGAs, and -- especially for the Spartan-6 and newer CLB architectures, where a local reset can -- reduce the usability of the slice registers, due to the need to share the control -- set (RESET/PRESET, CLOCK ENABLE and CLOCK) by all 8 registers in a slice. -- By using GSR for the initialization, and reducing RESET local init to the really -- essential, the model achieves better LUT/FF packing and CLB usability. ------------------------------------------------------------------------------------------ -- internal state signals for register and combinatorial stages signal state_next : natural range N downto 0 := 0; -- state 0 is idle state signal state_reg : natural range N downto 0 := 0; -- state 0 is idle state -- shifter signals for register and combinatorial stages signal sh_next : std_logic_vector (N-1 downto 0); signal sh_reg : std_logic_vector (N-1 downto 0); -- mosi and miso connections signal rx_bit_next : std_logic; -- sample of MOSI input signal tx_bit_next : std_logic; signal tx_bit_reg : std_logic; -- drives MISO during sequential logic signal preload_miso : std_logic; -- controls the MISO MUX -- buffered di_i data signals for register and combinatorial stages signal di_reg : std_logic_vector (N-1 downto 0); -- internal wren_i stretcher for fsm combinatorial stage signal wren : std_logic; signal wr_ack_next : std_logic := '0'; signal wr_ack_reg : std_logic := '0'; -- buffered do_o data signals for register and combinatorial stages signal do_buffer_next : std_logic_vector (N-1 downto 0); signal do_buffer_reg : std_logic_vector (N-1 downto 0); -- internal signal to flag transfer to do_buffer_reg signal do_transfer_next : std_logic := '0'; signal do_transfer_reg : std_logic := '0'; -- internal input data request signal signal di_req_next : std_logic := '0'; signal di_req_reg : std_logic := '0'; -- cross-clock do_valid_o logic signal do_valid_next : std_logic := '0'; signal do_valid_A : std_logic := '0'; signal do_valid_B : std_logic := '0'; signal do_valid_C : std_logic := '0'; signal do_valid_D : std_logic := '0'; signal do_valid_o_reg : std_logic := '0'; -- cross-clock di_req_o logic signal di_req_o_next : std_logic := '0'; signal di_req_o_A : std_logic := '0'; signal di_req_o_B : std_logic := '0'; signal di_req_o_C : std_logic := '0'; signal di_req_o_D : std_logic := '0'; signal di_req_o_reg : std_logic := '0'; begin --============================================================================================= -- GENERICS CONSTRAINTS CHECKING --============================================================================================= -- minimum word width is 8 bits assert N >= 8 report "Generic parameter 'N' error: SPI shift register size needs to be 8 bits minimum" severity FAILURE; -- maximum prefetch lookahead check assert PREFETCH <= N-5 report "Generic parameter 'PREFETCH' error: lookahead count out of range, needs to be N-5 maximum" severity FAILURE; --============================================================================================= -- GENERATE BLOCKS --============================================================================================= --============================================================================================= -- DATA INPUTS --============================================================================================= -- connect rx bit input rx_bit_proc : rx_bit_next <= spi_mosi_i; --============================================================================================= -- CROSS-CLOCK PIPELINE TRANSFER LOGIC --============================================================================================= -- do_valid_o and di_req_o strobe output logic -- this is a delayed pulse generator with a ripple-transfer FFD pipeline, that generates a -- fixed-length delayed pulse for the output flags, at the parallel clock domain out_transfer_proc : process ( clk_i, do_transfer_reg, di_req_reg, do_valid_A, do_valid_B, do_valid_D, di_req_o_A, di_req_o_B, di_req_o_D) is begin if clk_i'event and clk_i = '1' then -- clock at parallel port clock -- do_transfer_reg -> do_valid_o_reg do_valid_A <= do_transfer_reg; -- the input signal must be at least 2 clocks long do_valid_B <= do_valid_A; -- feed it to a ripple chain of FFDs do_valid_C <= do_valid_B; do_valid_D <= do_valid_C; do_valid_o_reg <= do_valid_next; -- registered output pulse -------------------------------- -- di_req_reg -> di_req_o_reg di_req_o_A <= di_req_reg; -- the input signal must be at least 2 clocks long di_req_o_B <= di_req_o_A; -- feed it to a ripple chain of FFDs di_req_o_C <= di_req_o_B; di_req_o_D <= di_req_o_C; di_req_o_reg <= di_req_o_next; -- registered output pulse end if; -- generate a 2-clocks pulse at the 3rd clock cycle do_valid_next <= do_valid_A and do_valid_B and not do_valid_D; di_req_o_next <= di_req_o_A and di_req_o_B and not di_req_o_D; end process out_transfer_proc; -- parallel load input registers: data register and write enable in_transfer_proc: process (clk_i, wren_i, wr_ack_reg) is begin -- registered data input, input register with clock enable if clk_i'event and clk_i = '1' then if wren_i = '1' then di_reg <= di_i; -- parallel data input buffer register end if; end if; -- stretch wren pulse to be detected by spi fsm (ffd with sync preset and sync reset) if clk_i'event and clk_i = '1' then if wren_i = '1' then -- wren_i is the sync preset for wren wren <= '1'; elsif wr_ack_reg = '1' then -- wr_ack is the sync reset for wren wren <= '0'; end if; end if; end process in_transfer_proc; --============================================================================================= -- REGISTER TRANSFER PROCESSES --============================================================================================= -- fsm state and data registers change on spi SHIFT_EDGE core_reg_proc : process (spi_sck_i, spi_ssel_i) is begin -- FFD registers clocked on SHIFT edge and cleared on idle (spi_ssel_i = 1) -- state fsm register (fdr) if spi_ssel_i = '1' then -- async clr state_reg <= 0; -- state falls back to idle when slave not selected elsif spi_sck_i'event and spi_sck_i = SHIFT_EDGE then -- on SHIFT edge, update state register state_reg <= state_next; -- core fsm changes state with spi SHIFT clock end if; -- FFD registers clocked on SHIFT edge -- rtl core registers (fd) if spi_sck_i'event and spi_sck_i = SHIFT_EDGE then -- on fsm state change, update all core registers sh_reg <= sh_next; -- core shift register do_buffer_reg <= do_buffer_next; -- registered data output do_transfer_reg <= do_transfer_next; -- cross-clock transfer flag di_req_reg <= di_req_next; -- input data request wr_ack_reg <= wr_ack_next; -- wren ack for data load synchronization end if; -- FFD registers clocked on CHANGE edge and cleared on idle (spi_ssel_i = 1) -- miso MUX preload control register (fdp) if spi_ssel_i = '1' then -- async preset preload_miso <= '1'; -- miso MUX sees top bit of parallel input when slave not selected elsif spi_sck_i'event and spi_sck_i = CHANGE_EDGE then -- on CHANGE edge, change to tx_reg output preload_miso <= spi_ssel_i; -- miso MUX sees tx_bit_reg when it is driven by SCK end if; -- FFD registers clocked on CHANGE edge -- tx_bit register (fd) if spi_sck_i'event and spi_sck_i = CHANGE_EDGE then tx_bit_reg <= tx_bit_next; -- update MISO driver from the MSb end if; end process core_reg_proc; --============================================================================================= -- COMBINATORIAL LOGIC PROCESSES --============================================================================================= -- state and datapath combinatorial logic core_combi_proc : process ( sh_reg, sh_next, state_reg, tx_bit_reg, rx_bit_next, do_buffer_reg, do_transfer_reg, di_reg, di_req_reg, wren, wr_ack_reg) is begin -- all output signals are assigned to (avoid latches) sh_next <= sh_reg; -- shift register tx_bit_next <= tx_bit_reg; -- MISO driver do_buffer_next <= do_buffer_reg; -- output data buffer do_transfer_next <= do_transfer_reg; -- output data flag wr_ack_next <= wr_ack_reg; -- write enable acknowledge di_req_next <= di_req_reg; -- data input request state_next <= state_reg; -- fsm control state case state_reg is when (N) => -- deassert 'di_rdy' and stretch do_valid wr_ack_next <= '0'; -- acknowledge data in transfer di_req_next <= '0'; -- prefetch data request: deassert when shifting data tx_bit_next <= sh_reg(N-1); -- output next MSbit sh_next(N-1 downto 1) <= sh_reg(N-2 downto 0); -- shift inner bits sh_next(0) <= rx_bit_next; -- shift in rx bit into LSb state_next <= state_reg - 1; -- update next state at each sck pulse when (N-1) downto (PREFETCH+3) => -- remove 'do_transfer' and shift bits do_transfer_next <= '0'; -- reset 'do_valid' transfer signal di_req_next <= '0'; -- prefetch data request: deassert when shifting data wr_ack_next <= '0'; -- remove data load ack for all but the load stages tx_bit_next <= sh_reg(N-1); -- output next MSbit sh_next(N-1 downto 1) <= sh_reg(N-2 downto 0); -- shift inner bits sh_next(0) <= rx_bit_next; -- shift in rx bit into LSb state_next <= state_reg - 1; -- update next state at each sck pulse when (PREFETCH+2) downto 3 => -- raise prefetch 'di_req_o' signal di_req_next <= '1'; -- request data in advance to allow for pipeline delays wr_ack_next <= '0'; -- remove data load ack for all but the load stages tx_bit_next <= sh_reg(N-1); -- output next MSbit sh_next(N-1 downto 1) <= sh_reg(N-2 downto 0); -- shift inner bits sh_next(0) <= rx_bit_next; -- shift in rx bit into LSb state_next <= state_reg - 1; -- update next state at each sck pulse when 2 => -- transfer received data to do_buffer_reg on next cycle di_req_next <= '1'; -- request data in advance to allow for pipeline delays wr_ack_next <= '0'; -- remove data load ack for all but the load stages tx_bit_next <= sh_reg(N-1); -- output next MSbit sh_next(N-1 downto 1) <= sh_reg(N-2 downto 0); -- shift inner bits sh_next(0) <= rx_bit_next; -- shift in rx bit into LSb do_transfer_next <= '1'; -- signal transfer to do_buffer on next cycle do_buffer_next <= sh_next; -- get next data directly into rx buffer state_next <= state_reg - 1; -- update next state at each sck pulse when 1 => -- transfer rx data to do_buffer and restart if new data is written sh_next(0) <= rx_bit_next; -- shift in rx bit into LSb di_req_next <= '0'; -- prefetch data request: deassert when shifting data state_next <= N; -- next state is top bit of new data if wren = '1' then -- load tx register if valid data present at di_reg wr_ack_next <= '1'; -- acknowledge data in transfer sh_next(N-1 downto 1) <= di_reg(N-2 downto 0); -- shift inner bits tx_bit_next <= di_reg(N-1); -- first output bit comes from the MSb of parallel data else wr_ack_next <= '0'; -- no data reload for continuous transfer mode sh_next(N-1 downto 1) <= (others => '0'); -- clear transmit shift register tx_bit_next <= '0'; -- send ZERO end if; when 0 => -- idle state: start and end of transmission sh_next(0) <= rx_bit_next; -- shift in rx bit into LSb sh_next(N-1 downto 1) <= di_reg(N-2 downto 0); -- shift inner bits tx_bit_next <= di_reg(N-1); -- first output bit comes from the MSb of parallel data wr_ack_next <= '1'; -- acknowledge data in transfer di_req_next <= '0'; -- prefetch data request: deassert when shifting data do_transfer_next <= '0'; -- clear signal transfer to do_buffer state_next <= N; -- next state is top bit of new data when others => state_next <= 0; -- safe state end case; end process core_combi_proc; --============================================================================================= -- OUTPUT LOGIC PROCESSES --============================================================================================= -- data output processes do_o_proc : do_o <= do_buffer_reg; -- do_o always available do_valid_o_proc: do_valid_o <= do_valid_o_reg; -- copy registered do_valid_o to output di_req_o_proc: di_req_o <= di_req_o_reg; -- copy registered di_req_o to output wr_ack_o_proc: wr_ack_o <= wr_ack_reg; -- copy registered wr_ack_o to output ----------------------------------------------------------------------------------------------- -- MISO driver process: preload top bit of parallel data to MOSI at reset ----------------------------------------------------------------------------------------------- -- this is a MUX that selects the combinatorial next tx bit at reset, and the registered tx bit -- at sequential operation. The mux gives us a preload of the first bit, simplifying the shifter logic. spi_miso_o_proc: process (preload_miso, tx_bit_reg, di_reg) is begin if preload_miso = '1' then spi_miso_o <= di_reg(N-1); -- copy top bit of parallel data at reset else spi_miso_o <= tx_bit_reg; -- copy top bit of shifter at sequential operation end if; end process spi_miso_o_proc; --============================================================================================= -- DEBUG LOGIC PROCESSES --============================================================================================= -- these signals are useful for verification, and can be deleted after debug. do_transfer_proc: do_transfer_o <= do_transfer_reg; state_debug_proc: state_dbg_o <= std_logic_vector(to_unsigned(state_reg, 4)); -- export internal state to debug rx_bit_next_proc: rx_bit_next_o <= rx_bit_next; wren_o_proc: wren_o <= wren; sh_reg_debug_proc: sh_reg_dbg_o <= sh_reg; -- export sh_reg to debug end architecture rtl;
library verilog; use verilog.vl_types.all; entity cyclic_reg_with_clock_vlg_sample_tst is port( clk : in vl_logic; reset : in vl_logic; sampler_tx : out vl_logic ); end cyclic_reg_with_clock_vlg_sample_tst;
----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- -- -- This file is part of the DSP-Crowd project -- -- https://www.dsp-crowd.com -- -- -- -- Author(s): -- -- - Johannes Natter, [email protected] -- -- -- ----------------------------------------------------------------------------- -- -- -- Copyright (C) 2017 Authors and www.dsp-crowd.com -- -- -- -- This program is free software: you can redistribute it and/or modify -- -- it under the terms of the GNU General Public License as published by -- -- the Free Software Foundation, either version 3 of the License, or -- -- (at your option) any later version. -- -- -- -- This program is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- -- GNU General Public License for more details. -- -- -- -- You should have received a copy of the GNU General Public License -- -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity gpio_ext is generic ( my_id : natural := 0 ); port ( clock : in std_ulogic; n_reset_async : in std_ulogic; spi_cs : in std_ulogic; data : in std_ulogic_vector(7 downto 0); data_is_id : in std_ulogic; data_valid : in std_ulogic; input_state : out std_ulogic; input_state_valid : out std_ulogic; cmd_done : out std_ulogic; gpio_in : in std_ulogic; gpio_out : out std_ulogic; gpio_en : out std_ulogic ); begin assert (my_id >= 0) report "gpio_ext: id must be at least 1" severity error; end gpio_ext; architecture rtl of gpio_ext is type STATEMACHINE_MAIN_STEP_TYPE is ( SM_WAIT_SELECTED, SM_GET_CMD, SM_CHECK_CMD, SM_GET_INPUT, SM_GET_DUMMY, SM_SET_OUTPUT, SM_GET_COUNTER_MAX, SM_GET_COUNTER_MID ); type GPIO_TYPE is ( GPIO_INPUT, GPIO_OUTPUT, GPIO_PWM ); subtype BYTE_IDX_TYPE is integer range 0 to 3; type REG_TYPE is record sm_step : STATEMACHINE_MAIN_STEP_TYPE; data : std_ulogic_vector(7 downto 0); counter : natural; counter_max : natural; counter_mid : natural; byte_idx : BYTE_IDX_TYPE; tmp : std_ulogic_vector(23 downto 0); gpio_type : GPIO_TYPE; gpio : std_ulogic; end record; constant RSET_INIT_VAL : REG_TYPE := ( sm_step => SM_WAIT_SELECTED, data => (others => '0'), counter => 0, counter_max => 0, counter_mid => 0, byte_idx => 0, tmp => (others => '0'), gpio_type => GPIO_INPUT, gpio => '0' ); signal R, NxR : REG_TYPE; begin proc_comb: process(R, spi_cs, data, data_is_id, data_valid, gpio_in) begin NxR <= R; input_state <= '0'; input_state_valid <= '0'; cmd_done <= '0'; gpio_out <= R.gpio; if(R.gpio_type = GPIO_OUTPUT or R.gpio_type = GPIO_PWM)then gpio_en <= '1'; else gpio_en <= '0'; end if; if(R.gpio_type = GPIO_PWM)then if(R.counter < R.counter_max - 1)then NxR.counter <= R.counter + 1; else NxR.counter <= 0; end if; if(R.counter < R.counter_mid)then NxR.gpio <= '1'; else NxR.gpio <= '0'; end if; end if; case R.sm_step is when SM_WAIT_SELECTED => if(data_is_id = '1' and to_integer(unsigned(data)) = my_id)then NxR.sm_step <= SM_GET_CMD; end if; when SM_GET_CMD => if(data_valid = '1')then NxR.data <= data; NxR.sm_step <= SM_CHECK_CMD; end if; when SM_CHECK_CMD => if(R.data(1 downto 0) = "00")then -- read NxR.gpio_type <= GPIO_INPUT; NxR.sm_step <= SM_GET_INPUT; elsif(R.data(1 downto 0) = "01")then -- write NxR.gpio_type <= GPIO_OUTPUT; NxR.sm_step <= SM_SET_OUTPUT; else -- pwm NxR.byte_idx <= 3; NxR.sm_step <= SM_GET_COUNTER_MAX; end if; when SM_GET_INPUT => input_state <= gpio_in; input_state_valid <= '1'; NxR.sm_step <= SM_GET_DUMMY; when SM_GET_DUMMY => if(data_valid = '1')then cmd_done <= '1'; NxR.sm_step <= SM_WAIT_SELECTED; end if; when SM_SET_OUTPUT => if(data_valid = '1')then cmd_done <= '1'; NxR.gpio <= data(0); NxR.sm_step <= SM_WAIT_SELECTED; end if; when SM_GET_COUNTER_MAX => if(data_valid = '1')then if(R.byte_idx = 0)then NxR.counter_max <= to_integer(unsigned(R.tmp & data)); NxR.byte_idx <= 3; NxR.sm_step <= SM_GET_COUNTER_MID; else NxR.tmp(8 * R.byte_idx - 1 downto 8 * (R.byte_idx - 1)) <= data; NxR.byte_idx <= R.byte_idx - 1; end if; end if; when SM_GET_COUNTER_MID => if(data_valid = '1')then if(R.byte_idx = 0)then NxR.counter_mid <= to_integer(unsigned(R.tmp & data)); NxR.gpio_type <= GPIO_PWM; cmd_done <= '1'; NxR.sm_step <= SM_WAIT_SELECTED; else NxR.tmp(8 * R.byte_idx - 1 downto 8 * (R.byte_idx - 1)) <= data; NxR.byte_idx <= R.byte_idx - 1; end if; end if; when others => NxR.sm_step <= SM_WAIT_SELECTED; end case; if(spi_cs = '1')then NxR.sm_step <= SM_WAIT_SELECTED; end if; end process; proc_reg: process(n_reset_async, clock) begin if(n_reset_async = '0')then R <= RSET_INIT_VAL; elsif(clock'event and clock = '1')then R <= NxR; end if; end process; end architecture rtl;
----------------------------------------------------------------------------- -- LEON3 Demonstration design -- Copyright (C) 2011 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib, techmap; use grlib.amba.all; use grlib.amba.all; use grlib.stdlib.all; use techmap.gencomp.all; use techmap.allclkgen.all; library gaisler; use gaisler.memctrl.all; use gaisler.leon3.all; use gaisler.uart.all; use gaisler.misc.all; use gaisler.spi.all; use gaisler.i2c.all; use gaisler.can.all; use gaisler.net.all; use gaisler.jtag.all; use gaisler.spacewire.all; -- pragma translate_off use gaisler.sim.all; library unisim; use unisim.all; -- pragma translate_on library esa; use esa.memoryctrl.all; use work.config.all; entity leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW ); port ( resetn : in std_ulogic; clk : in std_ulogic; -- 50 MHz main clock clk2 : in std_ulogic; -- User clock clk125 : in std_ulogic; -- 125 MHz clock from PHY wdogn : out std_ulogic; address : out std_logic_vector(24 downto 0); data : inout std_logic_vector(31 downto 24); oen : out std_ulogic; writen : out std_ulogic; romsn : out std_logic; ddr_clk : out std_logic; ddr_clkb : out std_logic; ddr_cke : out std_logic; ddr_odt : out std_logic; ddr_we : out std_ulogic; -- ddr write enable ddr_ras : out std_ulogic; -- ddr ras ddr_csn : out std_ulogic; -- ddr csn ddr_cas : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (1 downto 0); -- ddr dqs ddr_dqsn : inout std_logic_vector (1 downto 0); -- ddr dqs n ddr_ad : out std_logic_vector (12 downto 0); -- ddr address ddr_ba : out std_logic_vector (2 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (15 downto 0); -- ddr data ddr_rzq : inout std_ulogic; ddr_zio : inout std_ulogic; txd1 : out std_ulogic; -- UART1 tx data rxd1 : in std_ulogic; -- UART1 rx data ctsn1 : in std_ulogic; -- UART1 ctsn rtsn1 : out std_ulogic; -- UART1 trsn txd2 : out std_ulogic; -- UART2 tx data rxd2 : in std_ulogic; -- UART2 rx data ctsn2 : in std_ulogic; -- UART2 ctsn rtsn2 : out std_ulogic; -- UART2 rtsn pio : inout std_logic_vector(17 downto 0); -- I/O port genio : inout std_logic_vector(59 downto 0); -- I/O port switch : in std_logic_vector(9 downto 0); -- I/O port led : out std_logic_vector(3 downto 0); -- I/O port erx_clk : in std_ulogic; emdio : inout std_logic; -- ethernet PHY interface erxd : in std_logic_vector(3 downto 0); erx_dv : in std_ulogic; emdint : in std_ulogic; etx_clk : out std_ulogic; etxd : out std_logic_vector(3 downto 0); etx_en : out std_ulogic; emdc : out std_ulogic; ps2clk : inout std_logic_vector(1 downto 0); ps2data : inout std_logic_vector(1 downto 0); iic_scl : inout std_ulogic; iic_sda : inout std_ulogic; ddc_scl : inout std_ulogic; ddc_sda : inout std_ulogic; dvi_iic_scl : inout std_logic; dvi_iic_sda : inout std_logic; tft_lcd_data : out std_logic_vector(11 downto 0); tft_lcd_clk_p : out std_ulogic; tft_lcd_clk_n : out std_ulogic; tft_lcd_hsync : out std_ulogic; tft_lcd_vsync : out std_ulogic; tft_lcd_de : out std_ulogic; tft_lcd_reset_b : out std_ulogic; spw_clk : in std_ulogic; spw_rxdp : in std_logic_vector(0 to CFG_SPW_NUM-1); spw_rxdn : in std_logic_vector(0 to CFG_SPW_NUM-1); spw_rxsp : in std_logic_vector(0 to CFG_SPW_NUM-1); spw_rxsn : in std_logic_vector(0 to CFG_SPW_NUM-1); spw_txdp : out std_logic_vector(0 to CFG_SPW_NUM-1); spw_txdn : out std_logic_vector(0 to CFG_SPW_NUM-1); spw_txsp : out std_logic_vector(0 to CFG_SPW_NUM-1); spw_txsn : out std_logic_vector(0 to CFG_SPW_NUM-1); -- SPI flash spi_sel_n : inout std_ulogic; spi_clk : out std_ulogic; spi_mosi : out std_ulogic ); end; architecture rtl of leon3mp is component BUFG port (O : out std_logic; I : in std_logic); end component; component IODELAY2 generic ( COUNTER_WRAPAROUND : string := "WRAPAROUND"; DATA_RATE : string := "SDR"; DELAY_SRC : string := "IO"; IDELAY2_VALUE : integer := 0; IDELAY_MODE : string := "NORMAL"; IDELAY_TYPE : string := "DEFAULT"; IDELAY_VALUE : integer := 0; ODELAY_VALUE : integer := 0; SERDES_MODE : string := "NONE"; SIM_TAPDELAY_VALUE : integer := 75 ); port ( BUSY : out std_ulogic; DATAOUT : out std_ulogic; DATAOUT2 : out std_ulogic; DOUT : out std_ulogic; TOUT : out std_ulogic; CAL : in std_ulogic; CE : in std_ulogic; CLK : in std_ulogic; IDATAIN : in std_ulogic; INC : in std_ulogic; IOCLK0 : in std_ulogic; IOCLK1 : in std_ulogic; ODATAIN : in std_ulogic; RST : in std_ulogic; T : in std_ulogic ); end component; attribute syn_netlist_hierarchy : boolean; attribute syn_netlist_hierarchy of rtl : architecture is false; constant use_eth_input_delay : integer := 1; constant use_eth_output_delay : integer := 1; constant use_eth_data_output_delay : integer := 1; constant use_eth_input_delay_clk : integer := 0; constant use_gtx_clk : integer := 0; constant blength : integer := 12; constant fifodepth : integer := 8; constant maxahbm : integer := CFG_NCPU+CFG_AHB_UART+CFG_GRETH+ CFG_AHB_JTAG+CFG_SPW_NUM*CFG_SPW_EN; signal vcc, gnd : std_logic; signal memi : memory_in_type; signal memo : memory_out_type; signal wpo : wprot_out_type; signal sdi : sdctrl_in_type; signal sdo : sdram_out_type; signal leds : std_logic_vector(3 downto 0); -- I/O port signal apbi, apbi2 : apb_slv_in_type; signal apbo, apbo2 : apb_slv_out_vector := (others => apb_none); signal ahbsi : ahb_slv_in_type; signal ahbso : ahb_slv_out_vector := (others => ahbs_none); signal ahbmi : ahb_mst_in_type; signal vahbmi : ahb_mst_in_type; signal ahbmo : ahb_mst_out_vector := (others => ahbm_none); signal vahbmo : ahb_mst_out_type; signal clkm, rstn, rstraw, sdclkl : std_ulogic; signal clk_200 : std_ulogic; signal clk25, clk40, clk65 : std_ulogic; signal cgi, cgi2, cgi3 : clkgen_in_type; signal cgo, cgo2, cgo3 : clkgen_out_type; signal u1i, u2i, dui : uart_in_type; signal u1o, u2o, duo : uart_out_type; signal irqi : irq_in_vector(0 to CFG_NCPU-1); signal irqo : irq_out_vector(0 to CFG_NCPU-1); signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1); signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1); signal dsui : dsu_in_type; signal dsuo : dsu_out_type; signal gmiii, rgmiii, rgmiii_buf, rgmii_pad : eth_in_type; signal gmiio, rgmiio : eth_out_type; signal gpti : gptimer_in_type; signal gpto : gptimer_out_type; signal gpioi : gpio_in_type; signal gpioo : gpio_out_type; signal gpioi2 : gpio_in_type; signal gpioo2 : gpio_out_type; signal gpioi3 : gpio_in_type; signal gpioo3 : gpio_out_type; signal can_lrx, can_ltx : std_logic_vector(0 to 7); signal lock, calib_done, clkml, lclk, rst, ndsuact, wdogl : std_ulogic := '0'; signal tck, tckn, tms, tdi, tdo : std_ulogic; signal ethclk, ddr2clk : std_ulogic; signal kbdi : ps2_in_type; signal kbdo : ps2_out_type; signal moui : ps2_in_type; signal mouo : ps2_out_type; signal vgao : apbvga_out_type; signal lcd_datal : std_logic_vector(11 downto 0); signal lcd_hsyncl, lcd_vsyncl, lcd_del, lcd_reset_bl : std_ulogic; signal i2ci, dvi_i2ci : i2c_in_type; signal i2co, dvi_i2co : i2c_out_type; signal spmi : spimctrl_in_type; signal spmo : spimctrl_out_type; signal spmi2 : spimctrl_in_type; signal spmo2 : spimctrl_out_type; constant BOARD_FREQ : integer := 50000; -- input frequency in KHz constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz constant IOAEN : integer := CFG_CAN; constant DDR2_FREQ : integer := 200000; -- DDR2 input frequency in KHz signal spwi : grspw_in_type_vector(0 to CFG_SPW_NUM-1); signal spwo : grspw_out_type_vector(0 to CFG_SPW_NUM-1); signal dtmp : std_logic_vector(CFG_SPW_NUM*CFG_SPW_PORTS-1 downto 0); signal stmp : std_logic_vector(CFG_SPW_NUM*CFG_SPW_PORTS-1 downto 0); signal spw_rxtxclk : std_ulogic; signal spw_rxclkn : std_ulogic; signal spw_rxclk : std_logic_vector(0 to CFG_SPW_NUM*CFG_SPW_PORTS); signal spw_rstn : std_ulogic; signal spw_rstn_sync : std_ulogic; signal stati : ahbstat_in_type; signal fpi : grfpu_in_vector_type; signal fpo : grfpu_out_vector_type; signal rstgtxn : std_logic; signal idelay_reset_cnt : std_logic_vector(3 downto 0); signal idelay_cal_cnt : std_logic_vector(3 downto 0); signal idelayctrl_reset : std_logic; signal idelayctrl_cal : std_logic; signal rgmiii_rx_clk_n : std_logic; signal rgmiii_rx_clk_n_buf : std_logic; signal rgmiio_tx_clk,rgmiio_tx_en : std_logic; signal rgmiio_txd : std_logic_vector(3 downto 0); -- Used for connecting input/output signals to the DDR2 controller signal core_ddr_clk : std_logic_vector(2 downto 0); signal core_ddr_clkb : std_logic_vector(2 downto 0); signal core_ddr_cke : std_logic_vector(1 downto 0); signal core_ddr_csb : std_logic_vector(1 downto 0); signal core_ddr_ad : std_logic_vector(13 downto 0); signal core_ddr_odt : std_logic_vector(1 downto 0); constant SPW_LOOP_BACK : integer := 0; signal video_clk, clk50, clk100, spw100 : std_logic; -- signals to vga_clkgen. signal clk_sel : std_logic_vector(1 downto 0); signal clkvga, clkvga_p, clkvga_n : std_ulogic; signal clk_125, clk_125_pll, clk_125_bufg : std_ulogic; signal nerror : std_ulogic; attribute keep : boolean; attribute syn_keep : boolean; attribute syn_preserve : boolean; attribute syn_keep of clk50 : signal is true; attribute syn_preserve of clk50 : signal is true; attribute keep of clk50 : signal is true; attribute syn_keep of video_clk : signal is true; attribute syn_preserve of video_clk : signal is true; attribute keep of video_clk : signal is true; attribute syn_preserve of ddr2clk : signal is true; attribute keep of ddr2clk : signal is true; attribute syn_keep of ddr2clk : signal is true; attribute syn_preserve of spw100 : signal is true; attribute keep of spw100 : signal is true; attribute syn_preserve of clkm : signal is true; attribute keep of clkm : signal is true; begin ---------------------------------------------------------------------- --- Reset and Clock generation ------------------------------------- ---------------------------------------------------------------------- vcc <= '1'; gnd <= '0'; cgi.pllctrl <= "00"; cgi.pllrst <= rstraw; clk_pad : clkpad generic map (tech => padtech) port map (clk, lclk); ddr2clk <= lclk; ethclk <= lclk; no_clk_mig : if CFG_MIG_DDR2 = 0 generate clkgen0 : clkgen -- clock generator generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_MCTRL_SDEN, CFG_CLK_NOFB, 0, 0, 0, BOARD_FREQ) port map (lclk, lclk, clkm, open, open, sdclkl, open, cgi, cgo, open, clk50, clk100); rst0 : rstgen -- reset generator generic map(syncin => 1) port map (rst, clkm, lock, rstn, rstraw); end generate; clk_mig : if CFG_MIG_DDR2 = 1 generate clk50 <= clkm; rstraw <= rst; cgo.clklock <= '1'; end generate; resetn_pad : inpad generic map (tech => padtech) port map (resetn, rst); lock <= cgo.clklock and calib_done; ---------------------------------------------------------------------- --- AHB CONTROLLER -------------------------------------------------- ---------------------------------------------------------------------- ahb0 : ahbctrl -- AHB arbiter/multiplexer generic map (defmast => CFG_DEFMST, split => CFG_SPLIT, rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => IOAEN, nahbm => maxahbm, nahbs => 16) port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso); ---------------------------------------------------------------------- --- LEON3 processor and DSU ----------------------------------------- ---------------------------------------------------------------------- nosh : if CFG_GRFPUSH = 0 generate cpu : for i in 0 to CFG_NCPU-1 generate l3ft : if CFG_LEON3FT_EN /= 0 generate leon3ft0 : leon3ft -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU*(1-CFG_GRFPUSH), CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1, CFG_IUFT_EN, CFG_FPUFT_EN, CFG_CACHE_FT_EN, CFG_RF_ERRINJ, CFG_CACHE_ERRINJ, CFG_DFIXED, CFG_LEON3_NETLIST, CFG_SCAN, CFG_MMU_PAGE, CFG_BP, CFG_NP_ASI, CFG_WRPSR) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i), clkm); end generate; l3s : if CFG_LEON3FT_EN = 0 generate u0 : leon3s -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU*(1-CFG_GRFPUSH), CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1, CFG_DFIXED, CFG_SCAN, CFG_MMU_PAGE, CFG_BP, CFG_NP_ASI, CFG_WRPSR) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i)); end generate; end generate; end generate; sh : if CFG_GRFPUSH = 1 generate cpu : for i in 0 to CFG_NCPU-1 generate l3ft : if CFG_LEON3FT_EN /= 0 generate leon3ft0 : leon3ftsh -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1, CFG_IUFT_EN, CFG_FPUFT_EN, CFG_CACHE_FT_EN, CFG_RF_ERRINJ, CFG_CACHE_ERRINJ, CFG_DFIXED, CFG_LEON3_NETLIST, CFG_SCAN, CFG_MMU_PAGE, CFG_BP, CFG_NP_ASI, CFG_WRPSR) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i), clkm, fpi(i), fpo(i)); end generate; l3s : if CFG_LEON3FT_EN = 0 generate u0 : leon3sh -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1, CFG_DFIXED, CFG_SCAN, CFG_MMU_PAGE, CFG_BP, CFG_NP_ASI, CFG_WRPSR) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i), fpi(i), fpo(i)); end generate; end generate; grfpush0 : grfpushwx generic map ((CFG_FPU-1), CFG_NCPU, fabtech) port map (clkm, rstn, fpi, fpo); end generate; nerror <= dbgo(0).error; led1_pad : odpad generic map (tech => padtech) port map (led(1), nerror); dsugen : if CFG_DSU = 1 generate dsu0 : dsu3 -- LEON3 Debug Support Unit generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#, ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ) port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo); dsuen_pad : inpad generic map (tech => padtech) port map (switch(7), dsui.enable); dsubre_pad : inpad generic map (tech => padtech) port map (switch(8), dsui.break); dsuact_pad : outpad generic map (tech => padtech) port map (led(0), ndsuact); ndsuact <= not dsuo.active; end generate; nodsu : if CFG_DSU = 0 generate dsuo.tstop <= '0'; dsuo.active <= '0'; ahbso(2) <= ahbs_none; end generate; dcomgen : if CFG_AHB_UART = 1 generate dcom0: ahbuart -- Debug UART generic map (hindex => CFG_NCPU, pindex => 7, paddr => 7) port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(CFG_NCPU)); dsurx_pad : inpad generic map (tech => padtech) port map (rxd2, dui.rxd); dsutx_pad : outpad generic map (tech => padtech) port map (txd2, duo.txd); end generate; nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate; ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART) port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART), open, open, open, open, open, open, open, gnd); end generate; ---------------------------------------------------------------------- --- Memory controllers ---------------------------------------------- ---------------------------------------------------------------------- memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "00"; memi.brdyn <= '0'; memi.bexcn <= '1'; mctrl0 : if CFG_MCTRL_LEON2 /= 0 generate mctrl0 : mctrl generic map (hindex => 0, pindex => 0, paddr => 0, srbanks => 2, ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT, sden => CFG_MCTRL_SDEN, invclk => CFG_CLK_NOFB, sepbus => CFG_MCTRL_SEPBUS, pageburst => CFG_MCTRL_PAGE, rammask => 0, iomask => 0) port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo); addr_pad : outpadv generic map (width => 25, tech => padtech) port map (address, memo.address(24 downto 0)); roms_pad : outpad generic map (tech => padtech) port map (romsn, memo.romsn(0)); oen_pad : outpad generic map (tech => padtech) port map (oen, memo.oen); wri_pad : outpad generic map (tech => padtech) port map (writen, memo.writen); bdr : for i in 0 to 0 generate data_pad : iopadv generic map (tech => padtech, width => 8) port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8), memo.bdrive(i), memi.data(31-i*8 downto 24-i*8)); end generate; end generate; nomctrl : if CFG_MCTRL_LEON2 = 0 generate romsn <= '1'; ahbso(0) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- Test report module ---------------------------------------------- ----------------------------------------------------------------------- -- pragma translate_off test0 : ahbrep generic map (hindex => 6, haddr => 16#200#) port map (rstn, clkm, ahbsi, ahbso(6)); -- pragma translate_on ---------------------------------------------------------------------- --- DDR2 memory controller ------------------------------------------ ---------------------------------------------------------------------- ddr_csn <= '0'; mig_gen : if (CFG_MIG_DDR2 = 1) generate ddrc : entity work.ahb2mig_grxc6s_2p generic map( hindex => 4, haddr => 16#400#, hmask => 16#F80#, pindex => 0, paddr => 0, vgamst => CFG_SVGA_ENABLE, vgaburst => 64, clkdiv => 10) port map( mcb3_dram_dq => ddr_dq, mcb3_dram_a => ddr_ad, mcb3_dram_ba => ddr_ba, mcb3_dram_ras_n => ddr_ras, mcb3_dram_cas_n => ddr_cas, mcb3_dram_we_n => ddr_we, mcb3_dram_odt => ddr_odt, mcb3_dram_cke => ddr_cke, mcb3_dram_dm => ddr_dm(0), mcb3_dram_udqs => ddr_dqs(1), mcb3_dram_udqs_n => ddr_dqsn(1), mcb3_rzq => ddr_rzq, mcb3_zio => ddr_zio, mcb3_dram_udm => ddr_dm(1), mcb3_dram_dqs => ddr_dqs(0), mcb3_dram_dqs_n => ddr_dqsn(0), mcb3_dram_ck => ddr_clk, mcb3_dram_ck_n => ddr_clkb, ahbsi => ahbsi, ahbso => ahbso(4), ahbmi => vahbmi, ahbmo => vahbmo, apbi => apbi2, apbo => apbo2(0), calib_done => calib_done, rst_n_syn => rstn, rst_n_async => rstraw, clk_amba => clkm, clk_mem_n => ddr2clk, clk_mem_p => ddr2clk, test_error => open, clk_125 => clk_125, clk_100 => clk100 ); end generate; noddr : if (CFG_DDR2SP+CFG_MIG_DDR2) = 0 generate calib_done <= '1'; end generate; ---------------------------------------------------------------------- --- SPI Memory Controller-------------------------------------------- ---------------------------------------------------------------------- spimc: if CFG_SPICTRL_ENABLE = 0 and CFG_SPIMCTRL = 1 generate spimctrl0 : spimctrl -- SPI Memory Controller generic map (hindex => 3, hirq => 7, faddr => 16#e00#, fmask => 16#ff8#, ioaddr => 16#002#, iomask => 16#fff#, spliten => CFG_SPLIT, oepol => 0, sdcard => CFG_SPIMCTRL_SDCARD, readcmd => CFG_SPIMCTRL_READCMD, dummybyte => CFG_SPIMCTRL_DUMMYBYTE, dualoutput => CFG_SPIMCTRL_DUALOUTPUT, scaler => CFG_SPIMCTRL_SCALER, altscaler => CFG_SPIMCTRL_ASCALER, pwrupcnt => CFG_SPIMCTRL_PWRUPCNT) port map (rstn, clkm, ahbsi, ahbso(3), spmi, spmo); -- MISO is shared with Flash data 0 spmi.miso <= memi.data(24); mosi_pad : outpad generic map (tech => padtech) port map (spi_mosi, spmo.mosi); sck_pad : outpad generic map (tech => padtech) port map (spi_clk, spmo.sck); slvsel0_pad : odpad generic map (tech => padtech) port map (spi_sel_n, spmo.csn); end generate; nospimc: if ((CFG_SPICTRL_ENABLE = 0 and CFG_SPIMCTRL = 0) or (CFG_SPICTRL_ENABLE = 1 and CFG_SPIMCTRL = 1) or (CFG_SPICTRL_ENABLE = 1 and CFG_SPIMCTRL = 0))generate mosi_pad : outpad generic map (tech => padtech) port map (spi_mosi, '0'); sck_pad : outpad generic map (tech => padtech) port map (spi_clk, '0'); end generate; ---------------------------------------------------------------------- --- APB Bridge and various periherals ------------------------------- ---------------------------------------------------------------------- apb0 : apbctrl -- AHB/APB bridge generic map (hindex => 1, haddr => CFG_APBADDR, nslaves => 16) port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo ); apb1 : apbctrl -- AHB/APB bridge generic map (hindex => 13, haddr => CFG_APBADDR+1, nslaves => 16) port map (rstn, clkm, ahbsi, ahbso(13), apbi2, apbo2 ); ua1 : if CFG_UART1_ENABLE /= 0 generate uart1 : apbuart -- UART 1 generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart, fifosize => CFG_UART1_FIFO) port map (rstn, clkm, apbi, apbo(1), u1i, u1o); u1i.extclk <= '0'; rxd1_pad : inpad generic map (tech => padtech) port map (rxd1, u1i.rxd); txd1_pad : outpad generic map (tech => padtech) port map (txd1, u1o.txd); cts1_pad : inpad generic map (tech => padtech) port map (ctsn1, u1i.ctsn); rts1_pad : outpad generic map (tech => padtech) port map (rtsn1, u1o.rtsn); end generate; noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate; rts1_pad : outpad generic map (tech => padtech) port map (rtsn2, '0'); irqctrl : if CFG_IRQ3_ENABLE /= 0 generate irqctrl0 : irqmp -- interrupt controller generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU) port map (rstn, clkm, apbi, apbo(2), irqo, irqi); end generate; irq3 : if CFG_IRQ3_ENABLE = 0 generate x : for i in 0 to CFG_NCPU-1 generate irqi(i).irl <= "0000"; end generate; apbo(2) <= apb_none; end generate; gpt : if CFG_GPT_ENABLE /= 0 generate timer0 : gptimer -- timer unit generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ, sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM, nbits => CFG_GPT_TW, wdog => CFG_GPT_WDOGEN*CFG_GPT_WDOG) port map (rstn, clkm, apbi, apbo(3), gpti, gpto); gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0'; end generate; wden : if CFG_GPT_WDOGEN /= 0 generate wdogl <= gpto.wdogn or not rstn; wdogn_pad : odpad generic map (tech => padtech) port map (wdogn, wdogl); end generate; wddis : if CFG_GPT_WDOGEN = 0 generate wdogn_pad : odpad generic map (tech => padtech) port map (wdogn, vcc); end generate; nogpt : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate; kbd : if CFG_KBD_ENABLE /= 0 generate ps21 : apbps2 generic map(pindex => 4, paddr => 4, pirq => 4) port map(rstn, clkm, apbi, apbo(4), moui, mouo); ps20 : apbps2 generic map(pindex => 5, paddr => 5, pirq => 5) port map(rstn, clkm, apbi, apbo(5), kbdi, kbdo); end generate; nokbd : if CFG_KBD_ENABLE = 0 generate apbo(4) <= apb_none; mouo <= ps2o_none; apbo(5) <= apb_none; kbdo <= ps2o_none; end generate; kbdclk_pad : iopad generic map (tech => padtech) port map (ps2clk(1),kbdo.ps2_clk_o, kbdo.ps2_clk_oe, kbdi.ps2_clk_i); kbdata_pad : iopad generic map (tech => padtech) port map (ps2data(1), kbdo.ps2_data_o, kbdo.ps2_data_oe, kbdi.ps2_data_i); mouclk_pad : iopad generic map (tech => padtech) port map (ps2clk(0),mouo.ps2_clk_o, mouo.ps2_clk_oe, moui.ps2_clk_i); mouata_pad : iopad generic map (tech => padtech) port map (ps2data(0), mouo.ps2_data_o, mouo.ps2_data_oe, moui.ps2_data_i); vga : if CFG_VGA_ENABLE /= 0 generate vga0 : apbvga generic map(memtech => memtech, pindex => 6, paddr => 6) port map(rstn, clkm, ethclk, apbi, apbo(6), vgao); video_clk <= not ethclk; end generate; svga : if CFG_SVGA_ENABLE /= 0 generate svga0 : svgactrl generic map(memtech => memtech, pindex => 6, paddr => 6, hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG, clk0 => 20000, clk1 => 0, --1000000000/((BOARD_FREQ * CFG_CLKMUL)/CFG_CLKDIV), clk2 => 0, clk3 => 0, burstlen => 6) port map(rstn, clkm, video_clk, apbi, apbo(6), vgao, vahbmi, vahbmo, clk_sel); end generate; --b0 : techbuf generic map (2, fabtech) port map (clk50, video_clk); video_clk <= clk50; vgadvi : if (CFG_VGA_ENABLE + CFG_SVGA_ENABLE) /= 0 generate dvi0 : entity work.svga2ch7301c generic map (tech => fabtech, dynamic => 1) port map (clkm, vgao, video_clk, clkvga_p, clkvga_n, lcd_datal, lcd_hsyncl, lcd_vsyncl, lcd_del); i2cdvi : i2cmst generic map (pindex => 9, paddr => 9, pmask => 16#FFF#, pirq => 3) port map (rstn, clkm, apbi, apbo(9), dvi_i2ci, dvi_i2co); end generate; novga : if (CFG_VGA_ENABLE = 0 and CFG_SVGA_ENABLE = 0) generate apbo(6) <= apb_none; vgao <= vgao_none; end generate; tft_lcd_data_pad : outpadv generic map (width => 12, tech => padtech) port map (tft_lcd_data, lcd_datal); tft_lcd_clkp_pad : outpad generic map (tech => padtech) port map (tft_lcd_clk_p, clkvga_p); tft_lcd_clkn_pad : outpad generic map (tech => padtech) port map (tft_lcd_clk_n, clkvga_n); tft_lcd_hsync_pad : outpad generic map (tech => padtech) port map (tft_lcd_hsync, lcd_hsyncl); tft_lcd_vsync_pad : outpad generic map (tech => padtech) port map (tft_lcd_vsync, lcd_vsyncl); tft_lcd_de_pad : outpad generic map (tech => padtech) port map (tft_lcd_de, lcd_del); tft_lcd_reset_pad : outpad generic map (tech => padtech) port map (tft_lcd_reset_b, rstn); dvi_i2c_scl_pad : iopad generic map (tech => padtech) port map (dvi_iic_scl, dvi_i2co.scl, dvi_i2co.scloen, dvi_i2ci.scl); dvi_i2c_sda_pad : iopad generic map (tech => padtech) port map (dvi_iic_sda, dvi_i2co.sda, dvi_i2co.sdaoen, dvi_i2ci.sda); gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GPIO unit grgpio0: grgpio generic map(pindex => 10, paddr => 10, imask => CFG_GRGPIO_IMASK, nbits => 16) port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(10), gpioi => gpioi, gpioo => gpioo); p0 : if (CFG_CAN = 0) or (CFG_CAN_NUM = 1) generate pio_pads : for i in 1 to 2 generate pio_pad : iopad generic map (tech => padtech) port map (pio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i)); end generate; end generate; p1 : if (CFG_CAN = 0) generate pio_pads : for i in 4 to 5 generate pio_pad : iopad generic map (tech => padtech) port map (pio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i)); end generate; end generate; pio_pad0 : iopad generic map (tech => padtech) port map (pio(0), gpioo.dout(0), gpioo.oen(0), gpioi.din(0)); pio_pad1 : iopad generic map (tech => padtech) port map (pio(3), gpioo.dout(3), gpioo.oen(3), gpioi.din(3)); pio_pads : for i in 6 to 15 generate pio_pad : iopad generic map (tech => padtech) port map (pio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i)); end generate; end generate; -- make an additonal 32 bit GPIO port for genio(31..0) gpio1 : if CFG_GRGPIO_ENABLE /= 0 generate -- GPIO unit grgpio1: grgpio generic map(pindex => 11, paddr => 11, imask => CFG_GRGPIO_IMASK, nbits => 32) port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(11), gpioi => gpioi2, gpioo => gpioo2); pio_pads : for i in 0 to 31 generate pio_pad : iopad generic map (tech => padtech) port map (genio(i), gpioo2.dout(i), gpioo2.oen(i), gpioi2.din(i)); end generate; end generate; gpio2 : if CFG_GRGPIO_ENABLE /= 0 generate -- GPIO unit grgpio2: grgpio generic map(pindex => 12, paddr => 12, imask => CFG_GRGPIO_IMASK, nbits => 28) port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(12), gpioi => gpioi3, gpioo => gpioo3); pio_pads : for i in 0 to 27 generate pio_pad : iopad generic map (tech => padtech) port map (genio(i+32), gpioo3.dout(i), gpioo3.oen(i), gpioi3.din(i)); end generate; end generate; ahbs : if CFG_AHBSTAT = 1 generate -- AHB status register ahbstat0 : ahbstat generic map (pindex => 13, paddr => 13, pirq => 1, nftslv => CFG_AHBSTATN) port map (rstn, clkm, ahbmi, ahbsi, stati, apbi, apbo(13)); end generate; ----------------------------------------------------------------------- --- ETHERNET --------------------------------------------------------- ----------------------------------------------------------------------- eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC e1 : grethm generic map( hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG, pindex => 14, paddr => 14, pirq => 6, memtech => memtech, mdcscaler => CPU_FREQ/1000, rmii => 0, enable_mdio => 1, fifosize => CFG_ETH_FIFO, nsync => 2, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF, phyrstadr => 1, macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, enable_mdint => 1, ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL, giga => CFG_GRETH1G) port map( rst => rstn, clk => clkm, ahbmi => ahbmi, ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), apbi => apbi, apbo => apbo(14), ethi => gmiii, etho => gmiio); end generate; led(3 downto 2) <= not (gmiio.gbit & gmiio.speed); noethindelay0 : if (use_eth_input_delay = 0) generate rgmiii.rx_dv <= rgmiii_buf.rx_dv; rgmiii.rxd <= rgmiii_buf.rxd; end generate; noethoutdelay0 : if (use_eth_output_delay = 0) generate rgmiio_tx_clk <= rgmiio.tx_clk; end generate; noethdataoutdelay0 : if (use_eth_data_output_delay = 0) generate rgmiio_tx_en <= rgmiio.tx_en; rgmiio_txd <= rgmiio.txd(3 downto 0); end generate; ethindelay0 : if (use_eth_input_delay /= 0) generate erx_clk0 : if (use_eth_input_delay_clk /= 0) generate delay_rgmii_rx_clk : IODELAY2 generic map( DELAY_SRC => "IDATAIN", IDELAY_TYPE => "FIXED", DATA_RATE => "DDR", IDELAY_VALUE => 0 -- (See table 39 in Xilinx ds162.pdf) ) port map( IDATAIN => rgmiii_buf.rx_clk, T => '1', ODATAIN => '0', CAL => '0', IOCLK0 => '0', IOCLK1 => '0', CLK => '0', INC => '0', CE => '0', RST => '0', BUSY => OPEN, DATAOUT => rgmiii.rx_clk, DATAOUT2 => OPEN, TOUT => OPEN, DOUT => OPEN ); end generate; delay_rgmii_rx_ctl0 : IODELAY2 generic map( DELAY_SRC => "IDATAIN", IDELAY_TYPE => "FIXED", DATA_RATE => "DDR", IDELAY_VALUE => 80 -- (See table 39 in Xilinx ds162.pdf) ) port map( IDATAIN => rgmiii_buf.rx_dv, T => '1', ODATAIN => '0', CAL => '0', IOCLK0 => '0', IOCLK1 => '0', CLK => '0', INC => '0', CE => '0', RST => '0', BUSY => OPEN, DATAOUT => rgmiii.rx_dv, DATAOUT2 => OPEN, TOUT => OPEN, DOUT => OPEN ); rgmii_rxd : for i in 0 to 3 generate delay_rgmii_rxd0 : IODELAY2 generic map( DELAY_SRC => "IDATAIN", IDELAY_TYPE => "FIXED", DATA_RATE => "DDR", IDELAY_VALUE => 80 -- (See table 39 in Xilinx ds162.pdf) ) port map( IDATAIN => rgmiii_buf.rxd(i), T => '1', ODATAIN => '0', CAL => '0', IOCLK0 => '0', IOCLK1 => '0', CLK => '0', INC => '0', CE => '0', RST => '0', BUSY => OPEN, DATAOUT => rgmiii.rxd(i), DATAOUT2 => OPEN, TOUT => OPEN, DOUT => OPEN ); end generate; end generate; ethoutdelay0 : if (use_eth_output_delay /= 0) generate delay_rgmii_tx_clk0 : IODELAY2 generic map( DELAY_SRC => "ODATAIN", IDELAY_TYPE => "FIXED", DATA_RATE => "DDR", ODELAY_VALUE => 30 -- (See table 39 in Xilinx ds162.pdf) ) port map( IDATAIN => '0', T => '1', ODATAIN => rgmiio.tx_clk, CAL => '0', IOCLK0 => '0', IOCLK1 => '0', CLK => '0', INC => '0', CE => '0', RST => '0', BUSY => OPEN, DATAOUT => OPEN, DATAOUT2 => OPEN, TOUT => OPEN, DOUT => rgmiio_tx_clk ); end generate; ethoutdatadelay0 : if (use_eth_data_output_delay /= 0) generate delay_rgmii_tx_en0 : IODELAY2 generic map( DELAY_SRC => "ODATAIN", IDELAY_TYPE => "FIXED", DATA_RATE => "DDR", ODELAY_VALUE => 0 ) port map( IDATAIN => '0', T => '1', ODATAIN => rgmiio.tx_en, CAL => '0', IOCLK0 => '0', IOCLK1 => '0', CLK => '0', INC => '0', CE => '0', RST => '0', BUSY => OPEN, DATAOUT => OPEN, DATAOUT2 => OPEN, TOUT => OPEN, DOUT => rgmiio_tx_en ); rgmii_txd : for i in 0 to 3 generate delay_rgmii_txd0 : IODELAY2 generic map( DELAY_SRC => "ODATAIN", IDELAY_TYPE => "FIXED", DATA_RATE => "DDR", ODELAY_VALUE => 0 ) port map( IDATAIN => '0', T => '1', ODATAIN => rgmiio.txd(i), CAL => '0', IOCLK0 => '0', IOCLK1 => '0', CLK => '0', INC => '0', CE => '0', RST => '0', BUSY => OPEN, DATAOUT => OPEN, DATAOUT2 => OPEN, TOUT => OPEN, DOUT => rgmiio_txd(i) ); end generate; end generate; rgmii0 : rgmii generic map (pindex => 15, paddr => 16#010#, pmask => 16#ff0#, tech => fabtech, gmii => CFG_GRETH1G, debugmem => 1, abits => 8, no_clk_mux => 0, pirq => 15, use90degtxclk => 0) port map (rstn, gmiii, gmiio, rgmiii, rgmiio, clkm, rstn, apbi, apbo(15)); ethpads : if (CFG_GRETH = 1) generate -- eth pads etxc_pad : outpad generic map (tech => padtech) port map (etx_clk, rgmiio_tx_clk); erx_clk1 : if (use_eth_input_delay_clk = 0) generate erxc_pad : clkpad generic map (tech => padtech, arch => 2) port map (erx_clk, rgmiii.rx_clk); end generate; erx_clk2 : if (use_eth_input_delay_clk /= 0) generate erxc_pad : inpad generic map (tech => padtech) port map (erx_clk, rgmii_pad.rx_clk); erxc_bufg0 : BUFG port map (O => rgmiii_buf.rx_clk, I => rgmii_pad.rx_clk); end generate; erxd_pad : inpadv generic map (tech => padtech, width => 4) port map (erxd, rgmiii_buf.rxd(3 downto 0)); erxdv_pad : inpad generic map (tech => padtech) port map (erx_dv, rgmiii_buf.rx_dv); etxd_pad : outpadv generic map (tech => padtech, width => 4) port map (etxd, rgmiio_txd(3 downto 0)); etxen_pad : outpad generic map (tech => padtech) port map ( etx_en, rgmiio_tx_en); emdio_pad : iopad generic map (tech => padtech) port map (emdio, rgmiio.mdio_o, rgmiio.mdio_oe, rgmiii.mdio_i); emdc_pad : outpad generic map (tech => padtech) port map (emdc, rgmiio.mdc); emdint_pad : inpad generic map (tech => padtech) port map (emdint, rgmiii.mdint); gtx_clk0 : if (use_gtx_clk = 0) generate -- Use MIG PLL -- Add to UCF (only if there is no BUFG left): -- PIN "ethpads.gtx_clk0.clk_125_bufg0.O" CLOCK_DEDICATED_ROUTE = FALSE; clk_125_bufg0 : BUFG port map (O => clk_125_bufg, I => clk_125); rgmiii.gtx_clk <= clk_125_bufg; end generate; gtx_clk1 : if (use_gtx_clk = 1) generate -- Incoming 125Mhz ref clock clk125_pad : clkpad generic map (tech => padtech, arch => 3) port map (clk125, rgmiii.gtx_clk); end generate; gtx_clk2 : if (use_gtx_clk = 2) generate -- Use Separate PLL -- Add to UCF (only if there is no BUFG left): -- PIN "ethpads.gtx_clk2.clkgen0/xc3s.v/bufg0.O" CLOCK_DEDICATED_ROUTE =FALSE; -- PIN "ethpads.gtx_clk2.clk_125_bufg0.O" CLOCK_DEDICATED_ROUTE = FALSE; cgi2.pllctrl <= "00"; cgi2.pllrst <= rstraw; clkgen0 : clkgen -- clock generator generic map (clktech, 5, 2, CFG_MCTRL_SDEN,CFG_CLK_NOFB, 0, 0, 0, BOARD_FREQ) port map (clkm, clkm, clk_125_pll, open, open, open, open, cgi2, cgo2, open, open, open); clk_125_bufg0 : BUFG port map (O => clk_125_bufg, I => clk_125_pll); rgmiii.gtx_clk <= clk_125_bufg; end generate; end generate; ----------------------------------------------------------------------- --- AHB RAM ---------------------------------------------------------- ----------------------------------------------------------------------- ocram : if CFG_AHBRAMEN = 1 generate ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR, tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ, pipe => CFG_AHBRPIPE) port map ( rstn, clkm, ahbsi, ahbso(7)); end generate; ----------------------------------------------------------------------- --- Multi-core CAN --------------------------------------------------- ----------------------------------------------------------------------- can0 : if CFG_CAN = 1 generate can0 : can_mc generic map (slvndx => 4, ioaddr => CFG_CANIO, iomask => 16#FF0#, irq => CFG_CANIRQ, memtech => memtech, ncores => CFG_CAN_NUM, sepirq => CFG_CANSEPIRQ) port map (rstn, clkm, ahbsi, ahbso(4), can_lrx, can_ltx ); can_tx_pad1 : iopad generic map (tech => padtech) port map (pio(5), can_ltx(0), gnd, gpioi.din(5)); can_rx_pad1 : iopad generic map (tech => padtech) port map (pio(4), gnd, vcc, can_lrx(0)); canpas : if CFG_CAN_NUM = 2 generate can_tx_pad2 : iopad generic map (tech => padtech) port map (pio(2), can_ltx(1), gnd, gpioi.din(2)); can_rx_pad2 : iopad generic map (tech => padtech) port map (pio(1), gnd, vcc, can_lrx(1)); end generate; end generate; -- standby controlled by pio(3) and pio(0) ----------------------------------------------------------------------- --- SPACEWIRE ------------------------------------------------------- ----------------------------------------------------------------------- -- temporary, just to make sure the SPW pins are instantiated correctly no_spw : if CFG_SPW_EN = 0 generate pad_gen: for i in 0 to CFG_SPW_NUM-1 generate spw_rxd_pad : inpad_ds generic map (padtech, lvds, x33v) port map (spw_rxdp(i), spw_rxdn(i), dtmp(i)); spw_rxs_pad : inpad_ds generic map (padtech, lvds, x33v) port map (spw_rxsp(i), spw_rxsn(i), stmp(i)); spw_txd_pad : outpad_ds generic map (padtech, lvds, x33v) port map (spw_txdp(i), spw_txdn(i), dtmp(i), gnd); spw_txs_pad : outpad_ds generic map (padtech, lvds, x33v) port map (spw_txsp(i), spw_txsn(i), stmp(i), gnd); end generate; end generate; spw : if CFG_SPW_EN > 0 generate core0: if CFG_SPW_GRSPW = 1 generate spw_rxtxclk <= clkm; spw_rstn <= rstn; end generate; core1 : if CFG_SPW_GRSPW = 2 generate spw_rxtxclk <= clk100; spw_rstn_sync_proc : process(rstn,spw_rxtxclk) begin if rstn = '0' then spw_rstn_sync <= '0'; spw_rstn <= '0'; elsif rising_edge(spw_rxtxclk) then spw_rstn_sync <= '1'; spw_rstn <= spw_rstn_sync; end if; end process spw_rstn_sync_proc; end generate; spw_rxclkn <= not spw_rxtxclk; swloop : for i in 0 to CFG_SPW_NUM-1 generate -- GRSPW2 PHY spw2_input : if CFG_SPW_GRSPW = 2 generate spw_inputloop: for j in 0 to CFG_SPW_PORTS-1 generate spw_phy0 : grspw2_phy generic map( scantest => 0, tech => fabtech, input_type => CFG_SPW_INPUT, rxclkbuftype => 2) port map( rstn => spw_rstn, rxclki => spw_rxtxclk, rxclkin => spw_rxclkn, nrxclki => spw_rxtxclk, di => dtmp(i*CFG_SPW_PORTS+j), si => stmp(i*CFG_SPW_PORTS+j), do => spwi(i).d(j*2+1 downto j*2), dov => spwi(i).dv(j*2+1 downto j*2), dconnect => spwi(i).dconnect(j*2+1 downto j*2), rxclko => spw_rxclk(i*CFG_SPW_PORTS+j)); end generate; oneport : if CFG_SPW_PORTS = 1 generate spwi(i).d(3 downto 2) <= "00"; -- For second port spwi(i).dv(3 downto 2) <= "00"; -- For second port spwi(i).dconnect(3 downto 2) <= "00"; -- For second port end generate; spwi(i).nd <= (others => '0'); -- Only used in GRSPW end generate; spw1_input: if CFG_SPW_GRSPW = 1 generate spw_inputloop: for j in 0 to CFG_SPW_PORTS-1 generate spw_phy0 : grspw_phy generic map( tech => fabtech, rxclkbuftype => 2, scantest => 0) port map( rxrst => spwo(i).rxrst, di => dtmp(i*CFG_SPW_PORTS+j), si => stmp(i*CFG_SPW_PORTS+j), rxclko => spw_rxclk(i*CFG_SPW_PORTS+j), do => spwi(i).d(j), ndo => spwi(i).nd(j*5+4 downto j*5), dconnect => spwi(i).dconnect(j*2+1 downto j*2)); end generate spw_inputloop; oneport : if CFG_SPW_PORTS = 1 generate spwi(i).d(1) <= '0'; -- For second port spwi(i).d(3 downto 2) <= "00"; -- For GRSPW2 second port spwi(i).nd(9 downto 5) <= "00000"; -- For second port spwi(i).dconnect(3 downto 2) <= "00"; -- For second port end generate; spwi(i).dv <= (others => '0'); -- Only used in GRSPW2 end generate spw1_input; sw0 : grspwm generic map(tech => memtech, hindex => CFG_NCPU+CFG_AHB_UART+CFG_GRETH+CFG_AHB_JTAG+i, sysfreq => CPU_FREQ, usegen => 1, pindex => 10+i, paddr => 10+i, pirq => 10+i, nsync => 1, rmap => CFG_SPW_RMAP, rxunaligned => CFG_SPW_RXUNAL, rmapcrc => CFG_SPW_RMAPCRC, fifosize1 => CFG_SPW_AHBFIFO, fifosize2 => CFG_SPW_RXFIFO, rxclkbuftype => 2, dmachan => CFG_SPW_DMACHAN, rmapbufs => CFG_SPW_RMAPBUF, ft => CFG_SPW_FT, ports => CFG_SPW_PORTS, spwcore => CFG_SPW_GRSPW, netlist => CFG_SPW_NETLIST, rxtx_sameclk => CFG_SPW_RTSAME, input_type => CFG_SPW_INPUT, output_type => CFG_SPW_OUTPUT) port map(rstn, clkm, spw_rxclk(i*CFG_SPW_PORTS), spw_rxclk(i*CFG_SPW_PORTS+1), spw_rxtxclk, spw_rxtxclk, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_GRETH+CFG_AHB_JTAG+i), apbi2, apbo2(10+i), spwi(i), spwo(i)); spwi(i).tickin <= '0'; spwi(i).rmapen <= '1'; spwi(i).clkdiv10 <= conv_std_logic_vector(CPU_FREQ/10000-1, 8) when CFG_SPW_GRSPW = 1 else conv_std_logic_vector(10-1, 8); spwi(i).tickinraw <= '0'; spwi(i).timein <= (others => '0'); spwi(i).dcrstval <= (others => '0'); spwi(i).timerrstval <= (others => '0'); swportloop1: for j in 0 to CFG_SPW_PORTS-1 generate spwlb0 : if SPW_LOOP_BACK = 1 generate dtmp(i*CFG_SPW_PORTS+j) <= spwo(i).d(j); stmp(i*CFG_SPW_PORTS+j) <= spwo(i).s(j); end generate; nospwlb0 : if SPW_LOOP_BACK = 0 generate spw_rxd_pad : inpad_ds generic map (padtech, lvds, x33v, 1) port map (spw_rxdp(i*CFG_SPW_PORTS+j), spw_rxdn(i*CFG_SPW_PORTS+j), dtmp(i*CFG_SPW_PORTS+j)); spw_rxs_pad : inpad_ds generic map (padtech, lvds, x33v, 1) port map (spw_rxsp(i*CFG_SPW_PORTS+j), spw_rxsn(i*CFG_SPW_PORTS+j), stmp(i*CFG_SPW_PORTS+j)); spw_txd_pad : outpad_ds generic map (padtech, lvds, x33v) port map (spw_txdp(i*CFG_SPW_PORTS+j), spw_txdn(i*CFG_SPW_PORTS+j), spwo(i).d(j), gnd); spw_txs_pad : outpad_ds generic map (padtech, lvds, x33v) port map (spw_txsp(i*CFG_SPW_PORTS+j), spw_txsn(i*CFG_SPW_PORTS+j), spwo(i).s(j), gnd); end generate; end generate; end generate; end generate; ----------------------------------------------------------------------- --- Drive unused bus elements --------------------------------------- ----------------------------------------------------------------------- -- nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_GRETH+CFG_AHB_JTAG) to NAHBMST-1 generate -- ahbmo(i) <= ahbm_none; -- end generate; -- nap0 : for i in 11 to NAPBSLV-1 generate apbo(i) <= apb_none; end generate; -- nah0 : for i in 8 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- Boot message ---------------------------------------------------- ----------------------------------------------------------------------- -- pragma translate_off x : report_design generic map ( msg1 => "LEON3 GR-XC6S-LX75 Demonstration design", fabtech => tech_table(fabtech), memtech => tech_table(memtech), mdel => 1 ); -- pragma translate_on end;
library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; use work.all; use work.filter_shared_package.all; entity counter is generic ( FPMULT_PIPE_LENGTH : P_T := PM; FPADD_PIPE_LENGTH : P_T := PA; MAC_FILTER_CH : natural := MC; -- MAC operations per channel for Main filter operation RMS_CH_EN : natural := RMS; -- Enable flag for RMS function. 0-disabled 1- enabled. MEAN_CH_EN : natural := MEAN; -- Enable flag for MEAN function. 0-disabled 1- enabled. CHANNELS : natural := C ); port ( -- Input ports clk : in std_logic; rstn : in std_logic; input_ok : in std_logic; -- Output ports input_ack : out std_logic; valid : out std_logic; valid_delay : out std_logic; -- valid_s [PIPDELAY] valid_acc : out std_logic; -- valid_s [ACCDELAY] cnt_mac : out std_logic_vector(natural(ceil(log2(real(MAC_FILTER_CH+RMS_CH_EN+MEAN_CH_EN))))-1 downto 0); cnt_ch : out std_logic_vector(natural(ceil(log2(real(CHANNELS))))-1 downto 0); cnt_delay_mac : out std_logic_vector(natural(ceil(log2(real(MAC_FILTER_CH+RMS_CH_EN+MEAN_CH_EN))))-1 downto 0); -- SR [PIPDELAY] cnt_delay_ch : out std_logic_vector(natural(ceil(log2(real(CHANNELS))))-1 downto 0); -- SR [PIPDELAY] cnt_acc_mac : out std_logic_vector(natural(ceil(log2(real(MAC_FILTER_CH+RMS_CH_EN+MEAN_CH_EN))))-1 downto 0); -- SR [ACCDELAY] cnt_acc_ch : out std_logic_vector(natural(ceil(log2(real(CHANNELS))))-1 downto 0) -- SR [ACCDELAY] ); end counter; architecture counter_behaviour of counter is -- Constants constant PIPELINE_DELAY : integer := FPMULT_PIPE_LENGTH + FPADD_PIPE_LENGTH + 1; -- 1 additional register between * and +. TODO: make it configurable constant ACC_DELAY : integer := FPMULT_PIPE_LENGTH - 1; -- Subtypes type SHIFT_REG is array(PIPELINE_DELAY downto 0) of std_logic_vector(cnt_mac'length + cnt_ch'length - 1 downto 0); -- Signals and Registers signal run_s : std_logic; signal cnt_mac_s : unsigned(cnt_mac'LEFT downto 0); signal cnt_ch_s : unsigned(cnt_ch'LEFT downto 0); signal valid_s : std_logic_vector(PIPELINE_DELAY downto 0); signal shift_reg_s : SHIFT_REG; begin -- shift register for valid flags and counter process (clk, rstn) is begin if (rstn = '0') then shift_reg_s <= (others => (others => '0')); valid_s <= (others => '0'); elsif (clk = '1' and clk'event) then -- Load shift registers shift_reg_s(0) <= std_logic_vector(cnt_mac_s) & std_logic_vector(cnt_ch_s); valid_s(0) <= run_s; for n in 1 to PIPELINE_DELAY loop shift_reg_s(n) <= shift_reg_s(n-1); valid_s(n) <= valid_s(n-1); end loop; end if; end process; -- counter process process (clk, rstn) is begin if (rstn = '0') then cnt_mac_s <= to_unsigned(MAC_FILTER_CH + RMS_CH_EN + MEAN_CH_EN - 1, cnt_mac_s'length); cnt_ch_s <= to_unsigned(CHANNELS-1, cnt_ch_s'length); run_s <= '0'; input_ack <= '0'; elsif (clk = '1' and clk'event) then input_ack <= '0'; if (cnt_ch_s = CHANNELS-1) then -- MAC_FILTER_CH MAC operations, 1x RMS, 1x MEAN if (cnt_mac_s = MAC_FILTER_CH + RMS_CH_EN + MEAN_CH_EN - 1) then -- biquads computed; stop until new input has arrived run_s <= '0'; -- run when input is valid if (input_ok = '1') then -- set running run_s <= '1'; -- acknowledge new input input_ack <= '1'; -- rstn counter cnt_ch_s <= (others => '0'); cnt_mac_s <= (others => '0'); end if; else cnt_ch_s <= (others => '0'); cnt_mac_s <= cnt_mac_s + 1; end if; else cnt_ch_s <= cnt_ch_s + 1; end if; end if; end process; -- Remaining Outputs valid_delay <= valid_s(PIPELINE_DELAY); valid_acc <= valid_s(ACC_DELAY); cnt_mac <= std_logic_vector(cnt_mac_s); cnt_ch <= std_logic_vector(cnt_ch_s); cnt_delay_mac <= shift_reg_s(PIPELINE_DELAY)(shift_reg_s(PIPELINE_DELAY)'LEFT downto cnt_ch'length); cnt_delay_ch <= shift_reg_s(PIPELINE_DELAY)(cnt_delay_ch'range); cnt_acc_mac <= shift_reg_s(ACC_DELAY)(shift_reg_s(ACC_DELAY)'LEFT downto cnt_ch'length); cnt_acc_ch <= shift_reg_s(ACC_DELAY)(cnt_acc_ch'range); valid <= run_s; end counter_behaviour;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity HEX2ASC is Port (VAL :IN STD_LOGIC_VECTOR(3 downto 0); CLK :IN STD_LOGIC; Y : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); end HEX2ASC; architecture Behavioral of HEX2ASC is begin PROCESS(CLK) BEGIN CASE VAL IS when "0000" => Y <= X"30"; when "0001" => Y <= X"31"; when "0010" => Y <= X"32"; when "0011" => Y <= X"33"; when "0100" => Y <= X"34"; when "0101" => Y <= X"35"; when "0110" => Y <= X"36"; when "0111" => Y <= X"37"; when "1000" => Y <= X"38"; when "1001" => Y <= X"39"; when "1010" => Y <= X"41"; when "1011" => Y <= X"42"; when "1100" => Y <= X"43"; when "1101" => Y <= X"44"; when "1110" => Y <= X"45"; when "1111" => Y <= X"46"; when others => Y <= X"2D"; end case; end process; end Behavioral;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity HEX2ASC is Port (VAL :IN STD_LOGIC_VECTOR(3 downto 0); CLK :IN STD_LOGIC; Y : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); end HEX2ASC; architecture Behavioral of HEX2ASC is begin PROCESS(CLK) BEGIN CASE VAL IS when "0000" => Y <= X"30"; when "0001" => Y <= X"31"; when "0010" => Y <= X"32"; when "0011" => Y <= X"33"; when "0100" => Y <= X"34"; when "0101" => Y <= X"35"; when "0110" => Y <= X"36"; when "0111" => Y <= X"37"; when "1000" => Y <= X"38"; when "1001" => Y <= X"39"; when "1010" => Y <= X"41"; when "1011" => Y <= X"42"; when "1100" => Y <= X"43"; when "1101" => Y <= X"44"; when "1110" => Y <= X"45"; when "1111" => Y <= X"46"; when others => Y <= X"2D"; end case; end process; end Behavioral;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -------------------------------------------------------------------------------- -- -- Filename: char_mem_prod.vhd -- -- Description: -- This is the top-level BMG wrapper (over BMG core). -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -- Configured Core Parameter Values: -- (Refer to the SIM Parameters table in the datasheet for more information on -- the these parameters.) -- C_FAMILY : spartan3e -- C_XDEVICEFAMILY : spartan3e -- C_INTERFACE_TYPE : 0 -- C_ENABLE_32BIT_ADDRESS : 0 -- C_AXI_TYPE : 1 -- C_AXI_SLAVE_TYPE : 0 -- C_AXI_ID_WIDTH : 4 -- C_MEM_TYPE : 3 -- C_BYTE_SIZE : 9 -- C_ALGORITHM : 1 -- C_PRIM_TYPE : 1 -- C_LOAD_INIT_FILE : 1 -- C_INIT_FILE_NAME : char_mem.mif -- C_USE_DEFAULT_DATA : 1 -- C_DEFAULT_DATA : 0 -- C_RST_TYPE : SYNC -- C_HAS_RSTA : 0 -- C_RST_PRIORITY_A : CE -- C_RSTRAM_A : 0 -- C_INITA_VAL : 0 -- C_HAS_ENA : 0 -- C_HAS_REGCEA : 0 -- C_USE_BYTE_WEA : 0 -- C_WEA_WIDTH : 1 -- C_WRITE_MODE_A : WRITE_FIRST -- C_WRITE_WIDTH_A : 1 -- C_READ_WIDTH_A : 1 -- C_WRITE_DEPTH_A : 24320 -- C_READ_DEPTH_A : 24320 -- C_ADDRA_WIDTH : 15 -- C_HAS_RSTB : 0 -- C_RST_PRIORITY_B : CE -- C_RSTRAM_B : 0 -- C_INITB_VAL : 0 -- C_HAS_ENB : 0 -- C_HAS_REGCEB : 0 -- C_USE_BYTE_WEB : 0 -- C_WEB_WIDTH : 1 -- C_WRITE_MODE_B : WRITE_FIRST -- C_WRITE_WIDTH_B : 1 -- C_READ_WIDTH_B : 1 -- C_WRITE_DEPTH_B : 24320 -- C_READ_DEPTH_B : 24320 -- C_ADDRB_WIDTH : 15 -- C_HAS_MEM_OUTPUT_REGS_A : 0 -- C_HAS_MEM_OUTPUT_REGS_B : 0 -- C_HAS_MUX_OUTPUT_REGS_A : 0 -- C_HAS_MUX_OUTPUT_REGS_B : 0 -- C_HAS_SOFTECC_INPUT_REGS_A : 0 -- C_HAS_SOFTECC_OUTPUT_REGS_B : 0 -- C_MUX_PIPELINE_STAGES : 0 -- C_USE_ECC : 0 -- C_USE_SOFTECC : 0 -- C_HAS_INJECTERR : 0 -- C_SIM_COLLISION_CHECK : ALL -- C_COMMON_CLK : 0 -- C_DISABLE_WARN_BHV_COLL : 0 -- C_DISABLE_WARN_BHV_RANGE : 0 -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY char_mem_prod IS PORT ( --Port A CLKA : IN STD_LOGIC; RSTA : IN STD_LOGIC; --opt port ENA : IN STD_LOGIC; --optional port REGCEA : IN STD_LOGIC; --optional port WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(14 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); --Port B CLKB : IN STD_LOGIC; RSTB : IN STD_LOGIC; --opt port ENB : IN STD_LOGIC; --optional port REGCEB : IN STD_LOGIC; --optional port WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(14 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); --ECC INJECTSBITERR : IN STD_LOGIC; --optional port INJECTDBITERR : IN STD_LOGIC; --optional port SBITERR : OUT STD_LOGIC; --optional port DBITERR : OUT STD_LOGIC; --optional port RDADDRECC : OUT STD_LOGIC_VECTOR(14 DOWNTO 0); --optional port -- AXI BMG Input and Output Port Declarations -- AXI Global Signals S_ACLK : IN STD_LOGIC; S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_AWVALID : IN STD_LOGIC; S_AXI_AWREADY : OUT STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); S_AXI_WLAST : IN STD_LOGIC; S_AXI_WVALID : IN STD_LOGIC; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC; -- AXI Full/Lite Slave Read (Write side) S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_ARVALID : IN STD_LOGIC; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_RDATA : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_RLAST : OUT STD_LOGIC; S_AXI_RVALID : OUT STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC; -- AXI Full/Lite Sideband Signals S_AXI_INJECTSBITERR : IN STD_LOGIC; S_AXI_INJECTDBITERR : IN STD_LOGIC; S_AXI_SBITERR : OUT STD_LOGIC; S_AXI_DBITERR : OUT STD_LOGIC; S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(14 DOWNTO 0); S_ARESETN : IN STD_LOGIC ); END char_mem_prod; ARCHITECTURE xilinx OF char_mem_prod IS COMPONENT char_mem_exdes IS PORT ( --Port A ADDRA : IN STD_LOGIC_VECTOR(14 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; BEGIN bmg0 : char_mem_exdes PORT MAP ( --Port A ADDRA => ADDRA, DOUTA => DOUTA, CLKA => CLKA ); END xilinx;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -------------------------------------------------------------------------------- -- -- Filename: char_mem_prod.vhd -- -- Description: -- This is the top-level BMG wrapper (over BMG core). -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -- Configured Core Parameter Values: -- (Refer to the SIM Parameters table in the datasheet for more information on -- the these parameters.) -- C_FAMILY : spartan3e -- C_XDEVICEFAMILY : spartan3e -- C_INTERFACE_TYPE : 0 -- C_ENABLE_32BIT_ADDRESS : 0 -- C_AXI_TYPE : 1 -- C_AXI_SLAVE_TYPE : 0 -- C_AXI_ID_WIDTH : 4 -- C_MEM_TYPE : 3 -- C_BYTE_SIZE : 9 -- C_ALGORITHM : 1 -- C_PRIM_TYPE : 1 -- C_LOAD_INIT_FILE : 1 -- C_INIT_FILE_NAME : char_mem.mif -- C_USE_DEFAULT_DATA : 1 -- C_DEFAULT_DATA : 0 -- C_RST_TYPE : SYNC -- C_HAS_RSTA : 0 -- C_RST_PRIORITY_A : CE -- C_RSTRAM_A : 0 -- C_INITA_VAL : 0 -- C_HAS_ENA : 0 -- C_HAS_REGCEA : 0 -- C_USE_BYTE_WEA : 0 -- C_WEA_WIDTH : 1 -- C_WRITE_MODE_A : WRITE_FIRST -- C_WRITE_WIDTH_A : 1 -- C_READ_WIDTH_A : 1 -- C_WRITE_DEPTH_A : 24320 -- C_READ_DEPTH_A : 24320 -- C_ADDRA_WIDTH : 15 -- C_HAS_RSTB : 0 -- C_RST_PRIORITY_B : CE -- C_RSTRAM_B : 0 -- C_INITB_VAL : 0 -- C_HAS_ENB : 0 -- C_HAS_REGCEB : 0 -- C_USE_BYTE_WEB : 0 -- C_WEB_WIDTH : 1 -- C_WRITE_MODE_B : WRITE_FIRST -- C_WRITE_WIDTH_B : 1 -- C_READ_WIDTH_B : 1 -- C_WRITE_DEPTH_B : 24320 -- C_READ_DEPTH_B : 24320 -- C_ADDRB_WIDTH : 15 -- C_HAS_MEM_OUTPUT_REGS_A : 0 -- C_HAS_MEM_OUTPUT_REGS_B : 0 -- C_HAS_MUX_OUTPUT_REGS_A : 0 -- C_HAS_MUX_OUTPUT_REGS_B : 0 -- C_HAS_SOFTECC_INPUT_REGS_A : 0 -- C_HAS_SOFTECC_OUTPUT_REGS_B : 0 -- C_MUX_PIPELINE_STAGES : 0 -- C_USE_ECC : 0 -- C_USE_SOFTECC : 0 -- C_HAS_INJECTERR : 0 -- C_SIM_COLLISION_CHECK : ALL -- C_COMMON_CLK : 0 -- C_DISABLE_WARN_BHV_COLL : 0 -- C_DISABLE_WARN_BHV_RANGE : 0 -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY char_mem_prod IS PORT ( --Port A CLKA : IN STD_LOGIC; RSTA : IN STD_LOGIC; --opt port ENA : IN STD_LOGIC; --optional port REGCEA : IN STD_LOGIC; --optional port WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(14 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); --Port B CLKB : IN STD_LOGIC; RSTB : IN STD_LOGIC; --opt port ENB : IN STD_LOGIC; --optional port REGCEB : IN STD_LOGIC; --optional port WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(14 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); --ECC INJECTSBITERR : IN STD_LOGIC; --optional port INJECTDBITERR : IN STD_LOGIC; --optional port SBITERR : OUT STD_LOGIC; --optional port DBITERR : OUT STD_LOGIC; --optional port RDADDRECC : OUT STD_LOGIC_VECTOR(14 DOWNTO 0); --optional port -- AXI BMG Input and Output Port Declarations -- AXI Global Signals S_ACLK : IN STD_LOGIC; S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_AWVALID : IN STD_LOGIC; S_AXI_AWREADY : OUT STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); S_AXI_WLAST : IN STD_LOGIC; S_AXI_WVALID : IN STD_LOGIC; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC; -- AXI Full/Lite Slave Read (Write side) S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_ARVALID : IN STD_LOGIC; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_RDATA : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_RLAST : OUT STD_LOGIC; S_AXI_RVALID : OUT STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC; -- AXI Full/Lite Sideband Signals S_AXI_INJECTSBITERR : IN STD_LOGIC; S_AXI_INJECTDBITERR : IN STD_LOGIC; S_AXI_SBITERR : OUT STD_LOGIC; S_AXI_DBITERR : OUT STD_LOGIC; S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(14 DOWNTO 0); S_ARESETN : IN STD_LOGIC ); END char_mem_prod; ARCHITECTURE xilinx OF char_mem_prod IS COMPONENT char_mem_exdes IS PORT ( --Port A ADDRA : IN STD_LOGIC_VECTOR(14 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; BEGIN bmg0 : char_mem_exdes PORT MAP ( --Port A ADDRA => ADDRA, DOUTA => DOUTA, CLKA => CLKA ); END xilinx;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fir_compiler:7.2 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fir_compiler_v7_2_6; USE fir_compiler_v7_2_6.fir_compiler_v7_2_6; ENTITY design_1_FIR_resized2_0 IS PORT ( aclk : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END design_1_FIR_resized2_0; ARCHITECTURE design_1_FIR_resized2_0_arch OF design_1_FIR_resized2_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_FIR_resized2_0_arch: ARCHITECTURE IS "yes"; COMPONENT fir_compiler_v7_2_6 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_COMPONENT_NAME : STRING; C_COEF_FILE : STRING; C_COEF_FILE_LINES : INTEGER; C_FILTER_TYPE : INTEGER; C_INTERP_RATE : INTEGER; C_DECIM_RATE : INTEGER; C_ZERO_PACKING_FACTOR : INTEGER; C_SYMMETRY : INTEGER; C_NUM_FILTS : INTEGER; C_NUM_TAPS : INTEGER; C_NUM_CHANNELS : INTEGER; C_CHANNEL_PATTERN : STRING; C_ROUND_MODE : INTEGER; C_COEF_RELOAD : INTEGER; C_NUM_RELOAD_SLOTS : INTEGER; C_COL_MODE : INTEGER; C_COL_PIPE_LEN : INTEGER; C_COL_CONFIG : STRING; C_OPTIMIZATION : INTEGER; C_DATA_PATH_WIDTHS : STRING; C_DATA_IP_PATH_WIDTHS : STRING; C_DATA_PX_PATH_WIDTHS : STRING; C_DATA_WIDTH : INTEGER; C_COEF_PATH_WIDTHS : STRING; C_COEF_WIDTH : INTEGER; C_DATA_PATH_SRC : STRING; C_COEF_PATH_SRC : STRING; C_PX_PATH_SRC : STRING; C_DATA_PATH_SIGN : STRING; C_COEF_PATH_SIGN : STRING; C_ACCUM_PATH_WIDTHS : STRING; C_OUTPUT_WIDTH : INTEGER; C_OUTPUT_PATH_WIDTHS : STRING; C_ACCUM_OP_PATH_WIDTHS : STRING; C_EXT_MULT_CNFG : STRING; C_DATA_PATH_PSAMP_SRC : STRING; C_OP_PATH_PSAMP_SRC : STRING; C_NUM_MADDS : INTEGER; C_OPT_MADDS : STRING; C_OVERSAMPLING_RATE : INTEGER; C_INPUT_RATE : INTEGER; C_OUTPUT_RATE : INTEGER; C_DATA_MEMTYPE : INTEGER; C_COEF_MEMTYPE : INTEGER; C_IPBUFF_MEMTYPE : INTEGER; C_OPBUFF_MEMTYPE : INTEGER; C_DATAPATH_MEMTYPE : INTEGER; C_MEM_ARRANGEMENT : INTEGER; C_DATA_MEM_PACKING : INTEGER; C_COEF_MEM_PACKING : INTEGER; C_FILTS_PACKED : INTEGER; C_LATENCY : INTEGER; C_HAS_ARESETn : INTEGER; C_HAS_ACLKEN : INTEGER; C_DATA_HAS_TLAST : INTEGER; C_S_DATA_HAS_FIFO : INTEGER; C_S_DATA_HAS_TUSER : INTEGER; C_S_DATA_TDATA_WIDTH : INTEGER; C_S_DATA_TUSER_WIDTH : INTEGER; C_M_DATA_HAS_TREADY : INTEGER; C_M_DATA_HAS_TUSER : INTEGER; C_M_DATA_TDATA_WIDTH : INTEGER; C_M_DATA_TUSER_WIDTH : INTEGER; C_HAS_CONFIG_CHANNEL : INTEGER; C_CONFIG_SYNC_MODE : INTEGER; C_CONFIG_PACKET_SIZE : INTEGER; C_CONFIG_TDATA_WIDTH : INTEGER; C_RELOAD_TDATA_WIDTH : INTEGER ); PORT ( aresetn : IN STD_LOGIC; aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_config_tlast : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_reload_tvalid : IN STD_LOGIC; s_axis_reload_tready : OUT STD_LOGIC; s_axis_reload_tlast : IN STD_LOGIC; s_axis_reload_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); event_s_data_tlast_missing : OUT STD_LOGIC; event_s_data_tlast_unexpected : OUT STD_LOGIC; event_s_data_chanid_incorrect : OUT STD_LOGIC; event_s_config_tlast_missing : OUT STD_LOGIC; event_s_config_tlast_unexpected : OUT STD_LOGIC; event_s_reload_tlast_missing : OUT STD_LOGIC; event_s_reload_tlast_unexpected : OUT STD_LOGIC ); END COMPONENT fir_compiler_v7_2_6; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; BEGIN U0 : fir_compiler_v7_2_6 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_COMPONENT_NAME => "design_1_FIR_resized2_0", C_COEF_FILE => "design_1_FIR_resized2_0.mif", C_COEF_FILE_LINES => 43, C_FILTER_TYPE => 7, C_INTERP_RATE => 1, C_DECIM_RATE => 2, C_ZERO_PACKING_FACTOR => 1, C_SYMMETRY => 1, C_NUM_FILTS => 1, C_NUM_TAPS => 167, C_NUM_CHANNELS => 1, C_CHANNEL_PATTERN => "fixed", C_ROUND_MODE => 1, C_COEF_RELOAD => 0, C_NUM_RELOAD_SLOTS => 1, C_COL_MODE => 1, C_COL_PIPE_LEN => 4, C_COL_CONFIG => "1", C_OPTIMIZATION => 0, C_DATA_PATH_WIDTHS => "24", C_DATA_IP_PATH_WIDTHS => "24", C_DATA_PX_PATH_WIDTHS => "24", C_DATA_WIDTH => 24, C_COEF_PATH_WIDTHS => "16", C_COEF_WIDTH => 16, C_DATA_PATH_SRC => "0", C_COEF_PATH_SRC => "0", C_PX_PATH_SRC => "0", C_DATA_PATH_SIGN => "0", C_COEF_PATH_SIGN => "0", C_ACCUM_PATH_WIDTHS => "41", C_OUTPUT_WIDTH => 32, C_OUTPUT_PATH_WIDTHS => "32", C_ACCUM_OP_PATH_WIDTHS => "41", C_EXT_MULT_CNFG => "none", C_DATA_PATH_PSAMP_SRC => "0", C_OP_PATH_PSAMP_SRC => "0", C_NUM_MADDS => 1, C_OPT_MADDS => "none", C_OVERSAMPLING_RATE => 43, C_INPUT_RATE => 250, C_OUTPUT_RATE => 500, C_DATA_MEMTYPE => 0, C_COEF_MEMTYPE => 2, C_IPBUFF_MEMTYPE => 2, C_OPBUFF_MEMTYPE => 0, C_DATAPATH_MEMTYPE => 2, C_MEM_ARRANGEMENT => 1, C_DATA_MEM_PACKING => 0, C_COEF_MEM_PACKING => 0, C_FILTS_PACKED => 0, C_LATENCY => 510, C_HAS_ARESETn => 0, C_HAS_ACLKEN => 0, C_DATA_HAS_TLAST => 0, C_S_DATA_HAS_FIFO => 1, C_S_DATA_HAS_TUSER => 0, C_S_DATA_TDATA_WIDTH => 24, C_S_DATA_TUSER_WIDTH => 1, C_M_DATA_HAS_TREADY => 0, C_M_DATA_HAS_TUSER => 0, C_M_DATA_TDATA_WIDTH => 32, C_M_DATA_TUSER_WIDTH => 1, C_HAS_CONFIG_CHANNEL => 0, C_CONFIG_SYNC_MODE => 0, C_CONFIG_PACKET_SIZE => 0, C_CONFIG_TDATA_WIDTH => 1, C_RELOAD_TDATA_WIDTH => 1 ) PORT MAP ( aresetn => '1', aclk => aclk, aclken => '1', s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => '0', s_axis_data_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_data_tdata => s_axis_data_tdata, s_axis_config_tvalid => '0', s_axis_config_tlast => '0', s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_reload_tvalid => '0', s_axis_reload_tlast => '0', s_axis_reload_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => '1', m_axis_data_tdata => m_axis_data_tdata ); END design_1_FIR_resized2_0_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fir_compiler:7.2 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fir_compiler_v7_2_6; USE fir_compiler_v7_2_6.fir_compiler_v7_2_6; ENTITY design_1_FIR_resized2_0 IS PORT ( aclk : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END design_1_FIR_resized2_0; ARCHITECTURE design_1_FIR_resized2_0_arch OF design_1_FIR_resized2_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_FIR_resized2_0_arch: ARCHITECTURE IS "yes"; COMPONENT fir_compiler_v7_2_6 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_COMPONENT_NAME : STRING; C_COEF_FILE : STRING; C_COEF_FILE_LINES : INTEGER; C_FILTER_TYPE : INTEGER; C_INTERP_RATE : INTEGER; C_DECIM_RATE : INTEGER; C_ZERO_PACKING_FACTOR : INTEGER; C_SYMMETRY : INTEGER; C_NUM_FILTS : INTEGER; C_NUM_TAPS : INTEGER; C_NUM_CHANNELS : INTEGER; C_CHANNEL_PATTERN : STRING; C_ROUND_MODE : INTEGER; C_COEF_RELOAD : INTEGER; C_NUM_RELOAD_SLOTS : INTEGER; C_COL_MODE : INTEGER; C_COL_PIPE_LEN : INTEGER; C_COL_CONFIG : STRING; C_OPTIMIZATION : INTEGER; C_DATA_PATH_WIDTHS : STRING; C_DATA_IP_PATH_WIDTHS : STRING; C_DATA_PX_PATH_WIDTHS : STRING; C_DATA_WIDTH : INTEGER; C_COEF_PATH_WIDTHS : STRING; C_COEF_WIDTH : INTEGER; C_DATA_PATH_SRC : STRING; C_COEF_PATH_SRC : STRING; C_PX_PATH_SRC : STRING; C_DATA_PATH_SIGN : STRING; C_COEF_PATH_SIGN : STRING; C_ACCUM_PATH_WIDTHS : STRING; C_OUTPUT_WIDTH : INTEGER; C_OUTPUT_PATH_WIDTHS : STRING; C_ACCUM_OP_PATH_WIDTHS : STRING; C_EXT_MULT_CNFG : STRING; C_DATA_PATH_PSAMP_SRC : STRING; C_OP_PATH_PSAMP_SRC : STRING; C_NUM_MADDS : INTEGER; C_OPT_MADDS : STRING; C_OVERSAMPLING_RATE : INTEGER; C_INPUT_RATE : INTEGER; C_OUTPUT_RATE : INTEGER; C_DATA_MEMTYPE : INTEGER; C_COEF_MEMTYPE : INTEGER; C_IPBUFF_MEMTYPE : INTEGER; C_OPBUFF_MEMTYPE : INTEGER; C_DATAPATH_MEMTYPE : INTEGER; C_MEM_ARRANGEMENT : INTEGER; C_DATA_MEM_PACKING : INTEGER; C_COEF_MEM_PACKING : INTEGER; C_FILTS_PACKED : INTEGER; C_LATENCY : INTEGER; C_HAS_ARESETn : INTEGER; C_HAS_ACLKEN : INTEGER; C_DATA_HAS_TLAST : INTEGER; C_S_DATA_HAS_FIFO : INTEGER; C_S_DATA_HAS_TUSER : INTEGER; C_S_DATA_TDATA_WIDTH : INTEGER; C_S_DATA_TUSER_WIDTH : INTEGER; C_M_DATA_HAS_TREADY : INTEGER; C_M_DATA_HAS_TUSER : INTEGER; C_M_DATA_TDATA_WIDTH : INTEGER; C_M_DATA_TUSER_WIDTH : INTEGER; C_HAS_CONFIG_CHANNEL : INTEGER; C_CONFIG_SYNC_MODE : INTEGER; C_CONFIG_PACKET_SIZE : INTEGER; C_CONFIG_TDATA_WIDTH : INTEGER; C_RELOAD_TDATA_WIDTH : INTEGER ); PORT ( aresetn : IN STD_LOGIC; aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_config_tlast : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_reload_tvalid : IN STD_LOGIC; s_axis_reload_tready : OUT STD_LOGIC; s_axis_reload_tlast : IN STD_LOGIC; s_axis_reload_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); event_s_data_tlast_missing : OUT STD_LOGIC; event_s_data_tlast_unexpected : OUT STD_LOGIC; event_s_data_chanid_incorrect : OUT STD_LOGIC; event_s_config_tlast_missing : OUT STD_LOGIC; event_s_config_tlast_unexpected : OUT STD_LOGIC; event_s_reload_tlast_missing : OUT STD_LOGIC; event_s_reload_tlast_unexpected : OUT STD_LOGIC ); END COMPONENT fir_compiler_v7_2_6; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; BEGIN U0 : fir_compiler_v7_2_6 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_COMPONENT_NAME => "design_1_FIR_resized2_0", C_COEF_FILE => "design_1_FIR_resized2_0.mif", C_COEF_FILE_LINES => 43, C_FILTER_TYPE => 7, C_INTERP_RATE => 1, C_DECIM_RATE => 2, C_ZERO_PACKING_FACTOR => 1, C_SYMMETRY => 1, C_NUM_FILTS => 1, C_NUM_TAPS => 167, C_NUM_CHANNELS => 1, C_CHANNEL_PATTERN => "fixed", C_ROUND_MODE => 1, C_COEF_RELOAD => 0, C_NUM_RELOAD_SLOTS => 1, C_COL_MODE => 1, C_COL_PIPE_LEN => 4, C_COL_CONFIG => "1", C_OPTIMIZATION => 0, C_DATA_PATH_WIDTHS => "24", C_DATA_IP_PATH_WIDTHS => "24", C_DATA_PX_PATH_WIDTHS => "24", C_DATA_WIDTH => 24, C_COEF_PATH_WIDTHS => "16", C_COEF_WIDTH => 16, C_DATA_PATH_SRC => "0", C_COEF_PATH_SRC => "0", C_PX_PATH_SRC => "0", C_DATA_PATH_SIGN => "0", C_COEF_PATH_SIGN => "0", C_ACCUM_PATH_WIDTHS => "41", C_OUTPUT_WIDTH => 32, C_OUTPUT_PATH_WIDTHS => "32", C_ACCUM_OP_PATH_WIDTHS => "41", C_EXT_MULT_CNFG => "none", C_DATA_PATH_PSAMP_SRC => "0", C_OP_PATH_PSAMP_SRC => "0", C_NUM_MADDS => 1, C_OPT_MADDS => "none", C_OVERSAMPLING_RATE => 43, C_INPUT_RATE => 250, C_OUTPUT_RATE => 500, C_DATA_MEMTYPE => 0, C_COEF_MEMTYPE => 2, C_IPBUFF_MEMTYPE => 2, C_OPBUFF_MEMTYPE => 0, C_DATAPATH_MEMTYPE => 2, C_MEM_ARRANGEMENT => 1, C_DATA_MEM_PACKING => 0, C_COEF_MEM_PACKING => 0, C_FILTS_PACKED => 0, C_LATENCY => 510, C_HAS_ARESETn => 0, C_HAS_ACLKEN => 0, C_DATA_HAS_TLAST => 0, C_S_DATA_HAS_FIFO => 1, C_S_DATA_HAS_TUSER => 0, C_S_DATA_TDATA_WIDTH => 24, C_S_DATA_TUSER_WIDTH => 1, C_M_DATA_HAS_TREADY => 0, C_M_DATA_HAS_TUSER => 0, C_M_DATA_TDATA_WIDTH => 32, C_M_DATA_TUSER_WIDTH => 1, C_HAS_CONFIG_CHANNEL => 0, C_CONFIG_SYNC_MODE => 0, C_CONFIG_PACKET_SIZE => 0, C_CONFIG_TDATA_WIDTH => 1, C_RELOAD_TDATA_WIDTH => 1 ) PORT MAP ( aresetn => '1', aclk => aclk, aclken => '1', s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => '0', s_axis_data_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_data_tdata => s_axis_data_tdata, s_axis_config_tvalid => '0', s_axis_config_tlast => '0', s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_reload_tvalid => '0', s_axis_reload_tlast => '0', s_axis_reload_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => '1', m_axis_data_tdata => m_axis_data_tdata ); END design_1_FIR_resized2_0_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fir_compiler:7.2 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fir_compiler_v7_2_6; USE fir_compiler_v7_2_6.fir_compiler_v7_2_6; ENTITY design_1_FIR_resized2_0 IS PORT ( aclk : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END design_1_FIR_resized2_0; ARCHITECTURE design_1_FIR_resized2_0_arch OF design_1_FIR_resized2_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_FIR_resized2_0_arch: ARCHITECTURE IS "yes"; COMPONENT fir_compiler_v7_2_6 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_COMPONENT_NAME : STRING; C_COEF_FILE : STRING; C_COEF_FILE_LINES : INTEGER; C_FILTER_TYPE : INTEGER; C_INTERP_RATE : INTEGER; C_DECIM_RATE : INTEGER; C_ZERO_PACKING_FACTOR : INTEGER; C_SYMMETRY : INTEGER; C_NUM_FILTS : INTEGER; C_NUM_TAPS : INTEGER; C_NUM_CHANNELS : INTEGER; C_CHANNEL_PATTERN : STRING; C_ROUND_MODE : INTEGER; C_COEF_RELOAD : INTEGER; C_NUM_RELOAD_SLOTS : INTEGER; C_COL_MODE : INTEGER; C_COL_PIPE_LEN : INTEGER; C_COL_CONFIG : STRING; C_OPTIMIZATION : INTEGER; C_DATA_PATH_WIDTHS : STRING; C_DATA_IP_PATH_WIDTHS : STRING; C_DATA_PX_PATH_WIDTHS : STRING; C_DATA_WIDTH : INTEGER; C_COEF_PATH_WIDTHS : STRING; C_COEF_WIDTH : INTEGER; C_DATA_PATH_SRC : STRING; C_COEF_PATH_SRC : STRING; C_PX_PATH_SRC : STRING; C_DATA_PATH_SIGN : STRING; C_COEF_PATH_SIGN : STRING; C_ACCUM_PATH_WIDTHS : STRING; C_OUTPUT_WIDTH : INTEGER; C_OUTPUT_PATH_WIDTHS : STRING; C_ACCUM_OP_PATH_WIDTHS : STRING; C_EXT_MULT_CNFG : STRING; C_DATA_PATH_PSAMP_SRC : STRING; C_OP_PATH_PSAMP_SRC : STRING; C_NUM_MADDS : INTEGER; C_OPT_MADDS : STRING; C_OVERSAMPLING_RATE : INTEGER; C_INPUT_RATE : INTEGER; C_OUTPUT_RATE : INTEGER; C_DATA_MEMTYPE : INTEGER; C_COEF_MEMTYPE : INTEGER; C_IPBUFF_MEMTYPE : INTEGER; C_OPBUFF_MEMTYPE : INTEGER; C_DATAPATH_MEMTYPE : INTEGER; C_MEM_ARRANGEMENT : INTEGER; C_DATA_MEM_PACKING : INTEGER; C_COEF_MEM_PACKING : INTEGER; C_FILTS_PACKED : INTEGER; C_LATENCY : INTEGER; C_HAS_ARESETn : INTEGER; C_HAS_ACLKEN : INTEGER; C_DATA_HAS_TLAST : INTEGER; C_S_DATA_HAS_FIFO : INTEGER; C_S_DATA_HAS_TUSER : INTEGER; C_S_DATA_TDATA_WIDTH : INTEGER; C_S_DATA_TUSER_WIDTH : INTEGER; C_M_DATA_HAS_TREADY : INTEGER; C_M_DATA_HAS_TUSER : INTEGER; C_M_DATA_TDATA_WIDTH : INTEGER; C_M_DATA_TUSER_WIDTH : INTEGER; C_HAS_CONFIG_CHANNEL : INTEGER; C_CONFIG_SYNC_MODE : INTEGER; C_CONFIG_PACKET_SIZE : INTEGER; C_CONFIG_TDATA_WIDTH : INTEGER; C_RELOAD_TDATA_WIDTH : INTEGER ); PORT ( aresetn : IN STD_LOGIC; aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_config_tlast : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_reload_tvalid : IN STD_LOGIC; s_axis_reload_tready : OUT STD_LOGIC; s_axis_reload_tlast : IN STD_LOGIC; s_axis_reload_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); event_s_data_tlast_missing : OUT STD_LOGIC; event_s_data_tlast_unexpected : OUT STD_LOGIC; event_s_data_chanid_incorrect : OUT STD_LOGIC; event_s_config_tlast_missing : OUT STD_LOGIC; event_s_config_tlast_unexpected : OUT STD_LOGIC; event_s_reload_tlast_missing : OUT STD_LOGIC; event_s_reload_tlast_unexpected : OUT STD_LOGIC ); END COMPONENT fir_compiler_v7_2_6; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; BEGIN U0 : fir_compiler_v7_2_6 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_COMPONENT_NAME => "design_1_FIR_resized2_0", C_COEF_FILE => "design_1_FIR_resized2_0.mif", C_COEF_FILE_LINES => 43, C_FILTER_TYPE => 7, C_INTERP_RATE => 1, C_DECIM_RATE => 2, C_ZERO_PACKING_FACTOR => 1, C_SYMMETRY => 1, C_NUM_FILTS => 1, C_NUM_TAPS => 167, C_NUM_CHANNELS => 1, C_CHANNEL_PATTERN => "fixed", C_ROUND_MODE => 1, C_COEF_RELOAD => 0, C_NUM_RELOAD_SLOTS => 1, C_COL_MODE => 1, C_COL_PIPE_LEN => 4, C_COL_CONFIG => "1", C_OPTIMIZATION => 0, C_DATA_PATH_WIDTHS => "24", C_DATA_IP_PATH_WIDTHS => "24", C_DATA_PX_PATH_WIDTHS => "24", C_DATA_WIDTH => 24, C_COEF_PATH_WIDTHS => "16", C_COEF_WIDTH => 16, C_DATA_PATH_SRC => "0", C_COEF_PATH_SRC => "0", C_PX_PATH_SRC => "0", C_DATA_PATH_SIGN => "0", C_COEF_PATH_SIGN => "0", C_ACCUM_PATH_WIDTHS => "41", C_OUTPUT_WIDTH => 32, C_OUTPUT_PATH_WIDTHS => "32", C_ACCUM_OP_PATH_WIDTHS => "41", C_EXT_MULT_CNFG => "none", C_DATA_PATH_PSAMP_SRC => "0", C_OP_PATH_PSAMP_SRC => "0", C_NUM_MADDS => 1, C_OPT_MADDS => "none", C_OVERSAMPLING_RATE => 43, C_INPUT_RATE => 250, C_OUTPUT_RATE => 500, C_DATA_MEMTYPE => 0, C_COEF_MEMTYPE => 2, C_IPBUFF_MEMTYPE => 2, C_OPBUFF_MEMTYPE => 0, C_DATAPATH_MEMTYPE => 2, C_MEM_ARRANGEMENT => 1, C_DATA_MEM_PACKING => 0, C_COEF_MEM_PACKING => 0, C_FILTS_PACKED => 0, C_LATENCY => 510, C_HAS_ARESETn => 0, C_HAS_ACLKEN => 0, C_DATA_HAS_TLAST => 0, C_S_DATA_HAS_FIFO => 1, C_S_DATA_HAS_TUSER => 0, C_S_DATA_TDATA_WIDTH => 24, C_S_DATA_TUSER_WIDTH => 1, C_M_DATA_HAS_TREADY => 0, C_M_DATA_HAS_TUSER => 0, C_M_DATA_TDATA_WIDTH => 32, C_M_DATA_TUSER_WIDTH => 1, C_HAS_CONFIG_CHANNEL => 0, C_CONFIG_SYNC_MODE => 0, C_CONFIG_PACKET_SIZE => 0, C_CONFIG_TDATA_WIDTH => 1, C_RELOAD_TDATA_WIDTH => 1 ) PORT MAP ( aresetn => '1', aclk => aclk, aclken => '1', s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => '0', s_axis_data_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_data_tdata => s_axis_data_tdata, s_axis_config_tvalid => '0', s_axis_config_tlast => '0', s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_reload_tvalid => '0', s_axis_reload_tlast => '0', s_axis_reload_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => '1', m_axis_data_tdata => m_axis_data_tdata ); END design_1_FIR_resized2_0_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fir_compiler:7.2 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fir_compiler_v7_2_6; USE fir_compiler_v7_2_6.fir_compiler_v7_2_6; ENTITY design_1_FIR_resized2_0 IS PORT ( aclk : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END design_1_FIR_resized2_0; ARCHITECTURE design_1_FIR_resized2_0_arch OF design_1_FIR_resized2_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_FIR_resized2_0_arch: ARCHITECTURE IS "yes"; COMPONENT fir_compiler_v7_2_6 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_COMPONENT_NAME : STRING; C_COEF_FILE : STRING; C_COEF_FILE_LINES : INTEGER; C_FILTER_TYPE : INTEGER; C_INTERP_RATE : INTEGER; C_DECIM_RATE : INTEGER; C_ZERO_PACKING_FACTOR : INTEGER; C_SYMMETRY : INTEGER; C_NUM_FILTS : INTEGER; C_NUM_TAPS : INTEGER; C_NUM_CHANNELS : INTEGER; C_CHANNEL_PATTERN : STRING; C_ROUND_MODE : INTEGER; C_COEF_RELOAD : INTEGER; C_NUM_RELOAD_SLOTS : INTEGER; C_COL_MODE : INTEGER; C_COL_PIPE_LEN : INTEGER; C_COL_CONFIG : STRING; C_OPTIMIZATION : INTEGER; C_DATA_PATH_WIDTHS : STRING; C_DATA_IP_PATH_WIDTHS : STRING; C_DATA_PX_PATH_WIDTHS : STRING; C_DATA_WIDTH : INTEGER; C_COEF_PATH_WIDTHS : STRING; C_COEF_WIDTH : INTEGER; C_DATA_PATH_SRC : STRING; C_COEF_PATH_SRC : STRING; C_PX_PATH_SRC : STRING; C_DATA_PATH_SIGN : STRING; C_COEF_PATH_SIGN : STRING; C_ACCUM_PATH_WIDTHS : STRING; C_OUTPUT_WIDTH : INTEGER; C_OUTPUT_PATH_WIDTHS : STRING; C_ACCUM_OP_PATH_WIDTHS : STRING; C_EXT_MULT_CNFG : STRING; C_DATA_PATH_PSAMP_SRC : STRING; C_OP_PATH_PSAMP_SRC : STRING; C_NUM_MADDS : INTEGER; C_OPT_MADDS : STRING; C_OVERSAMPLING_RATE : INTEGER; C_INPUT_RATE : INTEGER; C_OUTPUT_RATE : INTEGER; C_DATA_MEMTYPE : INTEGER; C_COEF_MEMTYPE : INTEGER; C_IPBUFF_MEMTYPE : INTEGER; C_OPBUFF_MEMTYPE : INTEGER; C_DATAPATH_MEMTYPE : INTEGER; C_MEM_ARRANGEMENT : INTEGER; C_DATA_MEM_PACKING : INTEGER; C_COEF_MEM_PACKING : INTEGER; C_FILTS_PACKED : INTEGER; C_LATENCY : INTEGER; C_HAS_ARESETn : INTEGER; C_HAS_ACLKEN : INTEGER; C_DATA_HAS_TLAST : INTEGER; C_S_DATA_HAS_FIFO : INTEGER; C_S_DATA_HAS_TUSER : INTEGER; C_S_DATA_TDATA_WIDTH : INTEGER; C_S_DATA_TUSER_WIDTH : INTEGER; C_M_DATA_HAS_TREADY : INTEGER; C_M_DATA_HAS_TUSER : INTEGER; C_M_DATA_TDATA_WIDTH : INTEGER; C_M_DATA_TUSER_WIDTH : INTEGER; C_HAS_CONFIG_CHANNEL : INTEGER; C_CONFIG_SYNC_MODE : INTEGER; C_CONFIG_PACKET_SIZE : INTEGER; C_CONFIG_TDATA_WIDTH : INTEGER; C_RELOAD_TDATA_WIDTH : INTEGER ); PORT ( aresetn : IN STD_LOGIC; aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_config_tlast : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_reload_tvalid : IN STD_LOGIC; s_axis_reload_tready : OUT STD_LOGIC; s_axis_reload_tlast : IN STD_LOGIC; s_axis_reload_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); event_s_data_tlast_missing : OUT STD_LOGIC; event_s_data_tlast_unexpected : OUT STD_LOGIC; event_s_data_chanid_incorrect : OUT STD_LOGIC; event_s_config_tlast_missing : OUT STD_LOGIC; event_s_config_tlast_unexpected : OUT STD_LOGIC; event_s_reload_tlast_missing : OUT STD_LOGIC; event_s_reload_tlast_unexpected : OUT STD_LOGIC ); END COMPONENT fir_compiler_v7_2_6; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; BEGIN U0 : fir_compiler_v7_2_6 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_COMPONENT_NAME => "design_1_FIR_resized2_0", C_COEF_FILE => "design_1_FIR_resized2_0.mif", C_COEF_FILE_LINES => 43, C_FILTER_TYPE => 7, C_INTERP_RATE => 1, C_DECIM_RATE => 2, C_ZERO_PACKING_FACTOR => 1, C_SYMMETRY => 1, C_NUM_FILTS => 1, C_NUM_TAPS => 167, C_NUM_CHANNELS => 1, C_CHANNEL_PATTERN => "fixed", C_ROUND_MODE => 1, C_COEF_RELOAD => 0, C_NUM_RELOAD_SLOTS => 1, C_COL_MODE => 1, C_COL_PIPE_LEN => 4, C_COL_CONFIG => "1", C_OPTIMIZATION => 0, C_DATA_PATH_WIDTHS => "24", C_DATA_IP_PATH_WIDTHS => "24", C_DATA_PX_PATH_WIDTHS => "24", C_DATA_WIDTH => 24, C_COEF_PATH_WIDTHS => "16", C_COEF_WIDTH => 16, C_DATA_PATH_SRC => "0", C_COEF_PATH_SRC => "0", C_PX_PATH_SRC => "0", C_DATA_PATH_SIGN => "0", C_COEF_PATH_SIGN => "0", C_ACCUM_PATH_WIDTHS => "41", C_OUTPUT_WIDTH => 32, C_OUTPUT_PATH_WIDTHS => "32", C_ACCUM_OP_PATH_WIDTHS => "41", C_EXT_MULT_CNFG => "none", C_DATA_PATH_PSAMP_SRC => "0", C_OP_PATH_PSAMP_SRC => "0", C_NUM_MADDS => 1, C_OPT_MADDS => "none", C_OVERSAMPLING_RATE => 43, C_INPUT_RATE => 250, C_OUTPUT_RATE => 500, C_DATA_MEMTYPE => 0, C_COEF_MEMTYPE => 2, C_IPBUFF_MEMTYPE => 2, C_OPBUFF_MEMTYPE => 0, C_DATAPATH_MEMTYPE => 2, C_MEM_ARRANGEMENT => 1, C_DATA_MEM_PACKING => 0, C_COEF_MEM_PACKING => 0, C_FILTS_PACKED => 0, C_LATENCY => 510, C_HAS_ARESETn => 0, C_HAS_ACLKEN => 0, C_DATA_HAS_TLAST => 0, C_S_DATA_HAS_FIFO => 1, C_S_DATA_HAS_TUSER => 0, C_S_DATA_TDATA_WIDTH => 24, C_S_DATA_TUSER_WIDTH => 1, C_M_DATA_HAS_TREADY => 0, C_M_DATA_HAS_TUSER => 0, C_M_DATA_TDATA_WIDTH => 32, C_M_DATA_TUSER_WIDTH => 1, C_HAS_CONFIG_CHANNEL => 0, C_CONFIG_SYNC_MODE => 0, C_CONFIG_PACKET_SIZE => 0, C_CONFIG_TDATA_WIDTH => 1, C_RELOAD_TDATA_WIDTH => 1 ) PORT MAP ( aresetn => '1', aclk => aclk, aclken => '1', s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => '0', s_axis_data_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_data_tdata => s_axis_data_tdata, s_axis_config_tvalid => '0', s_axis_config_tlast => '0', s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_reload_tvalid => '0', s_axis_reload_tlast => '0', s_axis_reload_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => '1', m_axis_data_tdata => m_axis_data_tdata ); END design_1_FIR_resized2_0_arch;
-- -- This file is part of top_test_image_controler_640_480_1b -- Copyright (C) 2011 Julien Thevenon ( julien_thevenon at yahoo.fr ) -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/> -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity top_test_image_controler_640_480_1b is Port ( clk : in STD_LOGIC; w1a : inout STD_LOGIC_VECTOR (15 downto 0); w1b : inout STD_LOGIC_VECTOR (15 downto 0); w2c : inout STD_LOGIC_VECTOR (15 downto 0); rx : in STD_LOGIC; tx : inout STD_LOGIC); end top_test_image_controler_640_480_1b; architecture Behavioral of top_test_image_controler_640_480_1b is COMPONENT clock_25mhz PORT( CLKIN_IN : IN std_logic; CLKFX_OUT : OUT std_logic; CLKIN_IBUFG_OUT : OUT std_logic; CLK0_OUT : OUT std_logic ); END COMPONENT; signal clk_25mhz : std_logic; signal reset : std_logic; signal vsync : std_logic; signal hsync : std_logic; signal enable : std_logic; signal screen_right_left : std_logic; signal screen_up_down : std_logic; signal r : std_logic_vector ( 5 downto 0); signal g : std_logic_vector ( 5 downto 0); signal b : std_logic_vector ( 5 downto 0); signal audio_right : std_logic; signal audio_left : std_logic; signal x_out : std_logic_vector( 9 downto 0); signal y_out : std_logic_vector( 8 downto 0); signal vsync_ok : std_logic; signal hsync_ok : std_logic; signal enable_ok : std_logic; -- Signals to write in screen memory signal addr : std_logic_vector(18 downto 0) := (others => '0'); signal data_in : std_logic; signal write_enable : std_logic; begin Inst_clock_25mhz: clock_25mhz PORT MAP( CLKIN_IN => clk, CLKFX_OUT => clk_25mhz, CLKIN_IBUFG_OUT => open, CLK0_OUT => open ); Inst_giovanni_card : entity work.giovanni_card PORT MAP( w1a => w1a, w1b => w1b, scr_red => r, scr_green => g, scr_blue => b, scr_clk => clk_25mhz, scr_hsync => hsync_ok, scr_vsync => vsync_ok, scr_enable => enable_ok, scr_right_left => screen_right_left, scr_up_down => screen_up_down, audio_right => audio_right, audio_left => audio_left, audio_stereo_ok => open, audio_plugged => open, io => open ); Inst_driver_sharp : entity work.driver_sharp PORT MAP( clk => clk_25mhz, rst => reset, vsync => vsync, hsync => hsync, enable => enable, x_out => x_out, y_out => y_out ); inst_image_controler : entity work.image_controler PORT MAP( clk => clk_25mhz, rst => reset, r => r, g => g, b => b, x => x_out, y => y_out, hsync_in => hsync, vsync_in => vsync, enable_in => enable, write_enable => write_enable, write_addr => addr, data_in => data_in, hsync_out => hsync_ok, vsync_out => vsync_ok, enable_out => enable_ok ); inst_image_generator : entity work.image_generator port map ( clk => clk_25mhz, rst => reset, write_enable => write_enable, data => data_in, addr => addr); reset <= '0'; screen_right_left <= '1'; screen_up_down <= '1'; audio_right <= '0'; audio_left <= '0'; end Behavioral;
-- -- Clock divider (clock enable generator) -- -- Author: Sebastian Witt -- Date: 27.01.2008 -- Version: 1.1 -- -- This code is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 2.1 of the License, or (at your option) any later version. -- -- This code is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public -- License along with this library; if not, write to the -- Free Software Foundation, Inc., 59 Temple Place, Suite 330, -- Boston, MA 02111-1307 USA -- LIBRARY IEEE; USE IEEE.std_logic_1164.all; USE IEEE.numeric_std.all; entity slib_clock_div is generic ( RATIO : integer := 4 -- Clock divider ratio ); port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset CE : in std_logic; -- Clock enable input Q : out std_logic -- New clock enable output ); end slib_clock_div; architecture rtl of slib_clock_div is -- Signals signal iQ : std_logic; -- Internal Q signal iCounter : integer range 0 to RATIO-1; -- Counter begin -- Main process CD_PROC: process (RST, CLK) begin if (RST = '1') then iCounter <= 0; iQ <= '0'; elsif (CLK'event and CLK='1') then iQ <= '0'; if (CE = '1') then if (iCounter = (RATIO-1)) then iQ <= '1'; iCounter <= 0; else iCounter <= iCounter + 1; end if; end if; end if; end process; -- Output signals Q <= iQ; end rtl;
------------------------------------------------------------------------------- -- -- Module : BRAM_macro.vhd -- -- Version : 1.2 -- -- Last Update : 2005-06-29 -- -- Project : Parameterizable LocalLink FIFO -- -- Description : Block SelectRAM macros and Control Mappings -- -- Designer : Wen Ying Wei, Davy Huang -- -- Company : Xilinx, Inc. -- -- Disclaimer : XILINX IS PROVIDING THIS DESIGN, CODE, OR -- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING -- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS -- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, -- APPLICATION OR STANDARD, XILINX IS MAKING NO -- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE -- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE -- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY -- REQUIRE FOR YOUR IMPLEMENTATION. XILINX -- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH -- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION, -- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE -- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES -- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE. -- -- (c) Copyright 2005 Xilinx, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; library unisim; use unisim.vcomponents.all; library work; use work.fifo_u.all; use work.BRAM_fifo_pkg.all; entity BRAM_macro is generic ( BRAM_MACRO_NUM : integer := 1; --Number of BRAM Blocks. --Allowed: 1, 2, 4, 8, 16 WR_DWIDTH : integer := 32; --FIFO write data width. --Allowed: 8, 16, 32, 64 RD_DWIDTH : integer := 32; --FIFO read data width. --Allowed: 8, 16, 32, 64 WR_REM_WIDTH : integer := 2; --log2(WR_DWIDTH/8) RD_REM_WIDTH : integer := 2; --log2(RD_DWIDTH/8) RD_PAD_WIDTH : integer := 1; RD_ADDR_FULL_WIDTH: integer := 10; RD_ADDR_WIDTH : integer := 9; ADDR_MINOR_WIDTH: integer := 1; WR_PAD_WIDTH : integer := 1; WR_ADDR_FULL_WIDTH: integer := 10; WR_ADDR_WIDTH : integer := 9; glbtm : time := 1 ns ); port ( -- Reset fifo_gsr: in std_logic; -- clocks wr_clk: in std_logic; rd_clk: in std_logic; rd_allow: in std_logic; rd_allow_minor: in std_logic; rd_addr_full: in std_logic_vector(RD_PAD_WIDTH+RD_ADDR_FULL_WIDTH-1 downto 0); rd_addr_minor: in std_logic_vector(ADDR_MINOR_WIDTH-1 downto 0); rd_addr: in std_logic_vector(RD_PAD_WIDTH + RD_ADDR_WIDTH -1 downto 0); rd_data: out std_logic_vector(RD_DWIDTH -1 downto 0); rd_rem: out std_logic_vector(RD_REM_WIDTH-1 downto 0); rd_sof_n: out std_logic; rd_eof_n: out std_logic; wr_allow: in std_logic; wr_allow_minor: in std_logic; wr_addr: in std_logic_vector(WR_PAD_WIDTH + WR_ADDR_WIDTH-1 downto 0); wr_addr_minor: in std_logic_vector(ADDR_MINOR_WIDTH-1 downto 0); wr_addr_full: in std_logic_vector(WR_PAD_WIDTH + WR_ADDR_FULL_WIDTH-1 downto 0); wr_data: in std_logic_vector(WR_DWIDTH-1 downto 0); wr_rem: in std_logic_vector(WR_REM_WIDTH-1 downto 0); wr_sof_n: in std_logic; wr_eof_n: in std_logic ); end BRAM_macro; architecture BRAM_macro_hdl of BRAM_macro is constant MEM_IDX : integer := SQUARE2(BRAM_MACRO_NUM); constant WR_PAR_WIDTH : integer := GET_PAR_WIDTH(WR_DWIDTH); constant RD_PAR_WIDTH : integer := GET_PAR_WIDTH(RD_DWIDTH); constant RD_MINOR_HIGH: integer := POWER2(ADDR_MINOR_WIDTH); constant REM_SEL_HIGH_VALUE : integer := GET_HIGH_VALUE( RD_REM_WIDTH,WR_REM_WIDTH); constant REM_SEL_HIGH1 : integer := POWER2(REM_SEL_HIGH_VALUE); constant WR_SOF_EOF_WIDTH : integer := GET_WR_SOF_EOF_WIDTH( RD_DWIDTH, WR_DWIDTH); constant RD_SOF_EOF_WIDTH : integer := GET_RD_SOF_EOF_WIDTH( RD_DWIDTH, WR_DWIDTH); constant WR_CTRL_REM_WIDTH : integer := GET_WR_CTRL_REM_WIDTH( RD_DWIDTH, WR_DWIDTH); constant RD_CTRL_REM_WIDTH : integer := GET_RD_CTRL_REM_WIDTH( RD_DWIDTH, WR_DWIDTH); constant C_WR_ADDR_WIDTH : integer := GET_C_WR_ADDR_WIDTH(RD_DWIDTH, WR_DWIDTH, BRAM_MACRO_NUM); constant C_RD_ADDR_WIDTH : integer := GET_C_RD_ADDR_WIDTH(RD_DWIDTH, WR_DWIDTH, BRAM_MACRO_NUM); constant ratio1 : integer := GET_RATIO(RD_DWIDTH, WR_DWIDTH, WR_SOF_EOF_WIDTH); constant C_RD_TEMP_WIDTH : integer := GET_C_RD_TEMP_WIDTH(RD_DWIDTH, WR_DWIDTH); constant C_WR_TEMP_WIDTH : integer := GET_C_WR_TEMP_WIDTH(RD_DWIDTH, WR_DWIDTH); constant NUM_DIV : integer := GET_NUM_DIV(RD_DWIDTH, WR_DWIDTH); constant WR_EN_FACTOR : integer := GET_WR_EN_FACTOR(NUM_DIV, BRAM_MACRO_NUM); constant RDDWdivWRDW : integer := GET_RDDWdivWRDW(RD_DWIDTH, WR_DWIDTH); type rd_data_vec_type is array(0 to BRAM_MACRO_NUM-1) of std_logic_vector(RD_DWIDTH-1 downto 0); type rd_sof_eof_vec_type is array(0 to BRAM_MACRO_NUM-1) of std_logic_vector(RD_SOF_EOF_WIDTH-1 downto 0); type rd_ctrl_rem_vec_type is array(0 to BRAM_MACRO_NUM-1) of std_logic_vector(RD_CTRL_REM_WIDTH-1 downto 0); type rd_ctrl_vec_type is array(0 to BRAM_MACRO_NUM-1) of std_logic_vector(C_RD_TEMP_WIDTH-1 downto 0); signal rd_data_grp: std_logic_vector((RD_DWIDTH * BRAM_MACRO_NUM) -1 downto 0); signal rd_data_p: rd_data_vec_type := (others=>(others=>'0')); signal rd_ctrl_rem_p: rd_ctrl_rem_vec_type := (others=>(others=>'0')); signal rd_sof_eof_p: rd_sof_eof_vec_type := (others=>(others=>'0')); signal rd_ctrl_p: rd_ctrl_vec_type := (others=>(others=>'0')); signal wr_rem_plus_one: std_logic_vector(WR_REM_WIDTH downto 0); signal wr_ctrl_rem: std_logic_vector(WR_CTRL_REM_WIDTH-1 downto 0); signal rd_ctrl_rem: std_logic_vector(RD_CTRL_REM_WIDTH-1 downto 0); signal min_addr1: integer := 0; signal min_addr2: integer := 0; signal rem_sel1: integer := 0; signal rem_sel2: integer := 0; signal gnd_bus: std_logic_vector(128 downto 0); signal gnd: std_logic; signal pwr: std_logic; signal wr_sof_eof: std_logic_vector(WR_SOF_EOF_WIDTH-1 downto 0); signal rd_sof_eof: std_logic_vector(RD_SOF_EOF_WIDTH-1 downto 0); signal wr_sof_temp_n: std_logic_vector(RDDWdivWRDW -1 downto 0); signal c_rd_temp: std_logic_vector(C_RD_TEMP_WIDTH-1 downto 0); signal c_wr_temp: std_logic_vector(C_WR_TEMP_WIDTH-1 downto 0); signal c_wr_en: std_logic_vector(WR_EN_FACTOR-1 downto 0); ---------------------------------------------------------------------------- -- ram enable signals -- each ram has two enables for two ports ---------------------------------------------------------------------------- signal ram_wr_en: std_logic_vector(BRAM_MACRO_NUM -1 downto 0); ---------------------------------------------------------------------------- -- ram select signal, for read and write -- each bit in this signal will select one bram ---------------------------------------------------------------------------- signal bram_rd_sel: std_logic_vector (MEM_IDX downto 0); signal bram_wr_sel: std_logic_vector (MEM_IDX downto 0); signal rd_sof_eof_grp: std_logic_vector((RD_SOF_EOF_WIDTH * BRAM_MACRO_NUM)-1 downto 0); signal rd_ctrl_rem_grp: std_logic_vector((RD_CTRL_REM_WIDTH * BRAM_MACRO_NUM)-1 downto 0); signal c_rd_ctrl_grp: std_logic_vector((C_RD_TEMP_WIDTH * BRAM_MACRO_NUM)-1 downto 0); signal c_rd_allow1: std_logic; signal c_wr_allow1: std_logic; signal c_rd_allow2: std_logic; signal c_wr_allow2: std_logic; signal c_rd_ctrl_rem1: std_logic_vector(RD_CTRL_REM_WIDTH-1 downto 0); signal c_rd_ctrl_rem2: std_logic_vector(RD_CTRL_REM_WIDTH-1 downto 0); signal rd_addr_full_r: std_logic_vector(RD_PAD_WIDTH+RD_ADDR_FULL_WIDTH-1 downto 0); begin --------------------------------------------------------------------------- -- Misellainous -- --------------------------------------------------------------------------- gnd <= '0'; gnd_bus <= (others => '0'); pwr <= '1'; --------------------------------------------------------------------------- -- Pipeline process (rd_clk) begin if rd_clk'event and rd_clk = '1' then if rd_allow = '1' then rd_addr_full_r <= rd_addr_full after glbtm; end if; end if; end process; ------------------------------------------------------------------------------ -- -- Convert minor address to integer to use as select signals for data -- -- -- and control outputs -- ------------------------------------------------------------------------------ min_addr1 <= slv2int(rd_addr_minor); min_addr2 <= slv2int(wr_addr_minor); ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ---------------------------- Multiplexer on Read Port ------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- readmuxgen1: if BRAM_MACRO_NUM > 1 generate rdma: for i in 0 to BRAM_MACRO_NUM - 1 generate -- for data rd_data_p(i) <= rd_data_grp (RD_DWIDTH* (i+1) -1 downto RD_DWIDTH * i); end generate rdma; rdmuxgen1a: if WR_DWIDTH > RD_DWIDTH generate rd_data <= rd_data_p(conv_integer(bram_rd_sel)); end generate rdmuxgen1a; rdmuxgen1b: if WR_DWIDTH <= RD_DWIDTH generate rd_data <= rd_data_p(conv_integer(bram_rd_sel)); end generate rdmuxgen1b; rdma_1: if WR_DWIDTH + RD_DWIDTH = 160 generate rdma_1a: for i in 0 to BRAM_MACRO_NUM - 1 generate rd_ctrl_rem_p(i) <= rd_ctrl_rem_grp(RD_CTRL_REM_WIDTH * (i+1) -1 downto RD_CTRL_REM_WIDTH*i); end generate rdma_1a; rd_ctrl_rem <= rd_ctrl_rem_p(conv_integer(bram_rd_sel)); end generate rdma_1; end generate readmuxgen1; readmuxgen2: if BRAM_MACRO_NUM = 1 generate rdmuxgen1a: if WR_DWIDTH > RD_DWIDTH generate rd_data <= rd_data_grp (RD_DWIDTH -1 downto 0); end generate rdmuxgen1a; rdmuxgen1b: if WR_DWIDTH <= RD_DWIDTH generate rd_data <= rd_data_grp (RD_DWIDTH -1 downto 0); end generate rdmuxgen1b; rdma_2: if WR_DWIDTH + RD_DWIDTH = 160 generate rd_ctrl_rem <= rd_ctrl_rem_grp(RD_CTRL_REM_WIDTH -1 downto 0); end generate rdma_2; end generate readmuxgen2; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------- Generate Select Signal on Multiple BRAMs -------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- bramselgen: if BRAM_MACRO_NUM > 1 generate bramsel_gen1: if RD_DWIDTH /= WR_DWIDTH generate bramsel_proc: process (rd_clk, fifo_gsr) begin if (fifo_gsr = '1') then bram_rd_sel <= (others => '0'); elsif (rd_clk'EVENT and rd_clk = '1') then if (rd_allow_minor = '1' or rd_allow = '1') then bram_rd_sel <= '0' & rd_addr_full(RD_ADDR_FULL_WIDTH-1 downto RD_ADDR_FULL_WIDTH-MEM_IDX); end if; end if; end process bramsel_proc; bram_wr_sel <= '0' & wr_addr_full(WR_ADDR_FULL_WIDTH-1 downto WR_ADDR_FULL_WIDTH-MEM_IDX); end generate bramsel_gen1; bramsel_gen2: if RD_DWIDTH = WR_DWIDTH generate bramsel_proc: process (rd_clk, fifo_gsr) begin if (fifo_gsr = '1') then bram_rd_sel <= (others => '0'); elsif (rd_clk'EVENT and rd_clk = '1') then if (rd_allow_minor = '1' or rd_allow = '1') then bram_rd_sel <= '0' & rd_addr(RD_ADDR_WIDTH-1 downto RD_ADDR_WIDTH-MEM_IDX); end if; end if; end process bramsel_proc; bram_wr_sel <= '0' & wr_addr(WR_ADDR_FULL_WIDTH-1 downto WR_ADDR_FULL_WIDTH-MEM_IDX); end generate bramsel_gen2; end generate bramselgen; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ---------------------------- SOF/EOF/REM Mappings ------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Read Width is smaller ------------------------------------------------------------------------------- GEN1: if RD_DWIDTH < WR_DWIDTH generate -- If rd width is smaller --------------------------------------------------------------------------- -- Ram enable signal for each ram unit (GEN1) -- -- each bit of this signal will select one unit of ram -- --------------------------------------------------------------------------- ram_en_gen1a: if BRAM_MACRO_NUM > 1 generate ram_wr_en <= conv_std_logic_vector(POWER2(conv_integer(bram_wr_sel)), BRAM_MACRO_NUM) when wr_allow = '1' else (others=>'0'); end generate ram_en_gen1a; ram_en_gen1b: if BRAM_MACRO_NUM = 1 generate ram_wr_en <= "1" when wr_allow = '1' else (others=>'0'); end generate ram_en_gen1b; GEN1_wr_map_1: if RD_DWIDTH > 8 generate -- rd width is greater than 8 rem_sel1 <= slv2int(wr_rem(WR_REM_WIDTH-1 downto RD_REM_WIDTH)); -- SOF mapping wr_sof_eof(0) <= wr_sof_n; GEN1_wr_map_2: for k in 1 to REM_SEL_HIGH1-1 generate wr_sof_eof(k*ratio1) <= '1'; end generate GEN1_wr_map_2; -- EOF mapping GEN1_wr_map_3: for j in 0 to REM_SEL_HIGH1-1 generate wr_sof_eof(j*ratio1 + 1) <= wr_eof_n when rem_sel1 = j else '1'; end generate GEN1_wr_map_3; -- REM mapping GEN1_wr_map_4: if RD_DWIDTH > 16 generate GEN1_wr_map_5: if WR_DWIDTH + RD_DWIDTH = 96 generate -- For this case, the rem and sof and eof are all group together -- to become wr_sof_eof. GEN1_wr_map_6: for i in 0 to REM_SEL_HIGH1-1 generate wr_sof_eof(i*ratio1+RD_REM_WIDTH+1 downto i*ratio1+2) <= wr_rem(RD_REM_WIDTH-1 downto 0) when rem_sel1 = i else (others => '0'); end generate GEN1_wr_map_6; end generate GEN1_wr_map_5; GEN1_wr_map_7: if WR_DWIDTH + RD_DWIDTH = 160 generate GEN1_wr_map_8: for i in 0 to REM_SEL_HIGH1-1 generate wr_ctrl_rem(i*4+WR_REM_WIDTH-1 downto i*4) <= gnd & gnd & wr_rem(RD_REM_WIDTH-1 downto 0) when rem_sel1 = i else (others => '0'); end generate GEN1_wr_map_8; end generate GEN1_wr_map_7; GEN1_wr_map_9: if WR_DWIDTH + RD_DWIDTH = 192 generate GEN1_wr_map_10: for i in 0 to REM_SEL_HIGH1-1 generate wr_sof_eof(i*ratio1+RD_REM_WIDTH+1 downto i*ratio1+2) <= wr_rem(RD_REM_WIDTH-1 downto 0) when rem_sel1 = i else (others => '0'); end generate GEN1_wr_map_10; end generate GEN1_wr_map_9; end generate GEN1_wr_map_4; GEN1_wr_map_11: if RD_DWIDTH = 16 generate GEN1_wr_map_12: if WR_DWIDTH /= 128 generate GEN1_wr_map_13: for i in 0 to REM_SEL_HIGH1-1 generate wr_ctrl_rem(i) <= wr_rem(0) when rem_sel1 = i else '0'; end generate GEN1_wr_map_13; end generate GEN1_wr_map_12; GEN1_wr_map_14: if WR_DWIDTH = 128 generate GEN1_wr_map_15: for i in 0 to REM_SEL_HIGH1-1 generate wr_sof_eof(i*ratio1+2)<=wr_rem(0) when rem_sel1=i else '0'; end generate GEN1_wr_map_15; end generate GEN1_wr_map_14; end generate GEN1_wr_map_11; end generate GEN1_wr_map_1; --------------------------------------------------------------------------- -- The following generate statments Covers cases: 128:8, 64:8, 32:8, 16:8-- -- There is no need to find rem, so we only need two bit wide to store -- -- sof and eof. (GEN1) -- --------------------------------------------------------------------------- GEN1_wr_map_16: if RD_DWIDTH = 8 generate -- rd width is 8 rem_sel2 <= slv2int(wr_rem(WR_REM_WIDTH-1 downto 0)); -- SOF Mapping wr_sof_eof(0) <= wr_sof_n; GEN1_wr_map_17: if WR_DWIDTH /= 16 generate GEN1_wr_map_18: if WR_DWIDTH /= 128 generate GEN1_wr_map_19: for k in 1 to REM_SEL_HIGH1*2-1 generate wr_sof_eof(k*ratio1) <= '1'; end generate GEN1_wr_map_19; -- EOF mapping GEN1_wr_map_20: for p in 0 to REM_SEL_HIGH1*2-1 generate wr_sof_eof(p*ratio1 + 1)<=wr_eof_n when rem_sel2=p else '1'; end generate GEN1_wr_map_20; end generate GEN1_wr_map_18; GEN1_wr_map_21: if WR_DWIDTH = 128 generate GEN1_wr_map_22: for k in 1 to REM_SEL_HIGH1*2-1 generate wr_sof_eof(k*ratio1) <= '1'; end generate GEN1_wr_map_22; -- EOF mapping GEN1_wr_map_23: for p in 0 to REM_SEL_HIGH1*2-1 generate wr_sof_eof(p*ratio1 + 1)<=wr_eof_n when rem_sel2=p else '1'; end generate GEN1_wr_map_23; end generate GEN1_wr_map_21; end generate GEN1_wr_map_17; GEN1_wr_map_24: if WR_DWIDTH = 16 generate GEN1_wr_map_25: for k in 1 to REM_SEL_HIGH1-1 generate wr_sof_eof(k*ratio1) <= '1'; end generate GEN1_wr_map_25; -- EOF mapping GEN1_wr_map_26: for p in 0 to REM_SEL_HIGH1-1 generate wr_sof_eof(p*ratio1 + 1) <= wr_eof_n when rem_sel2=p else '1'; end generate GEN1_wr_map_26; end generate GEN1_wr_map_24; end generate GEN1_wr_map_16; --------------------------------------------------------------------------- -- Reading SOF, EOF, REM with mapping (GEN1) -- --------------------------------------------------------------------------- rd_sof_n <= rd_sof_eof(0) when min_addr1 = 1 else '1'; rd_eof_n <= rd_sof_eof(1); GEN1_rd_map_0: if RD_DWIDTH = 8 generate rd_rem <= (others => '0'); end generate; GEN1_rd_map_1: if RD_DWIDTH > 8 generate GEN1_rd_map_2: if RD_DWIDTH = 16 generate rd_rem <= rd_ctrl_rem when rd_sof_eof(1) = '0' else (others => '0'); end generate GEN1_rd_map_2; GEN1_rd_map_3: if RD_DWIDTH = 64 generate rd_rem <= rd_ctrl_rem(RD_REM_WIDTH-1 downto 0); end generate GEN1_rd_map_3; GEN1_rd_map_4: if RD_DWIDTH = 32 generate GEN1_rd_map_5: if WR_DWIDTH = 64 generate rd_rem <= rd_sof_eof(RD_REM_WIDTH+1 downto 2); end generate GEN1_rd_map_5; GEN1_rd_map_6: if WR_DWIDTH = 128 generate rd_rem <= rd_ctrl_rem(RD_REM_WIDTH-1 downto 0); end generate GEN1_rd_map_6; end generate GEN1_rd_map_4; end generate GEN1_rd_map_1; end generate GEN1; ------------------------------------------------------------------------------- -- Write Width is smaller ------------------------------------------------------------------------------- GEN2: if RD_DWIDTH > WR_DWIDTH generate --------------------------------------------------------------------------- -- Ram enable signal for each ram unit (GEN2) -- -- each bit of this signal will select one unit of ram -- --------------------------------------------------------------------------- ram_en_gen2a: if BRAM_MACRO_NUM > 1 generate ram_wr_en <= conv_std_logic_vector( POWER2(conv_integer(bram_wr_sel)), BRAM_MACRO_NUM) when wr_allow_minor = '1' else (others=>'0'); end generate ram_en_gen2a; ram_en_gen2b: if BRAM_MACRO_NUM = 1 generate ram_wr_en <= "1" when wr_allow_minor = '1' else (others=>'0'); end generate ram_en_gen2b; --------------------------------------------------------------------------- -- Writing SOF, EOF, REM with mapping (GEN2) -- -- The process below is used to pipeline wr_sof_n in a register to avoid -- -- latches. -- --------------------------------------------------------------------------- wr_sw_gen2a2_proc: process (wr_clk, fifo_gsr) begin if (fifo_gsr = '1') then wr_sof_temp_n <= (others => '0'); elsif wr_clk'EVENT and wr_clk = '1' then if wr_allow_minor = '1' then wr_sof_temp_n(min_addr2) <= wr_sof_n after glbtm; end if; end if; end process wr_sw_gen2a2_proc; GEN2_wr_map_1: if WR_DWIDTH = 32 generate GEN2_wr_map_2: if RD_DWIDTH = 64 generate wr_sof_eof(0) <= wr_sof_n when (min_addr2 = 0) else wr_sof_temp_n(0); wr_sof_eof(1) <= wr_eof_n; wr_ctrl_rem(RD_REM_WIDTH-1 downto 0) <= wr_addr_minor & wr_rem when wr_eof_n = '0' else (others => '0'); end generate GEN2_wr_map_2; GEN2_wr_map_3: if RD_DWIDTH = 128 generate wr_sof_eof(0) <= wr_sof_n when min_addr2 = 0 else wr_sof_temp_n(0); wr_sof_eof(1) <= wr_eof_n; wr_ctrl_rem(RD_REM_WIDTH-1 downto 0) <= wr_addr_minor & wr_rem when wr_eof_n = '0' else (others => '0'); end generate GEN2_wr_map_3; end generate GEN2_wr_map_1; GEN2_wr_map_4: if WR_DWIDTH = 16 generate GEN2_wr_map_5: if RD_DWIDTH /=128 generate wr_sof_eof(0) <= wr_sof_n when min_addr2 = 0 else wr_sof_temp_n(0); wr_sof_eof(1) <= wr_eof_n; wr_ctrl_rem(RD_REM_WIDTH-1 downto 0) <= wr_addr_minor & wr_rem when wr_eof_n = '0' else (others => '0'); end generate GEN2_wr_map_5; GEN2_wr_map_6: if RD_DWIDTH = 128 generate wr_sof_eof(0) <= wr_sof_n when (min_addr2 = 0 and wr_eof_n = '0') else wr_sof_temp_n(0); wr_sof_eof(1) <= wr_eof_n; wr_ctrl_rem(RD_REM_WIDTH-1 downto 0) <= wr_addr_minor & wr_rem when wr_eof_n = '0' else (others => '0'); end generate GEN2_wr_map_6; end generate GEN2_wr_map_4; GEN2_wr_map_7: if WR_DWIDTH = 8 generate wr_sof_eof(0) <= wr_sof_n when (min_addr2 = 0 and wr_eof_n = '0') else wr_sof_temp_n(0); wr_sof_eof(1) <= wr_eof_n after glbtm; GEN2_wr_map_8: if RD_DWIDTH > 32 generate wr_ctrl_rem(RD_REM_WIDTH-1 downto 0) <= wr_addr_minor when wr_eof_n = '0' else (others => '0'); end generate GEN2_wr_map_8; GEN2_wr_map_9: if RD_DWIDTH <= 32 generate wr_ctrl_rem(0) <= '1' when wr_eof_n = '0' else '0'; end generate GEN2_wr_map_9; end generate GEN2_wr_map_7; GEN2_wr_map_10: if WR_DWIDTH = 64 generate wr_sof_eof(0) <= wr_sof_n when min_addr2 = 0 else wr_sof_temp_n(0); wr_sof_eof(1) <= wr_eof_n; wr_sof_eof(RD_REM_WIDTH+1 downto 2) <= wr_addr_minor & wr_rem when wr_eof_n = '0' else (others => '0'); wr_sof_eof(7 downto RD_REM_WIDTH+2) <= (others => '0'); end generate GEN2_wr_map_10; --------------------------------------------------------------------------- -- Reading SOF, EOF, REM with mapping (GEN2) -- --------------------------------------------------------------------------- GEN2_rd_map_1: if WR_DWIDTH = 32 generate rd_sof_n <= rd_sof_eof(0); GEN2_rd_map_2: if RD_DWIDTH = 64 generate rd_eof_n <= rd_sof_eof(1) when rd_sof_eof(1) = '0' else rd_sof_eof(5); rd_rem <= rd_ctrl_rem(2 downto 0); end generate GEN2_rd_map_2; GEN2_rd_map_3: if RD_DWIDTH = 128 generate rd_eof_n <= rd_sof_eof(1) when rd_sof_eof(1) = '0' else rd_sof_eof(3) when rd_sof_eof(3) = '0' else rd_sof_eof(5) when rd_sof_eof(5) = '0' else rd_sof_eof(7); rd_rem <= rd_ctrl_rem(3 downto 0) when rd_sof_eof(1) = '0' else rd_ctrl_rem(7 downto 4) when rd_ctrl_rem(7 downto 6) = "01" and rd_sof_eof(3) = '0' else rd_ctrl_rem(11 downto 8) when rd_ctrl_rem(11 downto 10) = "10" and rd_sof_eof(5) = '0' else rd_ctrl_rem(15 downto 12) when rd_ctrl_rem(15 downto 14) = "11" and rd_sof_eof(7) = '0' else (others => '0'); end generate GEN2_rd_map_3; end generate GEN2_rd_map_1; GEN2_rd_map_4: if WR_DWIDTH = 16 generate GEN2_rd_map_5: if RD_DWIDTH = 64 generate rd_sof_n <= rd_sof_eof(0); rd_eof_n <= rd_sof_eof(1) when rd_sof_eof(1) = '0' else rd_sof_eof(3) when rd_sof_eof(3) = '0' else rd_sof_eof(5) when rd_sof_eof(5) = '0' else rd_sof_eof(7); rd_rem <= rd_ctrl_rem(RD_REM_WIDTH-1 downto 0); end generate GEN2_rd_map_5; GEN2_rd_map_6: if RD_DWIDTH = 32 generate rd_sof_n <= rd_sof_eof(0); rd_eof_n <= rd_sof_eof(1) when rd_sof_eof(1) = '0' else rd_sof_eof(3); rd_rem <= rd_ctrl_rem(RD_REM_WIDTH-1 downto 0); end generate GEN2_rd_map_6; GEN2_rd_map_7: if RD_DWIDTH = 128 generate rd_sof_n <= rd_sof_eof(0); rd_eof_n <= rd_sof_eof(1); rd_rem <= rd_ctrl_rem(RD_REM_WIDTH-1 downto 0); end generate GEN2_rd_map_7; end generate GEN2_rd_map_4; GEN2_rd_map_8: if WR_DWIDTH = 8 generate rd_sof_n <= rd_sof_eof(0); rd_eof_n <= rd_sof_eof(1); GEN2_rd_map_9: if RD_DWIDTH > 32 generate rd_rem <= rd_ctrl_rem(RD_REM_WIDTH-1 downto 0); end generate GEN2_rd_map_9; GEN2_rd_map_10: if RD_DWIDTH = 32 generate rd_rem <= "00" when rd_ctrl_rem(0) = '1' else "01" when rd_ctrl_rem(1) = '1' else "10" when rd_ctrl_rem(2) = '1' else "11" when rd_ctrl_rem(3) = '1' else "00"; end generate GEN2_rd_map_10; GEN2_rd_map_11: if RD_DWIDTH = 16 generate rd_rem(0) <= '0' when rd_ctrl_rem(0) = '1' else '1' when rd_ctrl_rem(1) = '1' else '0'; end generate GEN2_rd_map_11; end generate GEN2_rd_map_8; GEN2_rd_map_12: if WR_DWIDTH = 64 generate rd_sof_n <= rd_sof_eof(0); rd_eof_n <= rd_sof_eof(1) when rd_sof_eof(1) = '0' else rd_sof_eof(9); rd_rem <= rd_sof_eof(RD_REM_WIDTH+1 downto 2) when rd_sof_eof(1) = '0' else rd_sof_eof(RD_REM_WIDTH+9 downto 10); end generate GEN2_rd_map_12; end generate GEN2; ------------------------------------------------------------------------------- -- Read Width and write width are the same ------------------------------------------------------------------------------- GEN3: if RD_DWIDTH = WR_DWIDTH generate --------------------------------------------------------------------------- -- Ram enable signal for each ram unit (GEN3) -- -- each bit of this signal will select one unit of ram -- --------------------------------------------------------------------------- ram_en_gen3a: if BRAM_MACRO_NUM > 1 generate ram_wr_en <= conv_std_logic_vector( POWER2(conv_integer(bram_wr_sel)), BRAM_MACRO_NUM) when wr_allow = '1' else (others=>'0'); end generate ram_en_gen3a; ram_en_gen3b: if BRAM_MACRO_NUM = 1 generate ram_wr_en <= "1" when wr_allow = '1' else (others=>'0'); end generate ram_en_gen3b; --------------------------------------------------------------------------- -- Reading SOF, EOF, REM with mapping (GEN3) -- -- In the case when WR_DWIDTH and RD_DWIDTH are larger than 16 bit wide, -- -- all control signals(including sof and eof) are group together for -- -- mapping. This group name is different when the data width are -- -- different for easier implementations. -- --------------------------------------------------------------------------- wr_sof_eof(0) <= wr_sof_n; wr_sof_eof(1) <= wr_eof_n; rd_sof_n <= rd_sof_eof(0); rd_eof_n <= rd_sof_eof(1); rd_ctrl_gen3a: if WR_DWIDTH + RD_DWIDTH > 32 generate -- 32, 64, 128 wr_sof_eof(WR_REM_WIDTH+1 downto 2) <= wr_rem; rd_rem <= rd_sof_eof(RD_REM_WIDTH+1 downto 2); end generate rd_ctrl_gen3a; rd_ctrl_gen3b: if WR_DWIDTH + RD_DWIDTH < 32 generate -- 16 rd_rem <= (others => '0'); end generate rd_ctrl_gen3b; rd_ctrl_gen3c: if WR_DWIDTH + RD_DWIDTH /= 16 generate -- 16, 32, 64, 128 rd_ctrl_gen3c1: for i in 0 to BRAM_MACRO_NUM - 1 generate rd_sof_eof_p(i) <= rd_sof_eof_grp(RD_SOF_EOF_WIDTH * (i+1) -1 downto RD_SOF_EOF_WIDTH*i); end generate rd_ctrl_gen3c1; rd_sof_eof <= rd_sof_eof_p(conv_integer(bram_rd_sel)); end generate rd_ctrl_gen3c; end generate GEN3; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- --------------------------- Data and Control BRAMs ---------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Same Data Width -- ------------------------------------------------------------------------------- BRAM_gen_1: if WR_DWIDTH + RD_DWIDTH = 16 generate -- rd and wr are 8-bit wide -- Data BRAM BRAM_gen_1aa: for i in 0 to BRAM_MACRO_NUM-1 generate bram1a: RAMB16_S9_S9 port map (ADDRA => rd_addr(10 downto 0), ADDRB => wr_addr(10 downto 0), DIA => gnd_bus(8 downto 1), DIPA => gnd_bus(0 downto 0), DIB => wr_data, DIPB(0) => gnd, WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow, ENB => ram_wr_en(i), DOA => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i)); end generate BRAM_gen_1aa; -- Control BRAM BRAM_gen_1ab: if BRAM_MACRO_NUM < 16 generate -- if DATA BRAM is small, all -- control data can fit into one BRAM bram1b: RAMB16_S2_S2 port map (ADDRA => rd_addr(12 downto 0), ADDRB => wr_addr(12 downto 0), DIA => gnd_bus(1 downto 0), DIB => wr_sof_eof, WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow, ENB => wr_allow, DOA => rd_sof_eof); end generate BRAM_gen_1ab; BRAM_gen_1ac: if BRAM_MACRO_NUM >= 16 generate -- If DATA BRAM is large, -- multiple control BRAMs are used BRAM_gen_1ac1: for i in 0 to BRAM_MACRO_NUM/4-1 generate bram1c: RAMB16_S2_S2 port map (ADDRA => rd_addr(12 downto 0), ADDRB => wr_addr(12 downto 0), DIA => gnd_bus(1 downto 0), DIB => wr_sof_eof, WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow, ENB => c_wr_en(i), DOA => rd_sof_eof_grp(RD_SOF_EOF_WIDTH*(i+1)-1 downto RD_SOF_EOF_WIDTH*i)); rd_sof_eof_p(i) <= rd_sof_eof_grp(RD_SOF_EOF_WIDTH *(i+1)-1 downto RD_SOF_EOF_WIDTH*i); c_wr_en(i) <= ram_wr_en(i*4) or ram_wr_en(i*4+1) or ram_wr_en(i*4+2) or ram_wr_en(i*4+3) ; end generate BRAM_gen_1ac1; rd_sof_eof <= rd_sof_eof_p(conv_integer(bram_rd_sel)/4); end generate BRAM_gen_1ac; end generate BRAM_gen_1; ------------------------------------------------------------------------------- BRAM_gen_2: if WR_DWIDTH + RD_DWIDTH = 32 generate -- rd and wr are 16-bit wide -- Data and Control BRAM BRAM_gen_2aa: for i in 0 to BRAM_MACRO_NUM-1 generate bram2a: RAMB16_S18_S18 port map (ADDRA => rd_addr(9 downto 0), ADDRB => wr_addr(9 downto 0), DIA => gnd_bus(17 downto 2), DIPA => gnd_bus(1 downto 0), DIB => wr_data, DIPB => wr_sof_eof(1 downto 0), WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow, ENB => ram_wr_en(i), DOA => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i), DOPA => rd_sof_eof_grp(RD_SOF_EOF_WIDTH*(i+1)-1 downto RD_SOF_EOF_WIDTH*i)); end generate BRAM_gen_2aa; -- Additional Control BRAM bram2b: RAMB16_S1_S1 port map (ADDRA => rd_addr(13 downto 0), ADDRB => wr_addr(13 downto 0), DIA(0) => gnd, DIB(0) => wr_rem(0), WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow, ENB => wr_allow, DOA(0) => rd_rem(0)); end generate BRAM_gen_2; ------------------------------------------------------------------------------- BRAM_gen_3: if WR_DWIDTH + RD_DWIDTH = 64 generate -- rd and wr are 32-bit wide -- Data and Control BRAM BRAM_gen_3aa: for i in 0 to BRAM_MACRO_NUM-1 generate bram3a: RAMB16_S36_S36 port map (ADDRA => rd_addr(8 downto 0), ADDRB => wr_addr(8 downto 0), DIA => gnd_bus(35 downto 4), DIPA => gnd_bus(3 downto 0), DIB => wr_data, DIPB => wr_sof_eof, WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow, ENB => ram_wr_en(i), DOA => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i), DOPA => rd_sof_eof_grp(RD_SOF_EOF_WIDTH*(i+1)-1 downto RD_SOF_EOF_WIDTH*i) ); end generate BRAM_gen_3aa; end generate BRAM_gen_3; ------------------------------------------------------------------------------- BRAM_gen_3a: if WR_DWIDTH + RD_DWIDTH = 128 generate -- rd and wr are 64-bit wide -- Data and Control BRAM BRAM_gen_3bb: for i in 0 to BRAM_MACRO_NUM-1 generate bram3b: BRAM_S72_S72 port map (ADDRA => rd_addr(8 downto 0), ADDRB => wr_addr(8 downto 0), DIA => gnd_bus(71 downto 8), DIPA => gnd_bus(7 downto 0), DIB => wr_data, DIPB => wr_sof_eof, WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow, ENB => ram_wr_en(i), DOA => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i), DOPA => rd_sof_eof_grp(RD_SOF_EOF_WIDTH*(i+1)-1 downto RD_SOF_EOF_WIDTH*i)); end generate BRAM_gen_3bb; end generate BRAM_gen_3a; ------------------------------------------------------------------------------- BRAM_gen_3b: if WR_DWIDTH + RD_DWIDTH = 256 generate -- rd and wr are 128-bit wide BRAM_gen_3cc: for i in 0 to BRAM_MACRO_NUM-1 generate bram3c: BRAM_S144_S144 port map (ADDRA => rd_addr(8 downto 0), ADDRB => wr_addr(8 downto 0), DIA => gnd_bus(127 downto 0), DIPA => gnd_bus(15 downto 0), DIB => wr_data, DIPB => wr_sof_eof, WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow, ENB => ram_wr_en(i), DOA => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i), DOPA => rd_sof_eof_grp(RD_SOF_EOF_WIDTH*(i+1)-1 downto RD_SOF_EOF_WIDTH*i)); end generate BRAM_gen_3cc; end generate BRAM_gen_3b; ------------------------------------------------------------------------------- -- Different Data Width -- ------------------------------------------------------------------------------- BRAM_gen_4: if WR_DWIDTH + RD_DWIDTH = 24 generate BRAM_gen_4a: if RD_DWIDTH = 8 generate -- rd is 8-bit, wr is 16-bit wide -- Data BRAM BRAM_gen_4aa: for i in 0 to BRAM_MACRO_NUM-1 generate bram4a: RAMB16_S9_S18 port map (ADDRA => rd_addr_full(10 downto 0), ADDRB => wr_addr_full(9 downto 0), DIA => gnd_bus(7 downto 0), DIPA => gnd_bus(0 downto 0), DIB => wr_data, DIPB => gnd_bus(1 downto 0), WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow_minor, ENB => ram_wr_en(i), DOA => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i)); end generate BRAM_gen_4aa; -- Control BRAM BRAM_gen_4ab: if BRAM_MACRO_NUM < 8 generate bram4b: RAMB16_S2_S4 port map (ADDRA => rd_addr_full(12 downto 0), ADDRB => wr_addr_full(11 downto 0), DIA => gnd_bus(1 downto 0), DIB => wr_sof_eof, WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow_minor, ENB => wr_allow, DOA => rd_sof_eof); end generate BRAM_gen_4ab; BRAM_gen_4ac: if BRAM_MACRO_NUM >= 8 generate BRAM_gen_4ac1: for i in 0 to BRAM_MACRO_NUM/4-1 generate bram4c: RAMB16_S2_S4 port map (ADDRA =>rd_addr_full(12 downto 0), ADDRB => wr_addr_full(11 downto 0), DIA => gnd_bus(1 downto 0), DIB => wr_sof_eof, WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow_minor, ENB => c_wr_en(i), DOA => rd_sof_eof_grp(RD_SOF_EOF_WIDTH*(i+1)-1 downto RD_SOF_EOF_WIDTH*i)); rd_sof_eof_p(i) <= rd_sof_eof_grp(RD_SOF_EOF_WIDTH *(i+1)-1 downto RD_SOF_EOF_WIDTH*i); c_wr_en(i) <= ram_wr_en(i*4) or ram_wr_en(i*4+1) or ram_wr_en(i*4+2) or ram_wr_en(i*4+3); end generate BRAM_gen_4ac1; rd_sof_eof <= rd_sof_eof_p(conv_integer(bram_rd_sel)/4); end generate BRAM_gen_4ac; end generate BRAM_gen_4a; ------------------------------------------------------------------------------- BRAM_gen_4b: if RD_DWIDTH = 16 generate -- rd is 16-bit, wr is 8-bit wide -- Data and Control BRAM BRAM_gen_4bb: for i in 0 to BRAM_MACRO_NUM-1 generate bram4d: RAMB16_S9_S18 port map ( ADDRB => rd_addr_full(9 downto 0), ADDRA => wr_addr_full(10 downto 0), DIB => gnd_bus(17 downto 2), DIPB => gnd_bus(1 downto 0), DIA => wr_data, DIPA(0) => wr_ctrl_rem(0), WEA => pwr, WEB => gnd, CLKA => wr_clk, CLKB => rd_clk, SSRA => gnd, SSRB => gnd, ENA => ram_wr_en(i), ENB => rd_allow, DOB => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i), DOPB => rd_ctrl_rem_grp(RD_CTRL_REM_WIDTH*(i+1)-1 downto RD_CTRL_REM_WIDTH*i)); rd_ctrl_rem_p(i) <= rd_ctrl_rem_grp(RD_CTRL_REM_WIDTH*(i+1)-1 downto RD_CTRL_REM_WIDTH*i); end generate BRAM_gen_4bb; rd_ctrl_rem <= rd_ctrl_rem_p(conv_integer(bram_rd_sel)); -- Additional Control BRAM BRAM_gen_4cc: if BRAM_MACRO_NUM < 16 generate bram4e: RAMB16_S2_S2 port map (ADDRB => rd_addr_full(12 downto 0), ADDRA=> wr_addr(12 downto 0), DIA => wr_sof_eof, DIB => gnd_bus(1 downto 0) , WEA => pwr, WEB => gnd, CLKA => wr_clk, CLKB => rd_clk, SSRA => gnd, SSRB => gnd, ENA => wr_allow, ENB => rd_allow, DOB => rd_sof_eof); end generate BRAM_gen_4cc; BRAM_gen_4dd: if BRAM_MACRO_NUM >= 16 generate BRAM_gen_4dda: for i in 0 to BRAM_MACRO_NUM/8 -1 generate bram4f: RAMB16_S2_S2 port map (ADDRB => rd_addr_full(12 downto 0), ADDRA=> wr_addr(12 downto 0), DIA => wr_sof_eof, DIB => gnd_bus(1 downto 0) , WEA => pwr, WEB => gnd, CLKA => wr_clk, CLKB => rd_clk, SSRA => gnd, SSRB => gnd, ENA => c_wr_en(i), ENB => rd_allow, DOB => rd_sof_eof_grp(RD_SOF_EOF_WIDTH*(i+1)-1 downto RD_SOF_EOF_WIDTH*i)); rd_sof_eof_p(i) <= rd_sof_eof_grp(RD_SOF_EOF_WIDTH *(i+1)-1 downto RD_SOF_EOF_WIDTH*i); c_wr_en(i) <= ram_wr_en(i*8) or ram_wr_en(i*8+1) or ram_wr_en(i*8+2) or ram_wr_en(i*8+3) or ram_wr_en(i*8+4) or ram_wr_en(i*8+5) or ram_wr_en(i*8+6) or ram_wr_en(i*8+7); end generate BRAM_gen_4dda; rd_sof_eof <= rd_sof_eof_p(conv_integer(bram_rd_sel)/8); end generate BRAM_gen_4dd; end generate BRAM_gen_4b; end generate BRAM_gen_4; ----------------------------------------------------------------------------- BRAM_gen_5: if WR_DWIDTH + RD_DWIDTH = 40 generate BRAM_gen_5a: if RD_DWIDTH = 8 generate -- rd is 8-bit, wr is 32-bit wide BRAM_gen_5aa: for i in 0 to BRAM_MACRO_NUM-1 generate bram5a: RAMB16_S9_S36 port map (ADDRA => rd_addr_full(10 downto 0), ADDRB => wr_addr_full(8 downto 0), DIA => gnd_bus(8 downto 1), DIPA => gnd_bus(0 downto 0), DIB => wr_data, DIPB => gnd_bus(4 downto 1), WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow_minor, ENB => ram_wr_en(i), DOA => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i)); end generate BRAM_gen_5aa; BRAM_gen_5ab: if BRAM_MACRO_NUM < 8 generate bram5b: RAMB16_S2_S9 port map (ADDRA => rd_addr_full(12 downto 0), ADDRB => wr_addr_full(10 downto 0), DIA => gnd_bus(10 downto 9), DIB => wr_sof_eof, DIPB => gnd_bus(0 downto 0), WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow_minor, ENB => wr_allow, DOA => rd_sof_eof); end generate BRAM_gen_5ab; BRAM_gen_5ac: if BRAM_MACRO_NUM >= 8 generate BRAM_gen_5ac1: for i in 0 to BRAM_MACRO_NUM/4-1 generate bram5c: RAMB16_S2_S9 port map (ADDRA => rd_addr_full(12 downto 0), ADDRB => wr_addr_full(10 downto 0), DIA => gnd_bus(10 downto 9), DIB => wr_sof_eof, DIPB => gnd_bus(0 downto 0), WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow_minor, ENB => c_wr_en(i) , DOA => rd_sof_eof_grp(RD_SOF_EOF_WIDTH*(i+1)-1 downto RD_SOF_EOF_WIDTH*i)); rd_sof_eof_p(i) <= rd_sof_eof_grp(RD_SOF_EOF_WIDTH *(i+1)-1 downto RD_SOF_EOF_WIDTH*i); c_wr_en(i) <= ram_wr_en(i*4) or ram_wr_en(i*4+1) or ram_wr_en(i*4+2) or ram_wr_en(i*4+3); end generate BRAM_gen_5ac1; rd_sof_eof <= rd_sof_eof_p(conv_integer(bram_rd_sel)/4); end generate BRAM_gen_5ac; end generate BRAM_gen_5a; ------------------------------------------------------------------------------- BRAM_gen_5b: if RD_DWIDTH = 32 generate -- rd is 32-bit, wr is 8-bit wide BRAM_gen_5bb: for i in 0 to BRAM_MACRO_NUM-1 generate bram5d: RAMB16_S9_S36 port map (ADDRB => rd_addr_full(8 downto 0), ADDRA => wr_addr_full(10 downto 0), DIB => gnd_bus(35 downto 4), DIPB => gnd_bus(3 downto 0), DIA => wr_data, DIPA => wr_ctrl_rem, WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => rd_allow, ENA => ram_wr_en(i), DOB => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i), DOPB => rd_ctrl_rem_grp(RD_CTRL_REM_WIDTH*(i+1)-1 downto RD_CTRL_REM_WIDTH*i)); rd_ctrl_rem_p(i) <= rd_ctrl_rem_grp(RD_CTRL_REM_WIDTH*(i+1)-1 downto RD_CTRL_REM_WIDTH*i); end generate BRAM_gen_5bb; rd_ctrl_rem <= rd_ctrl_rem_p(conv_integer(bram_rd_sel)); bram5e: RAMB16_S2_S2 port map (ADDRB => rd_addr_full(12 downto 0), ADDRA=> wr_addr(12 downto 0), DIA => wr_sof_eof, DIB => gnd_bus(1 downto 0), WEA => pwr, WEB => gnd, CLKA => wr_clk, CLKB => rd_clk, SSRA => gnd, SSRB => gnd, ENA => wr_allow, ENB => rd_allow, DOB => rd_sof_eof); end generate BRAM_gen_5b; end generate BRAM_gen_5; ------------------------------------------------------------------------------- BRAM_gen_6: if WR_DWIDTH + RD_DWIDTH = 48 generate BRAM_gen_6a: if RD_DWIDTH = 16 generate -- rd is 16-bit, wr is 32-bit wide BRAM_gen_6aa: for i in 0 to BRAM_MACRO_NUM-1 generate bram6a: RAMB16_S18_S36 port map (ADDRA => rd_addr_full(9 downto 0), ADDRB => wr_addr_full(8 downto 0), DIA => gnd_bus(17 downto 2), DIPA => gnd_bus(1 downto 0), DIB => wr_data, DIPB => wr_sof_eof, WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow_minor, ENB => ram_wr_en(i), DOA => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i), DOPA => rd_sof_eof_grp(RD_SOF_EOF_WIDTH*(i+1)-1 downto RD_SOF_EOF_WIDTH*i)); rd_sof_eof_p(i) <= rd_sof_eof_grp(RD_SOF_EOF_WIDTH *(i+1)-1 downto RD_SOF_EOF_WIDTH*i); end generate BRAM_gen_6aa; rd_sof_eof <= rd_sof_eof_p(conv_integer(bram_rd_sel)); bram6b: RAMB16_S1_S2 port map (ADDRA => rd_addr_full(13 downto 0), ADDRB => wr_addr_full(12 downto 0), DIA(0) => gnd, DIB => wr_ctrl_rem, WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow_minor, ENB => wr_allow, DOA => rd_ctrl_rem); end generate BRAM_gen_6a; ------------------------------------------------------------------------------- BRAM_gen_6b: if RD_DWIDTH = 32 generate -- rd is 32-bit, wr is 16-bit wide BRAM_gen_6bb: for i in 0 to BRAM_MACRO_NUM-1 generate bram6c: RAMB16_S18_S36 port map (ADDRB => rd_addr_full(8 downto 0), ADDRA => wr_addr_full(9 downto 0), DIB => gnd_bus(35 downto 4), DIPB => gnd_bus(3 downto 0), DIA=> wr_data, DIPA => wr_sof_eof, WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => rd_allow, ENA => ram_wr_en(i), DOB => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i), DOPB => rd_sof_eof_grp(RD_SOF_EOF_WIDTH*(i+1)-1 downto RD_SOF_EOF_WIDTH*i)); rd_sof_eof_p(i) <= rd_sof_eof_grp(RD_SOF_EOF_WIDTH *(i+1)-1 downto RD_SOF_EOF_WIDTH*i); end generate BRAM_gen_6bb; rd_sof_eof <= rd_sof_eof_p(conv_integer(bram_rd_sel)); bram6d: RAMB16_S2_S2 port map (ADDRB => rd_addr_full(12 downto 0), ADDRA => wr_addr(12 downto 0), DIB => gnd_bus(1 downto 0), DIA=> wr_ctrl_rem, WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => rd_allow, ENA => wr_allow, DOB => rd_ctrl_rem); end generate BRAM_gen_6b; end generate BRAM_gen_6; ------------------------------------------------------------------------------- BRAM_gen_7: if WR_DWIDTH + RD_DWIDTH = 72 generate BRAM_gen_7a: if RD_DWIDTH = 8 generate -- rd is 8-bit, wr is 64-bit wide BRAM_gen_7aa: for i in 0 to BRAM_MACRO_NUM-1 generate bram7a: BRAM_S8_S72 port map (ADDRA => rd_addr_full(11 downto 0), ADDRB => wr_addr_full(8 downto 0), DIA => gnd_bus(7 downto 0), DIB => wr_data, DIPB => gnd_bus(15 downto 8), WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow_minor, ENB => ram_wr_en(i), DOA => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i)); end generate BRAM_gen_7aa; BRAM_gen_7ab: if BRAM_MACRO_NUM < 4 generate bram7b: RAMB16_S2_S18 port map (ADDRA => rd_addr_full(12 downto 0), ADDRB => wr_addr_full(9 downto 0), DIA => gnd_bus(1 downto 0), DIB => wr_sof_eof, DIPB => gnd_bus(3 downto 2), WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow_minor, ENB => wr_allow, DOA => rd_sof_eof); end generate BRAM_gen_7ab; BRAM_gen_7ac: if BRAM_MACRO_NUM >= 4 generate BRAM_gen_7ac1: for i in 0 to BRAM_MACRO_NUM/2-1 generate bram7c: RAMB16_S2_S18 port map (ADDRA => rd_addr_full(12 downto 0), ADDRB => wr_addr_full(9 downto 0), DIA => gnd_bus(1 downto 0), DIB => wr_sof_eof, DIPB => gnd_bus(3 downto 2), WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow_minor, ENB => c_wr_en(i), DOA => rd_sof_eof_grp(RD_SOF_EOF_WIDTH*(i+1)-1 downto RD_SOF_EOF_WIDTH*i)); rd_sof_eof_p(i) <= rd_sof_eof_grp(RD_SOF_EOF_WIDTH *(i+1)-1 downto RD_SOF_EOF_WIDTH*i); c_wr_en(i) <= ram_wr_en(i*2) or ram_wr_en(i*2+1); end generate BRAM_gen_7ac1; rd_sof_eof <= rd_sof_eof_p(conv_integer(bram_rd_sel)/2); end generate BRAM_gen_7ac; end generate BRAM_gen_7a; ------------------------------------------------------------------------------- BRAM_gen_7b: if RD_DWIDTH = 64 generate -- rd is 64-bit, wr is 8-bit wide BRAM_gen_7bb: for i in 0 to BRAM_MACRO_NUM-1 generate bram7d: BRAM_S8_S72 port map (ADDRB => rd_addr_full(8 downto 0), ADDRA => wr_addr_full(11 downto 0), DIB => gnd_bus(63 downto 0), DIA => wr_data, DIPB => gnd_bus(71 downto 64), WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => rd_allow, ENA => ram_wr_en(i), DOB => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i)); end generate BRAM_gen_7bb; c_wr_temp <= "000" & wr_ctrl_rem & wr_sof_eof; rd_sof_eof <= c_rd_temp(1 downto 0); rd_ctrl_rem <= c_rd_temp(4 downto 2); BRAM_gen_7cc: if BRAM_MACRO_NUM <= 4 generate bram7e: RAMB16_S9_S9 port map (ADDRB => rd_addr_full(10 downto 0), ADDRA => wr_addr(10 downto 0), DIB => gnd_bus(7 downto 0), DIA => c_wr_temp, DIPA => gnd_bus(0 downto 0), DIPB => gnd_bus(0 downto 0), WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => rd_allow, ENA => wr_allow, DOB => c_rd_temp); end generate BRAM_gen_7cc; BRAM_gen_7dd: if BRAM_MACRO_NUM > 4 generate BRAM_gen_7dda: for i in 0 to BRAM_MACRO_NUM/2 -1 generate bram7f: RAMB16_S9_S9 port map (ADDRB => rd_addr_full(10 downto 0), ADDRA => wr_addr(10 downto 0), DIB => gnd_bus(7 downto 0), DIA => c_wr_temp, DIPA => gnd_bus(0 downto 0), DIPB => gnd_bus(0 downto 0), WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => rd_allow, ENA => c_wr_en(i), DOB => c_rd_ctrl_grp(8*(i+1)-1 downto 8*i)); c_wr_en(i) <= ram_wr_en(i*2) or ram_wr_en(i*2+1); rd_ctrl_p(i) <= c_rd_ctrl_grp(8*(i+1) -1 downto 8*i); end generate BRAM_gen_7dda; c_rd_temp <= rd_ctrl_p(conv_integer(bram_rd_sel)/2); end generate BRAM_gen_7dd; end generate BRAM_gen_7b; end generate BRAM_gen_7; -------------------------------------------------------------------------------- BRAM_gen_9: if WR_DWIDTH + RD_DWIDTH = 80 generate BRAM_gen_9a: if RD_DWIDTH = 16 generate -- rd is 16-bit, wr is 64-bit wide BRAM_gen_9aa: for i in 0 to BRAM_MACRO_NUM-1 generate bram9a: BRAM_S18_S72 port map (ADDRA => rd_addr_full(10 downto 0), ADDRB => wr_addr_full(8 downto 0), DIA => gnd_bus(15 downto 0),DIPA => gnd_bus(17 downto 16), DIB => wr_data, DIPB => wr_sof_eof , WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow_minor, ENB => ram_wr_en(i), DOA => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i), DOPA => rd_sof_eof_grp(RD_SOF_EOF_WIDTH*(i+1)-1 downto RD_SOF_EOF_WIDTH*i)); rd_sof_eof_p(i) <= rd_sof_eof_grp(RD_SOF_EOF_WIDTH *(i+1)-1 downto RD_SOF_EOF_WIDTH*i); end generate BRAM_gen_9aa; rd_sof_eof <= rd_sof_eof_p(conv_integer(bram_rd_sel)); BRAM_gen_9ab: if BRAM_MACRO_NUM < 16 generate bram9b: RAMB16_S1_S4 port map (ADDRA => rd_addr_full(13 downto 0), ADDRB => wr_addr_full(11 downto 0), DIA => gnd_bus(0 downto 0), DIB => wr_ctrl_rem, WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow_minor, ENB => wr_allow, DOA => rd_ctrl_rem); end generate BRAM_gen_9ab; BRAM_gen_9ac: if BRAM_MACRO_NUM >= 16 generate c_rd_allow1 <= rd_allow_minor and rd_addr_full(14); c_rd_allow2 <= rd_allow_minor and not c_rd_allow1 ; c_wr_allow1 <= wr_allow and wr_addr_full(12); c_wr_allow2 <= wr_allow and not c_wr_allow1; rd_ctrl_rem <= c_rd_ctrl_rem1 when rd_addr_full_r(14) = '1' else c_rd_ctrl_rem2; bram9c: RAMB16_S1_S4 port map (ADDRA => rd_addr_full(13 downto 0), ADDRB => wr_addr_full(11 downto 0), DIA => gnd_bus(0 downto 0), DIB => wr_ctrl_rem, WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => c_rd_allow1, ENB => c_wr_allow1, DOA => c_rd_ctrl_rem1); bram9d: RAMB16_S1_S4 port map (ADDRA => rd_addr_full(13 downto 0), ADDRB => wr_addr_full(11 downto 0), DIA => gnd_bus(0 downto 0), DIB => wr_ctrl_rem, WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => c_rd_allow2, ENB => c_wr_allow2, DOA => c_rd_ctrl_rem2); end generate BRAM_gen_9ac; end generate BRAM_gen_9a; ------------------------------------------------------------------------------- BRAM_gen_9b: if RD_DWIDTH = 64 generate -- rd is 64-bit, wr is 16-bit wide BRAM_gen_9bb: for i in 0 to BRAM_MACRO_NUM-1 generate bram9e: BRAM_S18_S72 port map (ADDRB => rd_addr_full(8 downto 0), ADDRA => wr_addr_full(10 downto 0), DIA => wr_data, DIPA => wr_sof_eof, DIB => gnd_bus(63 downto 0), DIPB => gnd_bus(71 downto 64), WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => rd_allow, ENA => ram_wr_en(i), DOB => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i), DOPB => rd_sof_eof_grp(RD_SOF_EOF_WIDTH*(i+1)-1 downto RD_SOF_EOF_WIDTH*i)); rd_sof_eof_p(i) <= rd_sof_eof_grp(RD_SOF_EOF_WIDTH *(i+1)-1 downto RD_SOF_EOF_WIDTH*i); end generate BRAM_gen_9bb; rd_sof_eof <= rd_sof_eof_p(conv_integer(bram_rd_sel)); BRAM_gen_9cc: if BRAM_MACRO_NUM <= 8 generate bram9f: RAMB16_S4_S4 port map (ADDRB => rd_addr_full(11 downto 0), ADDRA => wr_addr(11 downto 0), DIB => gnd_bus(3 downto 0), DIA => wr_ctrl_rem, WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => rd_allow, ENA => wr_allow, DOB => rd_ctrl_rem); end generate BRAM_gen_9cc; BRAM_gen_9dd: if BRAM_MACRO_NUM > 8 generate c_rd_allow1 <= rd_allow and rd_addr_full(12); c_rd_allow2 <= rd_allow and not c_rd_allow1; c_wr_allow1 <= wr_allow and wr_addr(12); c_wr_allow2 <= wr_allow and not c_wr_allow1; rd_ctrl_rem <= c_rd_ctrl_rem1 when rd_addr_full_r(12) = '1' else c_rd_ctrl_rem2; bram9g: RAMB16_S4_S4 port map (ADDRB => rd_addr_full(11 downto 0), ADDRA => wr_addr(11 downto 0), DIA => wr_ctrl_rem, DIB => gnd_bus(3 downto 0), WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => c_rd_allow1, ENA => c_wr_allow1, DOB => c_rd_ctrl_rem1); bram9h: RAMB16_S4_S4 port map (ADDRB => rd_addr_full(11 downto 0), ADDRA => wr_addr(11 downto 0), DIA => wr_ctrl_rem, DIB => gnd_bus(3 downto 0), WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => c_rd_allow2, ENA => c_wr_allow2, DOB => c_rd_ctrl_rem2); end generate BRAM_gen_9dd; end generate BRAM_gen_9b; end generate BRAM_gen_9; ------------------------------------------------------------------------------- BRAM_gen_8: if WR_DWIDTH + RD_DWIDTH = 96 generate BRAM_gen_8a: if RD_DWIDTH = 32 generate -- rd is 32-bit, wr is 64-bit wide BRAM_gen_8aa: for i in 0 to BRAM_MACRO_NUM-1 generate bram8a: BRAM_S36_S72 port map (ADDRA => rd_addr_full(9 downto 0), ADDRB => wr_addr_full(8 downto 0), DIA => gnd_bus(31 downto 0),DIPA => gnd_bus(35 downto 32), DIB => wr_data, DIPB => wr_sof_eof , WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow_minor, ENB => ram_wr_en(i), DOA => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i), DOPA => rd_sof_eof_grp(RD_SOF_EOF_WIDTH*(i+1)-1 downto RD_SOF_EOF_WIDTH*i)); rd_sof_eof_p(i) <= rd_sof_eof_grp(RD_SOF_EOF_WIDTH *(i+1)-1 downto RD_SOF_EOF_WIDTH*i); end generate BRAM_gen_8aa; rd_sof_eof <= rd_sof_eof_p(conv_integer(bram_rd_sel)); end generate BRAM_gen_8a; ------------------------------------------------------------------------------- BRAM_gen_8b: if RD_DWIDTH = 64 generate -- rd is 64-bit, wr is 32-bit wide BRAM_gen_8bb: for i in 0 to BRAM_MACRO_NUM-1 generate bram8b: BRAM_S36_S72 port map (ADDRB => rd_addr_full(8 downto 0), ADDRA => wr_addr_full(9 downto 0), DIA => wr_data, DIPA => wr_sof_eof, DIB => gnd_bus(63 downto 0), DIPB => gnd_bus(71 downto 64), WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => rd_allow, ENA => ram_wr_en(i), DOB => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i), DOPB => rd_sof_eof_grp(RD_SOF_EOF_WIDTH*(i+1)-1 downto RD_SOF_EOF_WIDTH*i)); rd_sof_eof_p(i) <= rd_sof_eof_grp(RD_SOF_EOF_WIDTH *(i+1)-1 downto RD_SOF_EOF_WIDTH*i); end generate BRAM_gen_8bb; rd_sof_eof <= rd_sof_eof_p(conv_integer(bram_rd_sel)); BRAM_gen_8cc: if BRAM_MACRO_NUM <= 8 generate bram8c: RAMB16_S4_S4 port map (ADDRB => rd_addr_full(11 downto 0), ADDRA => wr_addr(11 downto 0), DIA => wr_ctrl_rem, DIB => gnd_bus(3 downto 0), WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => rd_allow, ENA => wr_allow, DOB => rd_ctrl_rem); end generate BRAM_gen_8cc; BRAM_gen_8dd: if BRAM_MACRO_NUM > 8 generate c_rd_allow1 <= rd_allow and rd_addr_full(12); c_rd_allow2 <= rd_allow and not c_rd_allow1; rd_ctrl_rem <= c_rd_ctrl_rem1 when rd_addr_full_r(12) = '1' else c_rd_ctrl_rem2; c_wr_allow1 <= wr_allow and wr_addr(12); c_wr_allow2 <= wr_allow and not c_wr_allow1; bram8d: RAMB16_S4_S4 port map (ADDRB => rd_addr_full(11 downto 0), ADDRA => wr_addr(11 downto 0), DIA => wr_ctrl_rem, DIB => gnd_bus(3 downto 0), WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => c_rd_allow1, ENA => c_wr_allow1, DOB => c_rd_ctrl_rem1); bram8e: RAMB16_S4_S4 port map (ADDRB => rd_addr_full(11 downto 0), ADDRA => wr_addr(11 downto 0), DIA => wr_ctrl_rem, DIB => gnd_bus(3 downto 0), WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => c_rd_allow2, ENA => c_wr_allow2, DOB => c_rd_ctrl_rem2); end generate BRAM_gen_8dd; end generate BRAM_gen_8b; end generate BRAM_gen_8; ------------------------------------------------------------------------------- BRAM_gen_10: if WR_DWIDTH + RD_DWIDTH = 136 generate BRAM_gen_10a: if RD_DWIDTH = 8 generate -- rd is 8-bit, wr is 128-bit wide BRAM_gen_10aa: for i in 0 to BRAM_MACRO_NUM-1 generate bram10a: BRAM_S8_S144 port map (ADDRA => rd_addr_full(12 downto 0), -- Data FIFO ADDRB => wr_addr_full(8 downto 0), DIA => gnd_bus(7 downto 0), DIB => wr_data, DIPB => gnd_bus(15 downto 0), WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow_minor, ENB => ram_wr_en(i), DOA => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i)); bram10b: RAMB16_S2_S36 port map (ADDRA => rd_addr_full(12 downto 0), -- Control FIFO ADDRB => wr_addr_full(8 downto 0), DIA => gnd_bus(1 downto 0), DIB => wr_sof_eof, DIPB => gnd_bus(3 downto 0), WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow_minor, ENB => ram_wr_en(i), DOA => rd_sof_eof_grp(RD_SOF_EOF_WIDTH*(i+1)-1 downto RD_SOF_EOF_WIDTH*i)); rd_sof_eof_p(i) <= rd_sof_eof_grp(RD_SOF_EOF_WIDTH *(i+1)-1 downto RD_SOF_EOF_WIDTH*i); end generate BRAM_gen_10aa; rd_sof_eof <= rd_sof_eof_p(conv_integer(bram_rd_sel)); end generate BRAM_gen_10a; ------------------------------------------------------------------------------- BRAM_gen_10b: if RD_DWIDTH = 128 generate -- rd is 128-bit, wr is 8-bit wide BRAM_gen_10bb: for i in 0 to BRAM_MACRO_NUM-1 generate bram10c: BRAM_S8_S144 port map (ADDRB => rd_addr_full(8 downto 0), -- Data FIFO ADDRA => wr_addr_full(12 downto 0), DIB => gnd_bus(127 downto 0), DIA => wr_data, DIPB => gnd_bus(15 downto 0), WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => rd_allow, ENA => ram_wr_en(i), DOB => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i)); end generate BRAM_gen_10bb; c_wr_temp <= "00" & wr_ctrl_rem & wr_sof_eof; rd_sof_eof <= c_rd_temp(1 downto 0); rd_ctrl_rem <= c_rd_temp(5 downto 2); BRAM_gen_10cc: if BRAM_MACRO_NUM < 8 generate bram10d: RAMB16_S9_S9 port map (ADDRB => rd_addr_full(10 downto 0), -- Control FIFO ADDRA => wr_addr(10 downto 0), DIB => gnd_bus(7 downto 0), DIA => c_wr_temp, DIPA => gnd_bus(0 downto 0), DIPB => gnd_bus(0 downto 0), WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => rd_allow, ENA => wr_allow, DOB => c_rd_temp); end generate BRAM_gen_10cc; BRAM_gen_10dd: if BRAM_MACRO_NUM >= 8 generate BRAM_gen_10dda: for i in 0 to BRAM_MACRO_NUM/4 -1 generate bram10e: RAMB16_S9_S9 port map (ADDRB => rd_addr_full(10 downto 0), -- Control FIFO ADDRA => wr_addr(10 downto 0), DIB => gnd_bus(7 downto 0), DIA => c_wr_temp, DIPA => gnd_bus(0 downto 0), DIPB => gnd_bus(0 downto 0), WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => rd_allow, ENA => c_wr_en(i), DOB => c_rd_ctrl_grp(8*(i+1)-1 downto 8*i)); c_wr_en(i) <= ram_wr_en(i*4) or ram_wr_en(i*4+1) or ram_wr_en(i*4+2) or ram_wr_en(i*4+3) ; rd_ctrl_p(i) <= c_rd_ctrl_grp(8*(i+1) -1 downto 8*i); end generate BRAM_gen_10dda; c_rd_temp <= rd_ctrl_p(conv_integer(bram_rd_sel)/4); end generate BRAM_gen_10dd; end generate BRAM_gen_10b; end generate BRAM_gen_10; ----------------------------------------------------------------------------- BRAM_gen_11: if WR_DWIDTH + RD_DWIDTH = 144 generate -- rd is 16-bit, wr is 128-bit wide BRAM_gen_11a: if RD_DWIDTH = 16 generate BRAM_gen_11aa: for i in 0 to BRAM_MACRO_NUM-1 generate bram11a: BRAM_S16_S144 port map (ADDRA => rd_addr_full(11 downto 0), ADDRB => wr_addr_full(8 downto 0), DIA => gnd_bus(15 downto 0), DIB => wr_data, DIPB => gnd_bus(15 downto 0) , WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, -- ENA => pwr, ENB => ram_wr_en(i), ENA => rd_allow_minor, ENB => ram_wr_en(i), -- DH: fixed read enable to handle dst_rdy DOA => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i)); bram11b: RAMB16_S4_S36 port map (ADDRA => rd_addr_full(11 downto 0), ADDRB => wr_addr_full(8 downto 0), DIA => gnd_bus(3 downto 0), DIB => wr_sof_eof, DIPB => gnd_bus(3 downto 0), WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, -- ENA => pwr, ENB => ram_wr_en(i), ENA => rd_allow_minor, ENB => ram_wr_en(i), -- DH: fixed read enable to handle dst_rdy DOA => c_rd_ctrl_grp(4*(i+1)-1 downto 4*i)); rd_ctrl_p(i) <= c_rd_ctrl_grp(4*(i+1) -1 downto 4*i); end generate BRAM_gen_11aa; c_rd_temp <= rd_ctrl_p(conv_integer(bram_rd_sel)); rd_sof_eof <= c_rd_temp(1 downto 0); rd_ctrl_rem <= c_rd_temp(2 downto 2); end generate BRAM_gen_11a; ------------------------------------------------------------------------------- BRAM_gen_11b: if RD_DWIDTH = 128 generate -- rd is 128-bit, wr is 16-bit wide BRAM_gen_11bb: for i in 0 to BRAM_MACRO_NUM-1 generate bram11c: BRAM_S16_S144 port map (ADDRB => rd_addr_full(8 downto 0), ADDRA => wr_addr_full(11 downto 0), DIB => gnd_bus(127 downto 0), DIA => wr_data, DIPB => gnd_bus(15 downto 0), WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => rd_allow, ENA => ram_wr_en(i), DOB => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i)); end generate BRAM_gen_11bb; c_wr_temp <= "00" & wr_ctrl_rem & wr_sof_eof; rd_sof_eof <= c_rd_temp(1 downto 0); rd_ctrl_rem <= c_rd_temp(5 downto 2); BRAM_gen_11cc: if BRAM_MACRO_NUM < 8 generate bram11d: RAMB16_S9_S9 port map (ADDRB => rd_addr_full(10 downto 0), ADDRA => wr_addr(10 downto 0), DIB => gnd_bus(7 downto 0), DIA => c_wr_temp, DIPA => gnd_bus(0 downto 0), DIPB => gnd_bus(0 downto 0), WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => rd_allow, ENA => wr_allow, DOB => c_rd_temp); end generate BRAM_gen_11cc; BRAM_gen_11dd: if BRAM_MACRO_NUM >= 8 generate BRAM_gen_11dda: for i in 0 to BRAM_MACRO_NUM/4 -1 generate bram11e: RAMB16_S9_S9 port map (ADDRB => rd_addr_full(10 downto 0), ADDRA => wr_addr(10 downto 0), DIB => gnd_bus(7 downto 0), DIA => c_wr_temp, DIPA => gnd_bus(0 downto 0), DIPB => gnd_bus(0 downto 0), WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => rd_allow, ENA => c_wr_en(i), DOB => c_rd_ctrl_grp(8*(i+1)-1 downto 8*i)); c_wr_en(i) <= ram_wr_en(i*4) or ram_wr_en(i*4+1) or ram_wr_en(i*4+2) or ram_wr_en(i*4+3) ; rd_ctrl_p(i) <= c_rd_ctrl_grp(8*(i+1) -1 downto 8*i); end generate BRAM_gen_11dda; c_rd_temp <= rd_ctrl_p(conv_integer(bram_rd_sel)/4); end generate BRAM_gen_11dd; end generate BRAM_gen_11b; end generate BRAM_gen_11; ----------------------------------------------------------------------------- BRAM_gen_12: if WR_DWIDTH + RD_DWIDTH = 160 generate BRAM_gen_12a: if RD_DWIDTH = 32 generate -- rd is 32-bit, wr is 128-bit wide BRAM_gen_12aa: for i in 0 to BRAM_MACRO_NUM-1 generate bram12a: BRAM_S36_S144 port map (ADDRA => rd_addr_full(10 downto 0), ADDRB => wr_addr_full(8 downto 0), DIA => gnd_bus(31 downto 0),DIPA => gnd_bus(35 downto 32), DIB => wr_data, DIPB => wr_ctrl_rem , WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow_minor, ENB => ram_wr_en(i), DOA => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i), DOPA => rd_ctrl_rem_grp(RD_CTRL_REM_WIDTH * (i+1) -1 downto RD_CTRL_REM_WIDTH*i)); end generate BRAM_gen_12aa; BRAM_gen_12ab: if BRAM_MACRO_NUM < 8 generate bram12b: RAMB16_S2_S9 port map (ADDRA => rd_addr_full(12 downto 0), ADDRB => wr_addr_full(10 downto 0), DIA => gnd_bus(10 downto 9), DIB => wr_sof_eof, DIPB => gnd_bus(0 downto 0), WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow_minor, ENB => wr_allow, DOA => rd_sof_eof); end generate BRAM_gen_12ab; BRAM_gen_12ac: if BRAM_MACRO_NUM >= 8 generate BRAM_gen_12ac1: for i in 0 to BRAM_MACRO_NUM/4-1 generate bram12c: RAMB16_S2_S9 port map (ADDRA => rd_addr_full(12 downto 0), ADDRB => wr_addr_full(10 downto 0), DIA => gnd_bus(10 downto 9), DIB => wr_sof_eof, DIPB => gnd_bus(0 downto 0), WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow_minor, ENB => c_wr_en(i), DOA => rd_sof_eof_grp(RD_SOF_EOF_WIDTH*(i+1)-1 downto RD_SOF_EOF_WIDTH*i)); rd_sof_eof_p(i) <= rd_sof_eof_grp(RD_SOF_EOF_WIDTH *(i+1)-1 downto RD_SOF_EOF_WIDTH*i); c_wr_en(i) <= ram_wr_en(i*4) or ram_wr_en(i*4+1) or ram_wr_en(i*4+2) or ram_wr_en(i*4+3) ; end generate BRAM_gen_12ac1; rd_sof_eof <= rd_sof_eof_p(conv_integer(bram_rd_sel)/4); end generate BRAM_gen_12ac; end generate BRAM_gen_12a; ------------------------------------------------------------------------------- BRAM_gen_12b: if RD_DWIDTH = 128 generate -- rd is 128-bit, wr is 32-bit wide BRAM_gen_12ba: for i in 0 to BRAM_MACRO_NUM-1 generate bram12d: BRAM_S36_S144 port map (ADDRB => rd_addr_full(8 downto 0), ADDRA => wr_addr_full(10 downto 0), DIA => wr_data, DIPA => wr_ctrl_rem, DIB => gnd_bus(127 downto 0), DIPB => gnd_bus(15 downto 0), WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => rd_allow, ENA => ram_wr_en(i), DOB => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i), DOPB => rd_ctrl_rem_grp(RD_CTRL_REM_WIDTH * (i+1) -1 downto RD_CTRL_REM_WIDTH*i)); end generate BRAM_gen_12ba; BRAM_gen_12bb: if BRAM_MACRO_NUM < 8 generate bram12e: RAMB16_S2_S9 port map (ADDRB => rd_addr_full(10 downto 0), ADDRA => wr_addr_full(12 downto 0), DIA => wr_sof_eof, DIB => gnd_bus(7 downto 0), DIPB => gnd_bus(0 downto 0), WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => rd_allow, ENA => wr_allow_minor, DOB => rd_sof_eof); end generate BRAM_gen_12bb; BRAM_gen_12bc: if BRAM_MACRO_NUM >= 8 generate BRAM_gen_12bc1: for i in 0 to BRAM_MACRO_NUM/4-1 generate bram12e: RAMB16_S2_S9 port map (ADDRB => rd_addr_full(10 downto 0), ADDRA => wr_addr_full(12 downto 0), DIA => wr_sof_eof, DIB => gnd_bus(7 downto 0), DIPB => gnd_bus(0 downto 0), WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => rd_allow, ENA => c_wr_en(i), DOB => rd_sof_eof_grp(RD_SOF_EOF_WIDTH*(i+1)-1 downto RD_SOF_EOF_WIDTH*i)); rd_sof_eof_p(i) <= rd_sof_eof_grp(RD_SOF_EOF_WIDTH *(i+1)-1 downto RD_SOF_EOF_WIDTH*i); c_wr_en(i) <= ram_wr_en(i*4) or ram_wr_en(i*4+1) or ram_wr_en(i*4+2) or ram_wr_en(i*4+3) ; end generate BRAM_gen_12bc1; rd_sof_eof <= rd_sof_eof_p(conv_integer(bram_rd_sel)/4); end generate BRAM_gen_12bc; end generate BRAM_gen_12b; end generate BRAM_gen_12; ------------------------------------------------------------------------------- BRAM_gen_13: if WR_DWIDTH + RD_DWIDTH = 192 generate BRAM_gen_13a: if RD_DWIDTH = 64 generate -- rd is 64-bit, wr is 128-bit wide BRAM_gen_13aa: for i in 0 to BRAM_MACRO_NUM-1 generate bram13a: BRAM_S72_S144 port map ( ADDRA => rd_addr_full(9 downto 0), ADDRB => wr_addr_full(8 downto 0), DIA => gnd_bus(63 downto 0),DIPA => gnd_bus(7 downto 0), DIB => wr_data, DIPB => wr_sof_eof(15 downto 0) , WEA => gnd, WEB => pwr, CLKA => rd_clk, CLKB => wr_clk, SSRA => gnd, SSRB => gnd, ENA => rd_allow_minor, ENB => ram_wr_en(i), DOA => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i), DOPA => c_rd_ctrl_grp(8*(i+1)-1 downto 8*i)); rd_ctrl_p(i) <= c_rd_ctrl_grp(8*(i+1) -1 downto 8*i); end generate BRAM_gen_13aa; c_rd_temp <= rd_ctrl_p(conv_integer(bram_rd_sel)); rd_sof_eof <= c_rd_temp(1 downto 0); rd_ctrl_rem <= c_rd_temp(4 downto 2); end generate BRAM_gen_13a; ------------------------------------------------------------------------------- BRAM_gen_13b: if RD_DWIDTH = 128 generate -- rd is 128-bit, wr is 64-bit wide BRAM_gen_13bb: for i in 0 to BRAM_MACRO_NUM-1 generate bram13b: BRAM_S72_S144 port map (ADDRB => rd_addr_full(8 downto 0), ADDRA => wr_addr_full(9 downto 0), DIA => wr_data, DIPA => wr_sof_eof, DIB => gnd_bus(127 downto 0), DIPB => gnd_bus(15 downto 0), WEB => gnd, WEA => pwr, CLKB => rd_clk, CLKA => wr_clk, SSRA => gnd, SSRB => gnd, ENB => rd_allow, ENA => ram_wr_en(i), DOB => rd_data_grp(RD_DWIDTH*(i+1)-1 downto RD_DWIDTH*i), DOPB => c_rd_ctrl_grp(16*(i+1)-1 downto 16*i)); rd_ctrl_p(i) <= c_rd_ctrl_grp(16*(i+1) -1 downto 16*i); end generate BRAM_gen_13bb; rd_sof_eof <= rd_ctrl_p(conv_integer(bram_rd_sel)); end generate BRAM_gen_13b; end generate BRAM_gen_13; end BRAM_macro_hdl;
architecture RTL of FIFO is procedure proc_name ( constant a : in integer; signal b : in std_logic; variable c : in std_logic_vector(3 downto 0); signal d : out std_logic) is begin end procedure proc_name; procedure proc_name ( constant a : in integer; signal b : in std_logic; variable c : in std_logic_vector(3 downto 0); signal d : out std_logic) is begin end procedure proc_name; procedure proc_name ( constant a : in integer; signal b : in std_logic; variable c : in std_logic_vector(3 downto 0); signal d : out std_logic) is begin end procedure proc_name; begin end architecture RTL;
-- libraries --------------------------------------------------------------------------------- {{{ library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.ALL; use ieee.std_logic_textio.all; use std.textio.all; ------------------------------------------------------------------------------------------------- }}} package FGPU_definitions is constant N_CU_W : natural := 3; --0 to 3 -- Bitwidth of # of CUs constant LMEM_ADDR_W : natural := 10; -- bitwidth of local memory address for a single PE constant N_AXI_W : natural := 0; -- Bitwidth of # of AXI data ports constant SUB_INTEGER_IMPLEMENT : natural := 0; -- implement sub-integer store operations constant N_STATIONS_ALU : natural := 4; -- # stations to store memory requests sourced by a single ALU constant ATOMIC_IMPLEMENT : natural := 0; -- implement global atomic operations constant LMEM_IMPLEMENT : natural := 0; -- implement local scratchpad constant N_TAG_MANAGERS_W : natural := N_CU_W+0; -- 0 to 1 -- Bitwidth of # tag controllers per CU constant RD_CACHE_N_WORDS_W : natural := 2; constant RD_CACHE_FIFO_PORTB_ADDR_W : natural := 8; constant FLOAT_IMPLEMENT : natural := 1; constant FADD_IMPLEMENT : integer := 1; constant FMUL_IMPLEMENT : integer := 0; constant FDIV_IMPLEMENT : integer := 0; constant FSQRT_IMPLEMENT : integer := 0; constant UITOFP_IMPLEMENT : integer := 0; constant FSLT_IMPLEMENT : integer := 1; constant FRSQRT_IMPLEMENT : integer := 0; constant FADD_DELAY : integer := 11; constant UITOFP_DELAY : integer := 5; constant FMUL_DELAY : integer := 8; constant FDIV_DELAY : integer := 28; constant FSQRT_DELAY : integer := 28; constant FRSQRT_DELAY : integer := 28; constant FSLT_DELAY : integer := 2; constant MAX_FPU_DELAY : integer := FADD_DELAY; constant CACHE_N_BANKS_W : natural := 3; -- Bitwidth of # words within a cache line. Minimum is 2 constant N_RECEIVERS_CU_W : natural := 6-N_CU_W; -- Bitwidth of # of receivers inside the global memory controller per CU. (6-N_CU_W) will lead to 64 receivers whatever the # of CU is. constant BURST_WORDS_W : natural := 5; -- Bitwidth # of words within a single AXI burst constant ENABLE_READ_PRIORIRY_PIPE : boolean := false; constant FIFO_ADDR_W : natural := 3; -- Bitwidth of the fifo size to store outgoing memory requests from a CU constant N_RD_FIFOS_TAG_MANAGER_W : natural := 0; constant FINISH_FIFO_ADDR_W : natural := 3; -- Bitwidth of the fifo depth to mark dirty cache lines to be cleared at the end -- constant CRAM_BLOCKS : natural := 1; -- # of CRAM replicates. Each replicate will serve some CUs (1 or 2 supported only) constant CV_W : natural := 3; -- bitwidth of # of PEs within a CV constant CV_TO_CACHE_SLICE : natural := 3; constant INSTR_READ_SLICE : boolean := true; constant RTM_WRITE_SLICE : boolean := true; constant WRITE_PHASE_W : natural := 1; -- # of MSBs of the receiver index in the global memory controller which will be selected to write. These bits increments always. -- This incrmenetation should help to balance serving the receivers constant RCV_PRIORITY_W : natural := 3; constant N_WF_CU_W : natural := 3; -- bitwidth of # of WFs that can be simultaneously managed within a CU constant AADD_ATOMIC : natural := 1; constant AMAX_ATOMIC : natural := 1; constant GMEM_N_BANK_W : natural := 1; constant ID_WIDTH : natural := 6; constant PHASE_W : natural := 3; constant CV_SIZE : natural := 2**CV_W; constant RD_CACHE_N_WORDS : natural := 2**RD_CACHE_N_WORDS_W; constant WF_SIZE_W : natural := PHASE_W + CV_W; -- A WF will be executed on the PEs of a single CV withen PAHSE_LEN cycels constant WG_SIZE_W : natural := WF_SIZE_W + N_WF_CU_W; -- A WG must be executed on a single CV. It contains a number of WFs which is at maximum the amount that can be managed within a CV constant RTM_ADDR_W : natural := 1+2+N_WF_CU_W+PHASE_W; -- 1+2+3+3 = 9bit -- The MSB if select between local indcs or other information -- The lower 2 MSBs for d0, d1 or d2. The middle N_WF_CU_W are for the WF index with the CV. The lower LSBs are for the phase index constant RTM_DATA_W : natural := CV_SIZE*WG_SIZE_W; -- Bitwidth of RTM data ports constant BURST_W : natural := BURST_WORDS_W - GMEM_N_BANK_W; -- burst width in number of transfers on the axi bus constant RD_FIFO_N_BURSTS_W : natural := 1; constant RD_FIFO_W : natural := BURST_W + RD_FIFO_N_BURSTS_W; constant N_TAG_MANAGERS : natural := 2**N_TAG_MANAGERS_W; constant N_AXI : natural := 2**N_AXI_W; constant N_WR_FIFOS_AXI_W : natural := N_TAG_MANAGERS_W-N_AXI_W; constant INTERFCE_W_ADDR_W : natural := 14; constant CRAM_ADDR_W : natural := 12; -- TODO constant DATA_W : natural := 32; constant BRAM18kb32b_ADDR_W : natural := 9; constant BRAM36kb64b_ADDR_W : natural := 9; constant BRAM36kb_ADDR_W : natural := 10; constant INST_FIFO_PRE_LEN : natural := 8; constant CV_INST_FIFO_W : natural := 3; constant LOC_MEM_W : natural := BRAM18kb32b_ADDR_W; constant N_PARAMS_W : natural := 4; constant GMEM_ADDR_W : natural := 32; constant WI_REG_ADDR_W : natural := 5; constant N_REG_BLOCKS_W : natural := 2; constant REG_FILE_BLOCK_W : natural := PHASE_W+WI_REG_ADDR_W+N_WF_CU_W-N_REG_BLOCKS_W; -- default=3+5+3-2=9 constant N_WR_FIFOS_W : natural := N_WR_FIFOS_AXI_W + N_AXI_W; constant N_WR_FIFOS_AXI : natural := 2**N_WR_FIFOS_AXI_W; constant N_WR_FIFOS : natural := 2**N_WR_FIFOS_W; constant STAT : natural := 1; constant STAT_LOAD : natural := 0; -- cache & gmem controller constants constant BRMEM_ADDR_W : natural := BRAM36kb_ADDR_W; -- default=10 constant N_RD_PORTS : natural := 4; constant N : natural := CACHE_N_BANKS_W; -- max. 3 constant L : natural := BURST_WORDS_W-N; -- min. 2 constant M : natural := BRMEM_ADDR_W - L; -- max. 8 -- L+M = BMEM_ADDR_W = 10 = #address bits of a BRAM -- cache size = 2^(N+L+M) words; max.=8*4KB=32KB constant N_RECEIVERS_CU : natural := 2**N_RECEIVERS_CU_W; constant N_RECEIVERS_W : natural := N_CU_W + N_RECEIVERS_CU_W; constant N_RECEIVERS : natural := 2**N_RECEIVERS_W; constant N_CU_STATIONS_W : natural := 6; constant GMEM_WORD_ADDR_W : natural := GMEM_ADDR_W - 2; constant TAG_W : natural := GMEM_WORD_ADDR_W -M -L -N; constant GMEM_N_BANK : natural := 2**GMEM_N_BANK_W; constant CACHE_N_BANKS : natural := 2**CACHE_N_BANKS_W; constant REG_FILE_W : natural := N_REG_BLOCKS_W+REG_FILE_BLOCK_W; constant N_REG_BLOCKS : natural := 2**N_REG_BLOCKS_W; constant REG_ADDR_W : natural := BRAM18kb32b_ADDR_W+BRAM18kb32b_ADDR_W; constant REG_FILE_SIZE : natural := 2**REG_ADDR_W; constant REG_FILE_BLOCK_SIZE : natural := 2**REG_FILE_BLOCK_W; constant GMEM_DATA_W : natural := GMEM_N_BANK * DATA_W; constant N_PARAMS : natural := 2**N_PARAMS_W; constant LOC_MEM_SIZE : natural := 2**LOC_MEM_W; constant PHASE_LEN : natural := 2**PHASE_W; constant CV_INST_FIFO_SIZE : natural := 2**CV_INST_FIFO_W; constant N_CU : natural := 2**N_CU_W; constant N_WF_CU : natural := 2**N_WF_CU_W; constant WF_SIZE : natural := 2**WF_SIZE_W; constant CRAM_SIZE : natural := 2**CRAM_ADDR_W; constant RTM_SIZE : natural := 2**RTM_ADDR_W; constant BRAM18kb_SIZE : natural := 2**BRAM18kb32b_ADDR_W; constant regFile_addr : natural := 2**(INTERFCE_W_ADDR_W-1); -- "10" of the address msbs to choose the register file constant Rstat_addr : natural := regFile_addr + 0; --address of status register in the register file constant Rstart_addr : natural := regFile_addr + 1; --address of stat register in the register file constant RcleanCache_addr : natural := regFile_addr + 2; --address of cleanCache register in the register file constant RInitiate_addr : natural := regFile_addr + 3; --address of cleanCache register in the register file constant Rstat_regFile_addr : natural := 0; --address of status register in the register file constant Rstart_regFile_addr : natural := 1; --address of stat register in the register file constant RcleanCache_regFile_addr : natural := 2; --address of cleanCache register in the register file constant RInitiate_regFile_addr : natural := 3; --address of initiate register in the register file constant N_REG_W : natural := 2; constant PARAMS_ADDR_LOC_MEM_OFFSET : natural := LOC_MEM_SIZE - N_PARAMS; -- constant GMEM_RQST_BUS_W : natural := GMEM_DATA_W; -- new kernel descriptor ---------------------------------------------------------------- constant NEW_KRNL_DESC_W : natural := 5; -- length of the kernel's descripto constant NEW_KRNL_INDX_W : natural := 4; -- bitwidth of number of kernels that can be started constant NEW_KRNL_DESC_LEN : natural := 12; constant WG_MAX_SIZE : natural := 2**WG_SIZE_W; constant NEW_KRNL_DESC_MAX_LEN : natural := 2**NEW_KRNL_DESC_W; constant NEW_KRNL_MAX_INDX : natural := 2**NEW_KRNL_INDX_W; constant KRNL_SCH_ADDR_W : natural := NEW_KRNL_DESC_W + NEW_KRNL_INDX_W; constant NEW_KRNL_DESC_N_WF : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 0; constant NEW_KRNL_DESC_ID0_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 1; constant NEW_KRNL_DESC_ID1_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 2; constant NEW_KRNL_DESC_ID2_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 3; constant NEW_KRNL_DESC_ID0_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 4; constant NEW_KRNL_DESC_ID1_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 5; constant NEW_KRNL_DESC_ID2_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 6; constant NEW_KRNL_DESC_WG_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 7; constant NEW_KRNL_DESC_N_WG_0 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 8; constant NEW_KRNL_DESC_N_WG_1 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 9; constant NEW_KRNL_DESC_N_WG_2 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 10; constant NEW_KRNL_DESC_N_PARAMS : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 11; constant PARAMS_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 16; constant WG_SIZE_0_OFFSET : natural := 0; constant WG_SIZE_1_OFFSET : natural := 10; constant WG_SIZE_2_OFFSET : natural := 20; constant N_DIM_OFFSET : natural := 30; constant ADDR_FIRST_INST_OFFSET : natural := 0; constant ADDR_LAST_INST_OFFSET : natural := 14; constant N_WF_OFFSET : natural := 28; constant N_WG_0_OFFSET : natural := 16; constant N_WG_1_OFFSET : natural := 0; constant N_WG_2_OFFSET : natural := 16; constant WG_SIZE_OFFSET : natural := 0; constant N_PARAMS_OFFSET : natural := 28; type cram_type is array (2**CRAM_ADDR_W-1 downto 0) of std_logic_vector (DATA_W-1 downto 0); type slv32_array is array (natural range<>) of std_logic_vector(DATA_W-1 downto 0); type krnl_scheduler_ram_TYPE is array (2**KRNL_SCH_ADDR_W-1 downto 0) of std_logic_vector (DATA_W-1 downto 0); type cram_addr_array is array (natural range <>) of unsigned(CRAM_ADDR_W-1 downto 0); -- range 0 to CRAM_SIZE-1; type rtm_ram_type is array (natural range <>) of unsigned(RTM_DATA_W-1 downto 0); type gmem_addr_array is array (natural range<>) of unsigned(GMEM_ADDR_W-1 downto 0); type op_arith_shift_type is (op_add, op_lw, op_mult, op_bra, op_shift, op_slt, op_mov, op_ato, op_lmem); type op_logical_type is (op_andi, op_and, op_ori, op_or, op_xor, op_xori, op_nor); type be_array is array(natural range <>) of std_logic_vector(DATA_W/8-1 downto 0); type gmem_be_array is array(natural range <>) of std_logic_vector(GMEM_N_BANK*DATA_W/8-1 downto 0); type sl_array is array(natural range <>) of std_logic; type nat_array is array(natural range <>) of natural; type nat_2d_array is array(natural range <>, natural range <>) of natural; type reg_addr_array is array (natural range <>) of unsigned(REG_FILE_W-1 downto 0); type gmem_word_addr_array is array(natural range <>) of unsigned(GMEM_WORD_ADDR_W-1 downto 0); type gmem_addr_array_no_bank is array (natural range <>) of unsigned(GMEM_WORD_ADDR_W-CACHE_N_BANKS_W-1 downto 0); type alu_en_vec_type is array(natural range <>) of std_logic_vector(CV_SIZE-1 downto 0); type alu_en_rdAddr_type is array(natural range <>) of unsigned(PHASE_W+N_WF_CU_W-1 downto 0); type tag_array is array (natural range <>) of unsigned(TAG_W-1 downto 0); type gmem_word_array is array (natural range <>) of std_logic_vector(DATA_W*GMEM_N_BANK-1 downto 0); type wf_active_array is array (natural range <>) of std_logic_vector(N_WF_CU-1 downto 0); type cache_addr_array is array(natural range <>) of unsigned(M+L-1 downto 0); type cache_word_array is array(natural range <>) of std_logic_vector(CACHE_N_BANKS*DATA_W-1 downto 0); type tag_addr_array is array(natural range <>) of unsigned(M-1 downto 0); type reg_file_block_array is array(natural range<>) of unsigned(REG_FILE_BLOCK_W-1 downto 0); type id_array is array(natural range<>) of std_logic_vector(ID_WIDTH-1 downto 0); type real_array is array (natural range <>) of real; type atomic_sgntr_array is array (natural range <>) of std_logic_vector(N_CU_STATIONS_W-1 downto 0); attribute max_fanout: integer; attribute keep: string; attribute mark_debug : string; impure function init_krnl_ram(file_name : in string) return KRNL_SCHEDULER_RAM_type; impure function init_SLV32_ARRAY_from_file(file_name : in string; len: in natural; file_len: in natural) return SLV32_ARRAY; impure function init_CRAM(file_name : in string; file_len: in natural) return cram_type; function pri_enc(datain: in std_logic_vector) return integer; function max (LEFT, RIGHT: integer) return integer; function min_int (LEFT, RIGHT: integer) return integer; function clogb2 (bit_depth : integer) return integer; --- ISA -------------------------------------------------------------------------------------- constant FAMILY_W : natural := 4; constant CODE_W : natural := 4; constant IMM_ARITH_W : natural := 14; constant IMM_W : natural := 16; constant BRANCH_ADDR_W : natural := 14; constant FAMILY_POS : natural := 28; constant CODE_POS : natural := 24; constant RD_POS : natural := 0; constant RS_POS : natural := 5; constant RT_POS : natural := 10; constant IMM_POS : natural := 10; constant DIM_POS : natural := 5; constant PARAM_POS : natural := 5; constant BRANCH_ADDR_POS : natural := 10; --------------- families constant ADD_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"1"; constant SHF_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"2"; constant LGK_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"3"; constant MOV_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"4"; constant MUL_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"5"; constant BRA_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"6"; constant GLS_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"7"; constant ATO_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"8"; constant CTL_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"9"; constant RTM_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"A"; constant CND_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"B"; constant FLT_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"C"; constant LSI_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"D"; --------------- codes --RTM constant LID : std_logic_vector(CODE_W-1 downto 0) := X"0"; --upper two MSBs indicate if the operation is localdx or offsetdx constant WGOFF : std_logic_vector(CODE_W-1 downto 0) := X"1"; constant SIZE : std_logic_vector(CODE_W-1 downto 0) := X"2"; constant WGID : std_logic_vector(CODE_W-1 downto 0) := X"3"; constant WGSIZE : std_logic_vector(CODE_W-1 downto 0) := X"4"; constant LP : std_logic_vector(CODE_W-1 downto 0) := X"8"; --ADD constant ADD : std_logic_vector(CODE_W-1 downto 0) := "0000"; constant SUB : std_logic_vector(CODE_W-1 downto 0) := "0010"; constant ADDI : std_logic_vector(CODE_W-1 downto 0) := "0001"; constant LI : std_logic_vector(CODE_W-1 downto 0) := "1001"; constant LUI : std_logic_vector(CODE_W-1 downto 0) := "1101"; --MUL constant MACC : std_logic_vector(CODE_W-1 downto 0) := "1000"; --BRA constant BEQ : std_logic_vector(CODE_W-1 downto 0) := "0010"; constant BNE : std_logic_vector(CODE_W-1 downto 0) := "0011"; constant JSUB : std_logic_vector(CODE_W-1 downto 0) := "0100"; --GLS constant LW : std_logic_vector(CODE_W-1 downto 0) := "0100"; constant SW : std_logic_vector(CODE_W-1 downto 0) := "1100"; --CTL constant RET : std_logic_vector(CODE_W-1 downto 0) := "0010"; --SHF constant SLLI : std_logic_vector(CODE_W-1 downto 0) := "0001"; --LGK constant CODE_AND : std_logic_vector(CODE_W-1 downto 0) := "0000"; constant CODE_ANDI : std_logic_vector(CODE_W-1 downto 0) := "0001"; constant CODE_OR : std_logic_vector(CODE_W-1 downto 0) := "0010"; constant CODE_ORI : std_logic_vector(CODE_W-1 downto 0) := "0011"; constant CODE_XOR : std_logic_vector(CODE_W-1 downto 0) := "0100"; constant CODE_XORI : std_logic_vector(CODE_W-1 downto 0) := "0101"; constant CODE_NOR : std_logic_vector(CODE_W-1 downto 0) := "1000"; --ATO constant CODE_AMAX : std_logic_vector(CODE_W-1 downto 0) := "0010"; constant CODE_AADD : std_logic_vector(CODE_W-1 downto 0) := "0001"; type branch_distance_vec is array(natural range <>) of unsigned(BRANCH_ADDR_W-1 downto 0); type code_vec_type is array(natural range <>) of std_logic_vector(CODE_W-1 downto 0); type atomic_type_vec_type is array(natural range <>) of std_logic_vector(2 downto 0); end FGPU_definitions; package body FGPU_definitions is -- function called clogb2 that returns an integer which has the --value of the ceiling of the log base 2 function clogb2 (bit_depth : integer) return integer is variable depth : integer := bit_depth; variable count : integer := 1; begin for clogb2 in 1 to bit_depth loop -- Works for up to 32 bit integers if (bit_depth <= 2) then count := 1; else if(depth <= 1) then count := count; else depth := depth / 2; count := count + 1; end if; end if; end loop; return(count); end; impure function init_krnl_ram(file_name : in string) return KRNL_SCHEDULER_RAM_type is file init_file : text open read_mode is file_name; variable init_line : line; variable temp_bv : bit_vector(DATA_W-1 downto 0); variable temp_mem : KRNL_SCHEDULER_RAM_type; begin for i in 0 to 16*32-1 loop readline(init_file, init_line); hread(init_line, temp_mem(i)); -- read(init_line, temp_bv); -- temp_mem(i) := to_stdlogicvector(temp_bv); end loop; return temp_mem; end function; function max (LEFT, RIGHT: integer) return integer is begin if LEFT > RIGHT then return LEFT; else return RIGHT; end if; end max; function min_int (LEFT, RIGHT: integer) return integer is begin if LEFT > RIGHT then return RIGHT; else return LEFT; end if; end min_int; impure function init_CRAM(file_name : in string; file_len : in natural) return cram_type is file init_file : text open read_mode is file_name; variable init_line : line; variable cram : cram_type; -- variable tmp: std_logic_vector(DATA_W-1 downto 0); begin for i in 0 to file_len-1 loop readline(init_file, init_line); hread(init_line, cram(i)); -- vivado breaks when synthesizing hread(init_line, cram(0)(i)) without giving any indication about the error -- cram(i) := tmp; -- if CRAM_BLOCKS > 1 then -- for j in 1 to max(1,CRAM_BLOCKS-1) loop -- cram(j)(i) := cram(0)(i); -- end loop; -- end if; end loop; return cram; end function; impure function init_SLV32_ARRAY_from_file(file_name : in string; len : in natural; file_len : in natural) return SLV32_ARRAY is file init_file : text open read_mode is file_name; variable init_line : line; variable temp_mem : SLV32_ARRAY(len-1 downto 0); begin for i in 0 to file_len-1 loop readline(init_file, init_line); hread(init_line, temp_mem(i)); end loop; return temp_mem; end function; function pri_enc(datain: in std_logic_vector) return integer is variable res : integer range 0 to datain'high; begin res := 0; for i in datain'high downto 1 loop if datain(i) = '1' then res := i; end if; end loop; return res; end function; end FGPU_definitions;
------------------------------------------------------------------------------- -- -- RapidIO IP Library Core -- -- This file is part of the RapidIO IP library project -- http://www.opencores.org/cores/rio/ -- -- Description -- Contains commonly used types, functions, procedures and entities used in -- the RapidIO IP library project. -- -- To Do: -- - -- -- Author(s): -- - Magnus Rosenius, [email protected] -- ------------------------------------------------------------------------------- -- -- Copyright (C) 2013 Authors and OPENCORES.ORG -- -- This source file may be used and distributed without -- restriction provided that this copyright statement is not -- removed from the file and that any derivative work contains -- the original copyright notice and the associated disclaimer. -- -- This source file is free software; you can redistribute it -- and/or modify it under the terms of the GNU Lesser General -- Public License as published by the Free Software Foundation; -- either version 2.1 of the License, or (at your option) any -- later version. -- -- This source is distributed in the hope that it will be -- useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -- PURPOSE. See the GNU Lesser General Public License for more -- details. -- -- You should have received a copy of the GNU Lesser General -- Public License along with this source; if not, download it -- from http://www.opencores.org/lgpl.shtml -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- RioCommon library. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; use std.textio.all; ------------------------------------------------------------------------------- -- RioCommon package description. ------------------------------------------------------------------------------- package rio_common is ----------------------------------------------------------------------------- -- Commonly used types. ----------------------------------------------------------------------------- type Array1 is array (natural range <>) of std_logic; type Array2 is array (natural range <>) of std_logic_vector(1 downto 0); type Array3 is array (natural range <>) of std_logic_vector(2 downto 0); type Array4 is array (natural range <>) of std_logic_vector(3 downto 0); type Array5 is array (natural range <>) of std_logic_vector(4 downto 0); type Array8 is array (natural range <>) of std_logic_vector(7 downto 0); type Array9 is array (natural range <>) of std_logic_vector(8 downto 0); type Array10 is array (natural range <>) of std_logic_vector(9 downto 0); type Array16 is array (natural range <>) of std_logic_vector(15 downto 0); type Array32 is array (natural range <>) of std_logic_vector(31 downto 0); type Array34 is array (natural range <>) of std_logic_vector(33 downto 0); ----------------------------------------------------------------------------- -- Commonly used constants. ----------------------------------------------------------------------------- -- Symbol types between the serial and the PCS layer. constant SYMBOL_IDLE : std_logic_vector(1 downto 0) := "00"; constant SYMBOL_CONTROL : std_logic_vector(1 downto 0) := "01"; constant SYMBOL_ERROR : std_logic_vector(1 downto 0) := "10"; constant SYMBOL_DATA : std_logic_vector(1 downto 0) := "11"; -- STYPE0 constants. constant STYPE0_PACKET_ACCEPTED : std_logic_vector(2 downto 0) := "000"; constant STYPE0_PACKET_RETRY : std_logic_vector(2 downto 0) := "001"; constant STYPE0_PACKET_NOT_ACCEPTED : std_logic_vector(2 downto 0) := "010"; constant STYPE0_RESERVED : std_logic_vector(2 downto 0) := "011"; constant STYPE0_STATUS : std_logic_vector(2 downto 0) := "100"; constant STYPE0_VC_STATUS : std_logic_vector(2 downto 0) := "101"; constant STYPE0_LINK_RESPONSE : std_logic_vector(2 downto 0) := "110"; constant STYPE0_IMPLEMENTATION_DEFINED : std_logic_vector(2 downto 0) := "111"; -- STYPE1 constants. constant STYPE1_START_OF_PACKET : std_logic_vector(2 downto 0) := "000"; constant STYPE1_STOMP : std_logic_vector(2 downto 0) := "001"; constant STYPE1_END_OF_PACKET : std_logic_vector(2 downto 0) := "010"; constant STYPE1_RESTART_FROM_RETRY : std_logic_vector(2 downto 0) := "011"; constant STYPE1_LINK_REQUEST : std_logic_vector(2 downto 0) := "100"; constant STYPE1_MULTICAST_EVENT : std_logic_vector(2 downto 0) := "101"; constant STYPE1_RESERVED : std_logic_vector(2 downto 0) := "110"; constant STYPE1_NOP : std_logic_vector(2 downto 0) := "111"; -- FTYPE constants. constant FTYPE_REQUEST_CLASS : std_logic_vector(3 downto 0) := "0010"; constant FTYPE_WRITE_CLASS : std_logic_vector(3 downto 0) := "0101"; constant FTYPE_STREAMING_WRITE_CLASS : std_logic_vector(3 downto 0) := "0110"; constant FTYPE_MAINTENANCE_CLASS : std_logic_vector(3 downto 0) := "1000"; constant FTYPE_RESPONSE_CLASS : std_logic_vector(3 downto 0) := "1101"; constant FTYPE_DOORBELL_CLASS : std_logic_vector(3 downto 0) := "1010"; constant FTYPE_MESSAGE_CLASS : std_logic_vector(3 downto 0) := "0010"; -- TTYPE Constants constant TTYPE_MAINTENANCE_READ_REQUEST : std_logic_vector(3 downto 0) := "0000"; constant TTYPE_MAINTENANCE_WRITE_REQUEST : std_logic_vector(3 downto 0) := "0001"; constant TTYPE_MAINTENANCE_READ_RESPONSE : std_logic_vector(3 downto 0) := "0010"; constant TTYPE_MAINTENANCE_WRITE_RESPONSE : std_logic_vector(3 downto 0) := "0011"; constant TTYPE_NREAD_TRANSACTION : std_logic_vector(3 downto 0) := "0100"; constant TTYPE_NWRITE_TRANSACTION : std_logic_vector(3 downto 0) := "0100"; constant LINK_REQUEST_CMD_RESET_DEVICE : std_logic_vector(2 downto 0) := "011"; constant LINK_REQUEST_CMD_INPUT_STATUS : std_logic_vector(2 downto 0) := "100"; constant PACKET_NOT_ACCEPTED_CAUSE_UNEXPECTED_ACKID : std_logic_vector(4 downto 0) := "00001"; constant PACKET_NOT_ACCEPTED_CAUSE_CONTROL_CRC : std_logic_vector(4 downto 0) := "00010"; constant PACKET_NOT_ACCEPTED_CAUSE_NON_MAINTENANCE_STOPPED : std_logic_vector(4 downto 0) := "00011"; constant PACKET_NOT_ACCEPTED_CAUSE_PACKET_CRC : std_logic_vector(4 downto 0) := "00100"; constant PACKET_NOT_ACCEPTED_CAUSE_INVALID_CHARACTER : std_logic_vector(4 downto 0) := "00101"; constant PACKET_NOT_ACCEPTED_CAUSE_NO_RESOURCES : std_logic_vector(4 downto 0) := "00110"; constant PACKET_NOT_ACCEPTED_CAUSE_LOSS_DESCRAMBLER : std_logic_vector(4 downto 0) := "00111"; constant PACKET_NOT_ACCEPTED_CAUSE_GENERAL_ERROR : std_logic_vector(4 downto 0) := "11111"; ----------------------------------------------------------------------------- -- Types used in simulations. ----------------------------------------------------------------------------- type ByteArray is array (natural range <>) of std_logic_vector(7 downto 0); type HalfwordArray is array (natural range <>) of std_logic_vector(15 downto 0); type WordArray is array (natural range <>) of std_logic_vector(31 downto 0); type DoublewordArray is array (natural range <>) of std_logic_vector(63 downto 0); -- Type defining a RapidIO frame. type RioFrame is record length : natural range 0 to 69; payload : WordArray(0 to 68); end record; type RioFrameArray is array (natural range <>) of RioFrame; -- Type defining a RapidIO payload. type RioPayload is record length : natural range 0 to 133; data : HalfwordArray(0 to 132); end record; ----------------------------------------------------------------------------- -- Crc5 calculation function. -- ITU, polynom=0x15. ----------------------------------------------------------------------------- function Crc5(constant data : in std_logic_vector(18 downto 0); constant crc : in std_logic_vector(4 downto 0)) return std_logic_vector; --------------------------------------------------------------------------- -- Create a RapidIO physical layer control symbol. --------------------------------------------------------------------------- function RioControlSymbolCreate( constant stype0 : in std_logic_vector(2 downto 0); constant parameter0 : in std_logic_vector(4 downto 0); constant parameter1 : in std_logic_vector(4 downto 0); constant stype1 : in std_logic_vector(2 downto 0); constant cmd : in std_logic_vector(2 downto 0)) return std_logic_vector; ----------------------------------------------------------------------------- -- Crc16 calculation function. -- CITT, polynom=0x1021. ----------------------------------------------------------------------------- function Crc16(constant data : in std_logic_vector(15 downto 0); constant crc : in std_logic_vector(15 downto 0)) return std_logic_vector; --------------------------------------------------------------------------- -- Create a randomly initialized data array. --------------------------------------------------------------------------- procedure CreateRandomPayload( variable payload : out HalfwordArray(0 to 132); variable seed1 : inout positive; variable seed2 : inout positive); procedure CreateRandomPayload( variable payload : out DoublewordArray(0 to 31); variable seed1 : inout positive; variable seed2 : inout positive); --------------------------------------------------------------------------- -- Create a generic RapidIO frame. --------------------------------------------------------------------------- function RioFrameCreate( constant ackId : in std_logic_vector(4 downto 0); constant vc : in std_logic; constant crf : in std_logic; constant prio : in std_logic_vector(1 downto 0); constant tt : in std_logic_vector(1 downto 0); constant ftype : in std_logic_vector(3 downto 0); constant sourceId : in std_logic_vector(15 downto 0); constant destId : in std_logic_vector(15 downto 0); constant payload : in RioPayload) return RioFrame; --------------------------------------------------------------------------- -- Create a NWRITE RapidIO frame. --------------------------------------------------------------------------- function RioNwrite( constant wrsize : in std_logic_vector(3 downto 0); constant tid : in std_logic_vector(7 downto 0); constant address : in std_logic_vector(28 downto 0); constant wdptr : in std_logic; constant xamsbs : in std_logic_vector(1 downto 0); constant dataLength : in natural range 1 to 32; constant data : in DoublewordArray(0 to 31)) return RioPayload; --------------------------------------------------------------------------- -- Create a NREAD RapidIO frame. --------------------------------------------------------------------------- function RioNread( constant rdsize : in std_logic_vector(3 downto 0); constant tid : in std_logic_vector(7 downto 0); constant address : in std_logic_vector(28 downto 0); constant wdptr : in std_logic; constant xamsbs : in std_logic_vector(1 downto 0)) return RioPayload; --------------------------------------------------------------------------- -- Create a RESPONSE RapidIO frame. --------------------------------------------------------------------------- function RioResponse( constant status : in std_logic_vector(3 downto 0); constant tid : in std_logic_vector(7 downto 0); constant dataLength : in natural range 0 to 32; constant data : in DoublewordArray(0 to 31)) return RioPayload; --------------------------------------------------------------------------- -- Create a Maintenance RapidIO frame. --------------------------------------------------------------------------- function RioMaintenance( constant transaction : in std_logic_vector(3 downto 0); constant size : in std_logic_vector(3 downto 0); constant tid : in std_logic_vector(7 downto 0); constant hopCount : in std_logic_vector(7 downto 0); constant configOffset : in std_logic_vector(20 downto 0); constant wdptr : in std_logic; constant dataLength : in natural range 0 to 8; constant data : in DoublewordArray(0 to 7)) return RioPayload; ----------------------------------------------------------------------------- -- Function to convert a std_logic_vector to a string. ----------------------------------------------------------------------------- function byteToString(constant byte : std_logic_vector(7 downto 0)) return string; --------------------------------------------------------------------------- -- Procedure to print to report file and output --------------------------------------------------------------------------- procedure PrintR( constant str : string ); --------------------------------------------------------------------------- -- Procedure to print to spec file --------------------------------------------------------------------------- procedure PrintS( constant str : string ); --------------------------------------------------------------------------- -- Procedure to Assert Expression --------------------------------------------------------------------------- procedure AssertE( constant exp: boolean; constant str : string ); --------------------------------------------------------------------------- -- Procedure to Print Error --------------------------------------------------------------------------- procedure PrintE( constant str : string ); --------------------------------------------------------------------------- -- Procedure to end a test. --------------------------------------------------------------------------- procedure TestEnd; end package; ------------------------------------------------------------------------------- -- RioCommon package body description. ------------------------------------------------------------------------------- package body rio_common is ----------------------------------------------------------------------------- -- Crc5 calculation function. -- ITU, polynom=0x15. ----------------------------------------------------------------------------- function Crc5(constant data : in std_logic_vector(18 downto 0); constant crc : in std_logic_vector(4 downto 0)) return std_logic_vector is type crcTableType is array (0 to 31) of std_logic_vector(7 downto 0); constant crcTable : crcTableType := ( x"00", x"15", x"1f", x"0a", x"0b", x"1e", x"14", x"01", x"16", x"03", x"09", x"1c", x"1d", x"08", x"02", x"17", x"19", x"0c", x"06", x"13", x"12", x"07", x"0d", x"18", x"0f", x"1a", x"10", x"05", x"04", x"11", x"1b", x"0e" ); variable index : natural range 0 to 31; variable result : std_logic_vector(4 downto 0); begin result := crc; index := to_integer(unsigned(data(18 downto 14) xor result)); result := crcTable(index)(4 downto 0); index := to_integer(unsigned(data(13 downto 9) xor result)); result := crcTable(index)(4 downto 0); index := to_integer(unsigned(data(8 downto 4) xor result)); result := crcTable(index)(4 downto 0); index := to_integer(unsigned((data(3 downto 0) & '0') xor result)); return crcTable(index)(4 downto 0); end Crc5; --------------------------------------------------------------------------- -- Create a RapidIO physical layer control symbol. --------------------------------------------------------------------------- function RioControlSymbolCreate( constant stype0 : in std_logic_vector(2 downto 0); constant parameter0 : in std_logic_vector(4 downto 0); constant parameter1 : in std_logic_vector(4 downto 0); constant stype1 : in std_logic_vector(2 downto 0); constant cmd : in std_logic_vector(2 downto 0)) return std_logic_vector is variable returnValue : std_logic_vector(31 downto 0); variable symbolData : std_logic_vector(18 downto 0); begin symbolData(18 downto 16) := stype0; symbolData(15 downto 11) := parameter0; symbolData(10 downto 6) := parameter1; symbolData(5 downto 3) := stype1; symbolData(2 downto 0) := cmd; returnValue(31 downto 13) := symbolData; returnValue(12 downto 8) := Crc5(symbolData, "11111"); returnValue(7 downto 0) := x"00"; return returnValue; end function; ----------------------------------------------------------------------------- -- Crc16 calculation function. -- CITT, polynom=0x1021. ----------------------------------------------------------------------------- function Crc16(constant data : in std_logic_vector(15 downto 0); constant crc : in std_logic_vector(15 downto 0)) return std_logic_vector is type crcTableType is array (0 to 255) of std_logic_vector(15 downto 0); constant crcTable : crcTableType := ( x"0000", x"1021", x"2042", x"3063", x"4084", x"50a5", x"60c6", x"70e7", x"8108", x"9129", x"a14a", x"b16b", x"c18c", x"d1ad", x"e1ce", x"f1ef", x"1231", x"0210", x"3273", x"2252", x"52b5", x"4294", x"72f7", x"62d6", x"9339", x"8318", x"b37b", x"a35a", x"d3bd", x"c39c", x"f3ff", x"e3de", x"2462", x"3443", x"0420", x"1401", x"64e6", x"74c7", x"44a4", x"5485", x"a56a", x"b54b", x"8528", x"9509", x"e5ee", x"f5cf", x"c5ac", x"d58d", x"3653", x"2672", x"1611", x"0630", x"76d7", x"66f6", x"5695", x"46b4", x"b75b", x"a77a", x"9719", x"8738", x"f7df", x"e7fe", x"d79d", x"c7bc", x"48c4", x"58e5", x"6886", x"78a7", x"0840", x"1861", x"2802", x"3823", x"c9cc", x"d9ed", x"e98e", x"f9af", x"8948", x"9969", x"a90a", x"b92b", x"5af5", x"4ad4", x"7ab7", x"6a96", x"1a71", x"0a50", x"3a33", x"2a12", x"dbfd", x"cbdc", x"fbbf", x"eb9e", x"9b79", x"8b58", x"bb3b", x"ab1a", x"6ca6", x"7c87", x"4ce4", x"5cc5", x"2c22", x"3c03", x"0c60", x"1c41", x"edae", x"fd8f", x"cdec", x"ddcd", x"ad2a", x"bd0b", x"8d68", x"9d49", x"7e97", x"6eb6", x"5ed5", x"4ef4", x"3e13", x"2e32", x"1e51", x"0e70", x"ff9f", x"efbe", x"dfdd", x"cffc", x"bf1b", x"af3a", x"9f59", x"8f78", x"9188", x"81a9", x"b1ca", x"a1eb", x"d10c", x"c12d", x"f14e", x"e16f", x"1080", x"00a1", x"30c2", x"20e3", x"5004", x"4025", x"7046", x"6067", x"83b9", x"9398", x"a3fb", x"b3da", x"c33d", x"d31c", x"e37f", x"f35e", x"02b1", x"1290", x"22f3", x"32d2", x"4235", x"5214", x"6277", x"7256", x"b5ea", x"a5cb", x"95a8", x"8589", x"f56e", x"e54f", x"d52c", x"c50d", x"34e2", x"24c3", x"14a0", x"0481", x"7466", x"6447", x"5424", x"4405", x"a7db", x"b7fa", x"8799", x"97b8", x"e75f", x"f77e", x"c71d", x"d73c", x"26d3", x"36f2", x"0691", x"16b0", x"6657", x"7676", x"4615", x"5634", x"d94c", x"c96d", x"f90e", x"e92f", x"99c8", x"89e9", x"b98a", x"a9ab", x"5844", x"4865", x"7806", x"6827", x"18c0", x"08e1", x"3882", x"28a3", x"cb7d", x"db5c", x"eb3f", x"fb1e", x"8bf9", x"9bd8", x"abbb", x"bb9a", x"4a75", x"5a54", x"6a37", x"7a16", x"0af1", x"1ad0", x"2ab3", x"3a92", x"fd2e", x"ed0f", x"dd6c", x"cd4d", x"bdaa", x"ad8b", x"9de8", x"8dc9", x"7c26", x"6c07", x"5c64", x"4c45", x"3ca2", x"2c83", x"1ce0", x"0cc1", x"ef1f", x"ff3e", x"cf5d", x"df7c", x"af9b", x"bfba", x"8fd9", x"9ff8", x"6e17", x"7e36", x"4e55", x"5e74", x"2e93", x"3eb2", x"0ed1", x"1ef0" ); variable index : natural range 0 to 255; variable result : std_logic_vector(15 downto 0); begin result := crc; index := to_integer(unsigned(data(15 downto 8) xor result(15 downto 8))); result := crcTable(index) xor (result(7 downto 0) & x"00"); index := to_integer(unsigned(data(7 downto 0) xor result(15 downto 8))); result := crcTable(index) xor (result(7 downto 0) & x"00"); return result; end Crc16; --------------------------------------------------------------------------- -- Create a randomly initialized data array. --------------------------------------------------------------------------- procedure CreateRandomPayload( variable payload : out HalfwordArray(0 to 132); variable seed1 : inout positive; variable seed2 : inout positive) is variable rand: real; variable int_rand: integer; variable stim: std_logic_vector(7 downto 0); begin for i in payload'range loop uniform(seed1, seed2, rand); int_rand := integer(trunc(rand*256.0)); payload(i)(7 downto 0) := std_logic_vector(to_unsigned(int_rand, 8)); uniform(seed1, seed2, rand); int_rand := integer(trunc(rand*256.0)); payload(i)(15 downto 8) := std_logic_vector(to_unsigned(int_rand, 8)); end loop; end procedure; procedure CreateRandomPayload( variable payload : out DoublewordArray(0 to 31); variable seed1 : inout positive; variable seed2 : inout positive) is variable rand: real; variable int_rand: integer; variable stim: std_logic_vector(7 downto 0); begin for i in payload'range loop uniform(seed1, seed2, rand); int_rand := integer(trunc(rand*256.0)); payload(i)(7 downto 0) := std_logic_vector(to_unsigned(int_rand, 8)); uniform(seed1, seed2, rand); int_rand := integer(trunc(rand*256.0)); payload(i)(15 downto 8) := std_logic_vector(to_unsigned(int_rand, 8)); uniform(seed1, seed2, rand); int_rand := integer(trunc(rand*256.0)); payload(i)(23 downto 16) := std_logic_vector(to_unsigned(int_rand, 8)); uniform(seed1, seed2, rand); int_rand := integer(trunc(rand*256.0)); payload(i)(31 downto 24) := std_logic_vector(to_unsigned(int_rand, 8)); uniform(seed1, seed2, rand); int_rand := integer(trunc(rand*256.0)); payload(i)(39 downto 32) := std_logic_vector(to_unsigned(int_rand, 8)); uniform(seed1, seed2, rand); int_rand := integer(trunc(rand*256.0)); payload(i)(47 downto 40) := std_logic_vector(to_unsigned(int_rand, 8)); uniform(seed1, seed2, rand); int_rand := integer(trunc(rand*256.0)); payload(i)(55 downto 48) := std_logic_vector(to_unsigned(int_rand, 8)); uniform(seed1, seed2, rand); int_rand := integer(trunc(rand*256.0)); payload(i)(63 downto 56) := std_logic_vector(to_unsigned(int_rand, 8)); end loop; end procedure; --------------------------------------------------------------------------- -- Create a generic RapidIO frame. --------------------------------------------------------------------------- function RioFrameCreate( constant ackId : in std_logic_vector(4 downto 0); constant vc : in std_logic; constant crf : in std_logic; constant prio : in std_logic_vector(1 downto 0); constant tt : in std_logic_vector(1 downto 0); constant ftype : in std_logic_vector(3 downto 0); constant sourceId : in std_logic_vector(15 downto 0); constant destId : in std_logic_vector(15 downto 0); constant payload : in RioPayload) return RioFrame is variable frame : RioFrame; variable result : HalfwordArray(0 to 137); variable crc : std_logic_vector(15 downto 0) := x"ffff"; begin -- Add the header and addresses. result(0) := ackId & "0" & vc & crf & prio & tt & ftype; result(1) := destId; result(2) := sourceId; -- Update the calculated CRC with the header contents. crc := Crc16("00000" & result(0)(10 downto 0), crc); crc := Crc16(result(1), crc); crc := Crc16(result(2), crc); -- Check if a single CRC should be added or two. if (payload.length <= 37) then -- Single CRC. for i in 0 to payload.length-1 loop result(i+3) := payload.data(i); crc := Crc16(payload.data(i), crc); end loop; result(payload.length+3) := crc; -- Check if paddning is needed to make the payload even 32-bit. if ((payload.length mod 2) = 1) then result(payload.length+4) := x"0000"; frame.length := (payload.length+5) / 2; else frame.length := (payload.length+4) / 2; end if; else -- Double CRC. for i in 0 to 36 loop result(i+3) := payload.data(i); crc := Crc16(payload.data(i), crc); end loop; -- Add in-the-middle crc. result(40) := crc; crc := Crc16(crc, crc); for i in 37 to payload.length-1 loop result(i+4) := payload.data(i); crc := Crc16(payload.data(i), crc); end loop; result(payload.length+4) := crc; -- Check if paddning is needed to make the payload even 32-bit. if ((payload.length mod 2) = 0) then result(payload.length+5) := x"0000"; frame.length := (payload.length+6) / 2; else frame.length := (payload.length+5) / 2; end if; end if; -- Update the result length. for i in 0 to frame.length-1 loop frame.payload(i) := result(2*i) & result(2*i+1); end loop; return frame; end function; ----------------------------------------------------------------------------- -- ----------------------------------------------------------------------------- function RioNwrite( constant wrsize : in std_logic_vector(3 downto 0); constant tid : in std_logic_vector(7 downto 0); constant address : in std_logic_vector(28 downto 0); constant wdptr : in std_logic; constant xamsbs : in std_logic_vector(1 downto 0); constant dataLength : in natural range 1 to 32; constant data : in DoublewordArray(0 to 31)) return RioPayload is variable payload : RioPayload; begin payload.data(0)(15 downto 12) := "0100"; payload.data(0)(11 downto 8) := wrsize; payload.data(0)(7 downto 0) := tid; payload.data(1) := address(28 downto 13); payload.data(2)(15 downto 3) := address(12 downto 0); payload.data(2)(2) := wdptr; payload.data(2)(1 downto 0) := xamsbs; for i in 0 to dataLength-1 loop payload.data(3+4*i) := data(i)(63 downto 48); payload.data(4+4*i) := data(i)(47 downto 32); payload.data(5+4*i) := data(i)(31 downto 16); payload.data(6+4*i) := data(i)(15 downto 0); end loop; payload.length := 3 + 4*dataLength; return payload; end function; ----------------------------------------------------------------------------- -- ----------------------------------------------------------------------------- function RioNread( constant rdsize : in std_logic_vector(3 downto 0); constant tid : in std_logic_vector(7 downto 0); constant address : in std_logic_vector(28 downto 0); constant wdptr : in std_logic; constant xamsbs : in std_logic_vector(1 downto 0)) return RioPayload is variable payload : RioPayload; begin payload.data(0)(15 downto 12) := "0100"; payload.data(0)(11 downto 8) := rdsize; payload.data(0)(7 downto 0) := tid; payload.data(1) := address(28 downto 13); payload.data(2)(15 downto 3) := address(12 downto 0); payload.data(2)(2) := wdptr; payload.data(2)(1 downto 0) := xamsbs; payload.length := 3; return payload; end function; --------------------------------------------------------------------------- -- Create a RESPONSE RapidIO frame. --------------------------------------------------------------------------- function RioResponse( constant status : in std_logic_vector(3 downto 0); constant tid : in std_logic_vector(7 downto 0); constant dataLength : in natural range 0 to 32; constant data : in DoublewordArray(0 to 31)) return RioPayload is variable payload : RioPayload; begin payload.data(0)(11 downto 8) := status; payload.data(0)(7 downto 0) := tid; if (dataLength = 0) then payload.data(0)(15 downto 12) := "0000"; payload.length := 1; else payload.data(0)(15 downto 12) := "1000"; for i in 0 to dataLength-1 loop payload.data(1+4*i) := data(i)(63 downto 48); payload.data(2+4*i) := data(i)(47 downto 32); payload.data(3+4*i) := data(i)(31 downto 16); payload.data(4+4*i) := data(i)(15 downto 0); end loop; payload.length := 1 + 4*dataLength; end if; return payload; end function; --------------------------------------------------------------------------- -- Create a Maintenance RapidIO frame. --------------------------------------------------------------------------- function RioMaintenance( constant transaction : in std_logic_vector(3 downto 0); constant size : in std_logic_vector(3 downto 0); constant tid : in std_logic_vector(7 downto 0); constant hopCount : in std_logic_vector(7 downto 0); constant configOffset : in std_logic_vector(20 downto 0); constant wdptr : in std_logic; constant dataLength : in natural range 0 to 8; constant data : in DoublewordArray(0 to 7)) return RioPayload is variable payload : RioPayload; begin payload.data(0)(15 downto 12) := transaction; payload.data(0)(11 downto 8) := size; payload.data(0)(7 downto 0) := tid; payload.data(1)(15 downto 8) := hopCount; payload.data(1)(7 downto 0) := configOffset(20 downto 13); payload.data(2)(15 downto 3) := configOffset(12 downto 0); payload.data(2)(2) := wdptr; payload.data(2)(1 downto 0) := "00"; if (dataLength = 0) then payload.length := 3; else for i in 0 to dataLength-1 loop payload.data(3+4*i) := data(i)(63 downto 48); payload.data(4+4*i) := data(i)(47 downto 32); payload.data(5+4*i) := data(i)(31 downto 16); payload.data(6+4*i) := data(i)(15 downto 0); end loop; payload.length := 3 + 4*dataLength; end if; return payload; end function; ----------------------------------------------------------------------------- -- Function to convert a std_logic_vector to a string. ----------------------------------------------------------------------------- function byteToString(constant byte : std_logic_vector(7 downto 0)) return string is constant table : string(1 to 16) := "0123456789abcdef"; variable returnValue : string(1 to 2); begin returnValue(1) := table(to_integer(unsigned(byte(7 downto 4))) + 1); returnValue(2) := table(to_integer(unsigned(byte(3 downto 0))) + 1); return returnValue; end function; --------------------------------------------------------------------------- -- Procedure to print test report --------------------------------------------------------------------------- procedure PrintR( constant str : string ) is file reportFile : text; variable reportLine, outputLine : line; variable fStatus: FILE_OPEN_STATUS; begin --Write report note to wave/transcript window report str severity NOTE; end PrintR; --------------------------------------------------------------------------- -- Procedure to print test spec --------------------------------------------------------------------------- procedure PrintS( constant str : string ) is file specFile : text; variable specLine, outputLine : line; variable fStatus: FILE_OPEN_STATUS; begin --Write to spec file file_open(fStatus, specFile, "testspec.txt", append_mode); write(specLine, string'(str)); writeline (specFile, specLine); file_close(specFile); end PrintS; --------------------------------------------------------------------------- -- Procedure to Assert Expression --------------------------------------------------------------------------- procedure AssertE( constant exp: boolean; constant str : string ) is file reportFile : text; variable reportLine, outputLine : line; variable fStatus: FILE_OPEN_STATUS; begin if (not exp) then --Write to STD_OUTPUT report(str) severity error; else PrintR("Status: Passed"); PrintS("Status: Passed"); end if; end AssertE; --------------------------------------------------------------------------- -- Procedure to Print Error --------------------------------------------------------------------------- procedure PrintE( constant str : string ) is file reportFile : text; variable reportLine, outputLine : line; variable fStatus: FILE_OPEN_STATUS; begin --Write to STD_OUTPUT report str severity error; end PrintE; --------------------------------------------------------------------------- -- Procedure to end a test. --------------------------------------------------------------------------- procedure TestEnd is begin assert false report "Test complete." severity failure; wait; end TestEnd; end rio_common; ------------------------------------------------------------------------------- -- Crc16CITT -- A CRC-16 calculator following the implementation proposed in the 2.2 -- standard. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; ------------------------------------------------------------------------------- -- Entity for Crc16CITT. ------------------------------------------------------------------------------- entity Crc16CITT is port( d_i : in std_logic_vector(15 downto 0); crc_i : in std_logic_vector(15 downto 0); crc_o : out std_logic_vector(15 downto 0)); end entity; ------------------------------------------------------------------------------- -- Architecture for Crc16CITT. ------------------------------------------------------------------------------- architecture Crc16Impl of Crc16CITT is signal d : std_logic_vector(0 to 15); signal c : std_logic_vector(0 to 15); signal e : std_logic_vector(0 to 15); signal cc : std_logic_vector(0 to 15); begin -- Reverse the bit vector indexes to make them the same as in the standard. d(15) <= d_i(0); d(14) <= d_i(1); d(13) <= d_i(2); d(12) <= d_i(3); d(11) <= d_i(4); d(10) <= d_i(5); d(9) <= d_i(6); d(8) <= d_i(7); d(7) <= d_i(8); d(6) <= d_i(9); d(5) <= d_i(10); d(4) <= d_i(11); d(3) <= d_i(12); d(2) <= d_i(13); d(1) <= d_i(14); d(0) <= d_i(15); -- Reverse the bit vector indexes to make them the same as in the standard. c(15) <= crc_i(0); c(14) <= crc_i(1); c(13) <= crc_i(2); c(12) <= crc_i(3); c(11) <= crc_i(4); c(10) <= crc_i(5); c(9) <= crc_i(6); c(8) <= crc_i(7); c(7) <= crc_i(8); c(6) <= crc_i(9); c(5) <= crc_i(10); c(4) <= crc_i(11); c(3) <= crc_i(12); c(2) <= crc_i(13); c(1) <= crc_i(14); c(0) <= crc_i(15); -- Calculate the resulting crc. e <= c xor d; cc(0) <= e(4) xor e(5) xor e(8) xor e(12); cc(1) <= e(5) xor e(6) xor e(9) xor e(13); cc(2) <= e(6) xor e(7) xor e(10) xor e(14); cc(3) <= e(0) xor e(7) xor e(8) xor e(11) xor e(15); cc(4) <= e(0) xor e(1) xor e(4) xor e(5) xor e(9); cc(5) <= e(1) xor e(2) xor e(5) xor e(6) xor e(10); cc(6) <= e(0) xor e(2) xor e(3) xor e(6) xor e(7) xor e(11); cc(7) <= e(0) xor e(1) xor e(3) xor e(4) xor e(7) xor e(8) xor e(12); cc(8) <= e(0) xor e(1) xor e(2) xor e(4) xor e(5) xor e(8) xor e(9) xor e(13); cc(9) <= e(1) xor e(2) xor e(3) xor e(5) xor e(6) xor e(9) xor e(10) xor e(14); cc(10) <= e(2) xor e(3) xor e(4) xor e(6) xor e(7) xor e(10) xor e(11) xor e(15); cc(11) <= e(0) xor e(3) xor e(7) xor e(11); cc(12) <= e(0) xor e(1) xor e(4) xor e(8) xor e(12); cc(13) <= e(1) xor e(2) xor e(5) xor e(9) xor e(13); cc(14) <= e(2) xor e(3) xor e(6) xor e(10) xor e(14); cc(15) <= e(3) xor e(4) xor e(7) xor e(11) xor e(15); -- Reverse the bit vector indexes to make them the same as in the standard. crc_o(15) <= cc(0); crc_o(14) <= cc(1); crc_o(13) <= cc(2); crc_o(12) <= cc(3); crc_o(11) <= cc(4); crc_o(10) <= cc(5); crc_o(9) <= cc(6); crc_o(8) <= cc(7); crc_o(7) <= cc(8); crc_o(6) <= cc(9); crc_o(5) <= cc(10); crc_o(4) <= cc(11); crc_o(3) <= cc(12); crc_o(2) <= cc(13); crc_o(1) <= cc(14); crc_o(0) <= cc(15); end architecture; ------------------------------------------------------------------------------- -- MemoryDualPort -- Generic synchronous memory with one read/write port and one read port. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- -- Entity for MemoryDualPort. ------------------------------------------------------------------------------- entity MemoryDualPort is generic( ADDRESS_WIDTH : natural := 1; DATA_WIDTH : natural := 1); port( clkA_i : in std_logic; enableA_i : in std_logic; writeEnableA_i : in std_logic; addressA_i : in std_logic_vector(ADDRESS_WIDTH-1 downto 0); dataA_i : in std_logic_vector(DATA_WIDTH-1 downto 0); dataA_o : out std_logic_vector(DATA_WIDTH-1 downto 0); clkB_i : in std_logic; enableB_i : in std_logic; addressB_i : in std_logic_vector(ADDRESS_WIDTH-1 downto 0); dataB_o : out std_logic_vector(DATA_WIDTH-1 downto 0)); end entity; ------------------------------------------------------------------------------- -- Architecture for MemoryDualPort. ------------------------------------------------------------------------------- architecture MemoryDualPortImpl of MemoryDualPort is type MemoryType is array (natural range <>) of std_logic_vector(DATA_WIDTH-1 downto 0); signal memory : MemoryType(0 to (2**ADDRESS_WIDTH)-1); begin process(clkA_i) begin if (clkA_i'event and clkA_i = '1') then if (enableA_i = '1') then if (writeEnableA_i = '1') then memory(to_integer(unsigned(addressA_i))) <= dataA_i; end if; dataA_o <= memory(to_integer(unsigned(addressA_i))); end if; end if; end process; process(clkB_i) begin if (clkB_i'event and clkB_i = '1') then if (enableB_i = '1') then dataB_o <= memory(to_integer(unsigned(addressB_i))); end if; end if; end process; end architecture; ------------------------------------------------------------------------------- -- MemorySimpleDualPort -- Generic synchronous memory with one write port and one read port. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- -- Entity for MemorySimpleDualPort. ------------------------------------------------------------------------------- entity MemorySimpleDualPort is generic( ADDRESS_WIDTH : natural := 1; DATA_WIDTH : natural := 1); port( clkA_i : in std_logic; enableA_i : in std_logic; addressA_i : in std_logic_vector(ADDRESS_WIDTH-1 downto 0); dataA_i : in std_logic_vector(DATA_WIDTH-1 downto 0); clkB_i : in std_logic; enableB_i : in std_logic; addressB_i : in std_logic_vector(ADDRESS_WIDTH-1 downto 0); dataB_o : out std_logic_vector(DATA_WIDTH-1 downto 0)); end entity; ------------------------------------------------------------------------------- -- Architecture for MemorySimpleDualPort. ------------------------------------------------------------------------------- architecture MemorySimpleDualPortImpl of MemorySimpleDualPort is type MemoryType is array (natural range <>) of std_logic_vector(DATA_WIDTH-1 downto 0); signal memory : MemoryType(0 to (2**ADDRESS_WIDTH)-1); begin process(clkA_i) begin if (clkA_i'event and clkA_i = '1') then if (enableA_i = '1') then memory(to_integer(unsigned(addressA_i))) <= dataA_i; end if; end if; end process; process(clkB_i) begin if (clkB_i'event and clkB_i = '1') then if (enableB_i = '1') then dataB_o <= memory(to_integer(unsigned(addressB_i))); end if; end if; end process; end architecture; ------------------------------------------------------------------------------- -- MemorySinglePort -- Generic synchronous memory with one read/write port. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- -- Entity for MemorySinglePort. ------------------------------------------------------------------------------- entity MemorySinglePort is generic( ADDRESS_WIDTH : natural := 1; DATA_WIDTH : natural := 1); port( clk_i : in std_logic; enable_i : in std_logic; writeEnable_i : in std_logic; address_i : in std_logic_vector(ADDRESS_WIDTH-1 downto 0); data_i : in std_logic_vector(DATA_WIDTH-1 downto 0); data_o : out std_logic_vector(DATA_WIDTH-1 downto 0)); end entity; ------------------------------------------------------------------------------- -- Architecture for MemorySinglePort. ------------------------------------------------------------------------------- architecture MemorySinglePortImpl of MemorySinglePort is type MemoryType is array (natural range <>) of std_logic_vector(DATA_WIDTH-1 downto 0); signal memory : MemoryType(0 to (2**ADDRESS_WIDTH)-1); begin process(clk_i) begin if (clk_i'event and clk_i = '1') then if (enable_i = '1') then if (writeEnable_i = '1') then memory(to_integer(unsigned(address_i))) <= data_i; end if; data_o <= memory(to_integer(unsigned(address_i))); end if; end if; end process; end architecture; ------------------------------------------------------------------------------- -- MemorySimpleDualPortAsync -- Generic memory with one synchronous write port and one asynchronous read port. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- -- Entity for MemorySimpleDualPortAsync. ------------------------------------------------------------------------------- entity MemorySimpleDualPortAsync is generic( ADDRESS_WIDTH : natural := 1; DATA_WIDTH : natural := 1; INIT_VALUE : std_logic := 'U'); port( clkA_i : in std_logic; enableA_i : in std_logic; addressA_i : in std_logic_vector(ADDRESS_WIDTH-1 downto 0); dataA_i : in std_logic_vector(DATA_WIDTH-1 downto 0); addressB_i : in std_logic_vector(ADDRESS_WIDTH-1 downto 0); dataB_o : out std_logic_vector(DATA_WIDTH-1 downto 0)); end entity; ------------------------------------------------------------------------------- -- Architecture for MemorySimpleDualPortAsync. ------------------------------------------------------------------------------- architecture MemorySimpleDualPortAsyncImpl of MemorySimpleDualPortAsync is type MemoryType is array (natural range <>) of std_logic_vector(DATA_WIDTH-1 downto 0); signal memory : MemoryType(0 to (2**ADDRESS_WIDTH)-1) := (others=>(others=>INIT_VALUE)); begin process(clkA_i) begin if (clkA_i'event and clkA_i = '1') then if (enableA_i = '1') then memory(to_integer(unsigned(addressA_i))) <= dataA_i; end if; end if; end process; dataB_o <= memory(to_integer(unsigned(addressB_i))); end architecture; ------------------------------------------------------------------------------- -- RioFifo1 -- Simple fifo which is one entry deep. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; ------------------------------------------------------------------------------- -- Entity for RioFifo1. ------------------------------------------------------------------------------- entity RioFifo1 is generic( WIDTH : natural); port( clk : in std_logic; areset_n : in std_logic; empty_o : out std_logic; read_i : in std_logic; data_o : out std_logic_vector(WIDTH-1 downto 0); full_o : out std_logic; write_i : in std_logic; data_i : in std_logic_vector(WIDTH-1 downto 0)); end entity; ------------------------------------------------------------------------------- -- Architecture for RioFifo1. ------------------------------------------------------------------------------- architecture RioFifo1Impl of RioFifo1 is signal empty : std_logic; signal full : std_logic; begin empty_o <= empty; full_o <= full; process(areset_n, clk) begin if (areset_n = '0') then empty <= '1'; full <= '0'; data_o <= (others => '0'); elsif (clk'event and clk = '1') then if (empty = '1') then if (write_i = '1') then empty <= '0'; full <= '1'; data_o <= data_i; end if; else if (read_i = '1') then empty <= '1'; full <= '0'; end if; end if; end if; end process; end architecture;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YqLs8luoVD2LOx7hLHtumeWWjLsgVYZwDzNhcuP9ppuB1zekOAbOVLgm98uBKeQo1HKdKN1Ib1d2 FfyN3T5alg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SHVUJgndtshwUv/pYQ5e5nU3PoYWTAxANZeYDXWQtEfdNrwBd3FxkD0UV37/Hq4Wqjo00SALlJ9O bjlG3fWqCDCJXeemzliXBvXbwc5p3JEPm4Kj64TxKW1ytdbquoCvUqMRtjFC2281qE6bUPV0Yx7N vNYO3Uriyeg1YeXRr7I= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SmIAZg4+ZL3/Q5FBXzxTp+qRw6MWAhXcZUDyiIgoJwLlzQ4jhkw8MZYroUxwmdlUWQTS4gdEjG2U wPsf/C1w2gYUW5KmMGcsMrdIt60AmN+4/pt42er08WOnLAetspyTXiLzOUMPcEYWOctUcNkj3wJt Dz31sxqFu6E8W5zInwFODkt98N/sBb7gr/yKmoLw8pxm4L7IXpwqbboWgn3zZhWAls8LXLjORq9E FwrrgI1V7kH5XgCOMWDjKpi76h463pH1DIb06tIEzOMVezTKimdwjhIGqmxvF5+qFzFMnIy2HLAT ca84by6hFJ/AfmxtjxDplAKw+XGUDfboE2GIfg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VsVagsSS80a+xvWFkXtXcXs+PiK/k5F0A55U307sLelkeGBRQjYsjXGIKCNxHLDCva16Kt1637Sp duxxnmAIDnHPgvNDWi4rmh6C4KhlVEw3oO+GV0QA4wgNgsP2SxFSqL9OinZ5vjHkTo4QQMmMQWyW TRmOG27NUoLnXexpmvk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block I1RqihoX5DNeyTGlmTYdJDyQxTwUVKenNZKneGJgeDEfnaq9pi/V8xuyN4wP1+lb375lYNUlYpnT eyO1JYpe5q2bKlBmQIQs5Er88JwJOp2J3wNn5oZzsIM2wXsIKwWng5xLUFxxxcTHXFlqwFT7mPbe oQ5ZBnm+Aw/ROZMx5JTG8kjvAQeCILXiP4Kdk1GrQ7Rfg6FAHuMty00z9NpAAogmElrLeGHMbb3+ 588Pbm1X5j9q8he2g4LU2Nv+gteagJAUjrxFmFUJ5e4Z0Cw/5IP1cXjBk46iOtQjqoSjyYa3w5gm ouO6vyvoKxv69isxhAclp9J5n6YuC7S+jvamFA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25744) `protect data_block +IfSizmIIiHcz6llzlkv2P+SXMT+eU5tCmVG+s4w3sqA/Izm7LWO5Xp9Luqd22CuuukMfUmpQpXa JqSiBxTxvPwWC0T8ydsswBN9wcRcHGiErJwDDyii/7dZH2xsfT0PzLJVzNpNyWxhA1eW9nwO9otG lNC3CKL0sWcVovlUJOJCAc0BnB74c5Q/4Cl09S4A64F4WAGPxOAVigGcuHNUZk6/zJyXLf9BPMVA +wEOk7IDWc/+L4JscLa3obmt7yI4SJ1BiIhyeqDNW0i6FLww5ygvlw49I7n8UzPGUHV/rb3+rC92 +XUi4l3DzSMC8eZkyA1hw1Ed8LZOKIWNuzQIBHlElNkjSspc4AIdXQIIRnWyK8N/hYcvvgToAASv W0FxiyyJiR1zWVDBKWWEIqXUd3aFCFcQFlQUNK/rRMU30aNWeY4u+q7JkbfPX83XhhcIBprxbAKs /OBLJ3wDfsnFcybWdAXg2cf1umlVTgS6Sm0NA/uq9Jh6VqVRnB5cOd6pnEGb9/pcPb6A5gZjSoDk JOkP1VlCKddnU0RbWiAMLkqJVSuQuFWkJNO5vip4okfz1RwPm1O7+Qi25b8pApfSI47XxfG43eg8 bF9FjFDXmP5L70gS1GlztalJhWlthwd4mSxwhoi+3AmZ2GftDD8qhXSkGolX6z5tKzJRcW66A7u4 NRebdF71Le3cwMSq/h95EIwQ3UomU4UJdv9D9W8dVHEerQOUO1Bq58Sp7w1EQmc0EmE7hrHl2WCE iLgGxFA2jVQz1g+Mai25dorkapBzhmn8mkgJi/CkOB7o5xuN9Kph8+PhPEVMmAvdXomiopR2g4Bb bS2UWDRVBThuRED2ll3I6O+C1cfmAgPlsUN63pvwzVje2ktTc8F5TklgdrEtJKWnY1JdUJ86f3Fm XoZooa4A2MQj7DexGMI8Ws1Zu/ay+YTzytwKmeZtD9iElwVUATz+cNsUqVNZ6ziqBMv0HkWeZJuv /8+tPrJfd2PCHTFGLPtntV7Kb3ah/AHGdao3JaUnW0+ZAgWTh4pEA1iqm4GyVDKYfUhkINpEyG3W h7bQJ1j9gmLwSEuwcYI4RBCcf4GBLSwxblXqJppEVtQOSrSFEbnzRQ7gRTYxvzDuxX5qoI3SPfjc v22QT5o76hsE1EORkJExZ59SwBo6L+uTRRBucKnsChIknvgPVDyJB7l//UnVm/yd4w9Fsgks+h5t 8YpWatvt0DcIEq6Cu0iWcEGsflX9DzF91SNeHHIouWQYegBUBGKBX5iYlLcUgiaqM1ss/xOIwXyt bVCPD6y3wG25o0mBpxnmolNwBTAi/DzzJLALQlyjD/H2DeXjFXVcsv7A/MF7edKEchVAQ5RLGAve ikUjK9IjeVkVwXY3E+c7K88ojSEYso0XT3HFpwpO0j8iSya3FKSOKWIMU+gPPCZ/kwdqiHok+tXA EYU2H95B05CU5JITuE3ebOKd7vkkJfGeAP6gjvtocUlkxSOLxMecTy0AjVk4kxjUIKA0B1ZfYBfe OAGFHy3a9WwyoBK2XaWh+Q9pSbnoMVPZgZgKrYK3bBAhubKMysCGF5ZqgKkU0ohS/A2x4dh1eVac 0rvCVK0koA7o4N4aizS8ngJ4Gn9AL7aWQ4jfyNOyZxn9NCj8VZ2TlwDBO92tL/XC8rw6wOx70nOP ci47/CYz90Br7MGlG2uZgUIbx3zKSu+HdrNgl/EgOaWGgmIdO9gqrZ2CWjo48/B2ZK2FnaB9APDG djb1PsFVUfEEfhwze/Envr1GmbWprgUkn6fmlQNrjzZy9/BF7aoH50Vq6GQqu1tTuhYoqmHQ90sF Yfmgea8w1aAf4j3hOpytmT3oOFyjQeDWyxbkmu0MQkGAKvNf92dDm/Kw6rimfTBEIsu5C/AQ7AcA PiNlOEN8bibj1pdze0YzXAdoJ1GwBBcr3FsEDBe9sx2toix0a69N1LTm4hfVNjSUliZHJ66ZUi12 W8RwN9rFV9/E2mvD8mVfp7CE5mhN+AAyEWPZDq4hSER1TIXnb1XNCNo2zAriWlI6aR1Qo6tgEJy5 tH2WNKUdMw9SHcWFaJ5yMDunMXcQQcBxTa2bbAS4sDLJf3kP0EM29/CysKLHmgEfUlOA/vHjgEb7 tc0rfD0f+cVGShqzPrDtgixDDf7WX0lZPpNgq7ISdnJ07VFDQTzVwCtQj+rc3rR+CHeea29xvjxV v/XllqbHsFaJdfKeEVbYgFzDXt1DbG1hq7uQmULuW01Q259/8AwbP/2IxLIdBqjX4lPB6QwCcFwR mszSKFpbT7Q0wTPgjlO1oOYECB2mE57ZOCeLM5xPzNQod8+weSTWMTU51q8Q3F5vWBeOi1NATS/J MLbgXOcuiPq8qiB6MBUZdSzqFUXEgVDsGppxDdWXAcIeOZZ4GeR40aM4mfY1hKLUA1Qw6ZoJoudV SEDjZ0G+XA3PmH1XVNmi0znwcUokd3SQSEEQNDtXw9OD5gpJVzah2LTa6ovz3LRab9u8jHOPvXFA oneR7SHp4YUvZORSSuX0hWgDBns+ffJnAY1yLWdVWyAnhtiewnAqSoAlctpFlLdYdhrehPDHHHhY 0cXB8kVP/O8xerVo1QgVOInmLtNnFBODy2iJpvr0L5SD/aXhY2RET1PCO+PZwCJXJq/0C98BuiME tpqtG8bCzfxQgo1KOOYxXgWSZAC+L5fAn0itBO95OadyBghwLNziPLEGjJVF47YaoDk/raVY1s6m ionfMa07y0Cuy4bWiTvGzJWh1Rt7HkyjQ4v5X0U5yMD6629xFqzjKJw80qun6/hekY9DiUll5TXJ D7UnOS1HAn3F5Y9xEbTzRqefB3XYwYpJbA+bQwEDAbSIyXy/APUWlqE2ulsQLMi3ks2VlEEw8b7U Su+EKmj0zC3yme6Y6hB9VZICdjVznP/N7chROWXWLECzaCZtBMzKfuqJUEor3NU9d4rXmoEj+ijP SOvJFjjBhKGfSMXQgj3yVviSHiawldnWTFZPCeo3ZxE2YQwKWWJCMDNOel3pO8gpFWkn5YS3zLdK AmPAYSaQYmpr80Gry0gVBiKgwmk7dbUDJU6HRO0K+6SsWEiG91y6Bd7ZRegdoTd8AM6x4mXRBOi3 gCfAnEN3SM+yXpo1LRCESPSpz/HEYC53Y7EwybSe2Cj1TyLs6e58EACij4kCqGRVLn+8toXXzJoq okgcVeYR4pW28UrnjNu6WCZrVXz/1sEDufaX5ZysRxN8ehgqryXr0dKux91ibjCzgmP7ZGajjYS0 dDnakPx8jomlrWptf14fPfoD2pMtiezCeVgm31MYt716Dr0t+F5UZYPW3OfHnXZGkps7LIMHRQJO ZvoUIQF8ca8S7/6BVhj19hfetQV0DXSH008fRBA22Rw9/ZIM86Ra4em/OAWv0oNc4kYUyjS7+0t6 WObx5rLsJKmaN51XskVxt0DghJj+M0Kzi5FB0vivC7T/GpOy2UJIylwwfnD0L3IgrD0sd7vHOHjm 5kO+/L5/kFEXViogF4QypoQn7B81sdumZSjW1Vv1FVu4XGQIaw2JLciQkUqtfINPicNH18mhUx5y jjKPCLm3EQ+AS+az4OUryE4vYsi8R0YoJ6cSaBz+YeKL9h5S1sdZPKZJ8lEjJ3mO5PO28bjwoCbR NoNCkkNUXGn5tghPNxKaQs0jHcYgajVGfSOcTGRe3oZQr2OjJcowbJMfI0SEDunMbhCNgSZPlFrO qQ6+o61zxhVMWjgZuPWJOiUjK1Ju/z+FAzDRwj1/nG0BRx7Y4e084lLTRR86UaBN3n2X00XoRJbd mBp6QCkhSdd9W1+W+4b26Oiqo/Gjwy3TrVRhLCWSZx+EGNXjro2yjd9gZQ4tAfNzTFoVW6Lszb/x 6A1G7nN2w6Urzg5cPOHgQsB4J9SJYrU30e39svjf6uGyo8cuPrQKU7gkjaClD6DZcUWniNUDblQk Tw7K1408SxEZ8G2zdwuzx5683xPn6IoaBPc+zTcrpcFyFlLW7PUYcGyCjBgUaYKsSUYwEgHB0xTJ UEQyNGgH/SMetiTw8itHJkheIEZ0HDYiXGk5cVHEVqreQ614IGT1Cp++CmZHDYj+6Jd+Xt1l+HiJ Ia/pTPcbhaEcNWR8PqyL2EbEzLST9RESB9974q/RYUXCrRlsddgOCkwwWZOJGEEg7umzZialYi+Q WBqXeDjwQwPxNfr/cRUCqu6QZyA8miU62Fpss5QWKpn15FHQdL2SDybRDCrq7/6zgQqioX7rYZpj hp/pW81ByifNf6yCeBHRU/MQ4gNA7cQVZmekt/rPb/DDTU9iyiWkECIfJ9buBEJEejqcdNgCp6Ws lQkMZPyCyKmo6WauK/vxfpFC2PHfiwMgf5yuUR2ydoCTglEWL3u1CyF3QmLJt2b+7VIFVFsEWOLX VGzFsEL1d0b+cTS1ufQr7qQpRcK9cUJqwa1aA2JPS0so348S8/SgzE9UflKULwQVc7ezCHNqRGwl Uqlh9uzqCB4dtfW9m1nGjXJd7LJhm89eTE3TOsvco8r72z41x19VZl1opRJgJWw9snE2ZYWp9VYw n9OTvASVgEuRMKWas5Xt2QfYrpe+jz7/rX2PMUjvNBnaHav9aRMKcwPYKUwBWvjp78odAsIAN9JJ qVYKjVyz93ww8rXMx8Ktusdx5dJijqSJNPBE1DHBYd6OalAi7tsD/So8sGJ7Bsznm04DMbHndMFX 2Lhpcv3xRP0CF1LRfQyXtYfh1iLdxwtYs+RVZcKGUWtC2WxSdNDqMV51+pCpJIvip3iuiJVZFLM7 J1jLRKv1t1iKayRcCQ+yx/qL/99SDC77sBgzI9Y5QooMj99Cz1ZAqv65/bX6n23ZqtO79n+MbyEr 8BVNMEByZHgpWlcySzaUnOcTkt5MSVv4xbVIrf5sQNxOf4YfdKkz7bnCA7YkmOWJTLju8lGfOphU eSD4dGCzRIZqYAOTYwZ58Ebf3G0bQ4RS68AqsQj43hfL+umqz+Hhfel6Zfc4xvNybO9bDOwH9LF3 DNgf3gBXTGR1xKUG6aBC4MzB3SjcNB7hWlBL4aPioNFNLke6Te4l/UuDwtvzD5x5BtbEEbpJLw4+ w5vLcH+GDN5l9KnuPYUS+F1iSLgJAwgPJhAwVRq4ttaDkgDJng1/jB9MRx00zcfTWKRSvc/QR4Bw uzDeBReaGgd4WCFopb4lc8GML62chJBC14QxRNGgDBIZn9E3XmcGtI0CkjpvYdKviESzqfUCeb6/ MSXFYwbUxxnEgTMxrYQnywyMa+UIjlLMaa8JewDcOMc3m3JsgItlSbDdKC0ZRhyGpswNhelsK8Dj qRcEAvxHmVb1mw0/ajLdUvEG2FN95tihDgAfc2L76+BYwS+BrL/XgydNlSQM9OeXqV/ZOsGSAW0q giHsb0PlUL7oijE1PV4MRDVpCymFq8A+EaaWi4lTwKeVpXYr8hB9QUYib+e4qMXHLerNVtU08X4o SHBlXzkinjM6Ux7bNyWdAwmyOUoeEmZEMW5iOMJQXiGQNwPLh/ujcBXUNljspFBxpAtltxUvtYFc 4e2PDyLnTf2z4De3qU+h6xoiXo2uWhujh7R0AVF0tbBWvkqVXJgDxIgkna1SJjtgPdFEO06KdLwk 3ApsG7xaRhz0/n+fAGjrxbmCpAE1PCEJjrpm+eYpXJO7hrZuWuhfDiqWWR5BocRb7F56AcWRE/lu /L3igmP2GCEks4IFX6GjAQP4gQJEfXUAol2QQ6nMuTq/JhY1C7eKLuxu0q7iU402liyaE/07zrdU +v/Ik0gAnV8+ArdzJAlyjchw4BBVJMpfCIiPlzZOjh3JXRR93PdwB0NsLWtkmgHxLTxEDlBaQymp Puxmul1qIgZzOskhy9PHvpPEAC9I/z852ouMgj95oxZYitEeIowpDT3rkb8VoYNV9Y3SGkj0X9Zc 3C/lDyeW9mUgRDSFDPlCSQV3/z4q6C1OKIsjgAkSQs1GjZ4dHEQXSOM/jR/5U6uOmMBDt4tudBXD 1wgnK4yUHlrfXt84IuksiYgsNAI0Rx46yFcGFI+e9D3noI4oZYgizNRtq9Fkg7mxCR0CY4DMo/57 hYeMn9aYe47d83uW07hbOS4IHB/PeXTSm4POsXL2SKfbBbuDPFIjPfafpyG4oL4WGwzLI+ZzhqX3 wX19uQK7lL4CeWOB9OVZjm2s3VU0Q9bWUvavlkyOrGcHfSmpA/uGSR6l5VHrKjCkdH3jNUbFqUJv Kaw/Bnj6uAZanYhuiZSj8Jm6Bx1GUXYfXWziM9iRLs0SJl4096mv5AZQrOX6bFU0p9vbKP2+qebu +V9wYQwtdP6Y3qFA38ukcp6+PNQjXLXy/nuWGj+bA8vlPOHzCrCGVG14n7s+H+E097IcEJ28Jwup KaPcjMJG3+Z8fw27tDpioZXmZIgPvbMmeb34mSg9aXqzUYNr6oOGAg4kdh0rfrPTCNQId/7mEcW9 atwlLjs2dpPd0QEVGAnnpTqXic4VVAifGEo44SZS5kAfbDsVWTbQ+QlQPWqqZJczjKWDXOb0fc13 avYLSm1tfGIT72sqWyuojp2uY3a/J9CicDZnSMdVkE1INfAuIaJViMjRZQGrUtfNpZ8B4zLIPNTp j5thGznqQoa+E7MuZLfwVWXLPaXtaj/4VLZFdqM5xIf+Gs1SbLYSkMvI22WAbjl7joqr5WTOcd7J EpLu+cdp1xYmCQGia7o9MmP39dxben9QLNciPkcho/BFB1FvvkjeZwcpeTUUWqr69tS4wxaEUmpu KQs4uBl8xX8Nhscbiqrq/M72sqaUUkl6FwdcIF9cMOFGhDvBIBNcWzF0d4IIbIJDR/Bv7tGbG8kM SLz74oMHUevI8HYYZhgPQGfVsttynuyLwjDk6QPhr4Dz1lLKH5Hv/V7q1/htea4P3tq2JTexsnSA 9LljacPXzw4i8VrjjHV7+5C2C1hpyklMX+1pSSYIBPGdpLdASdvPtWa1eLEb3M3VyKHqSmU3ClSp A0ZzquP1N7+qmb21xeEAbMZjYHQFO7A9hF6LQcnFD3iLu5I2x0T0Sm55Ft4xK7QSWjxGm/NaST9D /nSFDLnXplG4Ll8xAeGow7gyJ3AOO57VzrVs6U/BIsTOYlD5CJXHBdx/o4lG46vDOST03dxwIYJ0 GZUXt43/6/NjOPCcT0MEumj2CHqmMRs5ATW+07IM8YF1qCRUh5+EQ3Kt7BQ6kAwU2GJdVlcIQEGc 3T2QqXbr2ZOg3JW7H2jTeoyqWxUjfKluYyhKNxlJw80N6HFaa/04tweS6Rkg3yK8X5zYc4RLdnRi diIyWV7XGSFoU8ZGXhQRX9gkbamyQy9KVRPNdZYJMXJRB3zsicDPF+YRjUfcW8AB5WwhvZLBFXJu Qw8CJXLjvOfDYk76Zggj2i2I7RkBusC4texIKKPBoveP/68jiRJdMHrohFWsXqzzErf2zoxoXyi9 eKKVdLk7VRuELyYs0m0BDMCT3j4oIE49zy8lCsywqNoBfE5kUuHrHoHaEOm7cYEFoKacSvCzj93E aTsnuhnuSGnM2kKQRXLbmuZJALwUj9lGMxNHHM4XdaN9YKutCSQ0EOQi56mT+0fczGYDkneKGYe3 pSXqZjUbVOUNNimHTT+b/b/fR+n5vfGRGa/fFI9Oeo2G9drn21KRe3aNCN7MJSRGyXhFovEiCC6w /O1lhsQSkJ8dmbMHAi01p9QxPkdR7XPaFgwHpN04RCwS+omNmrn9EGjggH0xRDF1l9DxWzOTWTOQ ACv0jQlpsTUyetZ2i0XBXS9unDC9e++2qXLgiWEvCHsozj1OrTn6u47gCSNgX7NH3Mc0+Ykbbkkq Xbf+ZHHLUvPihtJhk0lnKvSqXLbdxHKJ7x18X7/jhmTPhC+4O7Tul6VmsG/lspAcaZ7o3otVWiLM nBLgFHRcBIocGLEGIjdIiSkPmq+H6I2/d/YZ1fA2DlQJi1aUdvQHLKMpHdOe2yPORh5iUnnyq+aA DAl6D6EpVaqefhy6JvvbPFPc0ab39HbEjr6ZeuRoQibMkRudu+SsHRINw9xy/aKTvA9k8R+VyN6k S+dlBAvy9sp2Z9zfaIDi/Vt7a8oliA75UwWrnxTVTc9p+5Dpi0ihwn90YOWNt9I4HPOPtJiiUO3L R54GYjgQDGSQ12nQcnPDaisJe9wVSRgBtgRIG1/3F7OnMioOVAPjUD8pyXkAUUMdc6A9cSHjukPi kUnvcRV4Lp4AAAmSZJ89R3fzBfcYpZx42xZmrfdw3dKeJM8slJe2/3TH4Ks34HE1DmMLLaD0yrMZ 7jW9vHGbKvcwPiSu3vumZYm3sJ8OW+U9XOVm05Y4vPh5eSKmlil3kDRM7zMwhLzUp646OzWKZypD XZ6oSIrQuomHPJ2FJVRrXjqNF1TIk0C9Kg2hfyvqraMySPV1VoGii7qk73FwzCiL+pMzRIP3LRgh 2Dq6WlcJPZpyNPHNXv/n/EgWbusjTyi5p8h1EiuSrZDKTp2caAKGovz7mwerob8xP+m7z8ucaFDS 5lezLgKux5fzWlguKqJcAmZ2juMYxHTrB/3ebFGnfTOUrEIqXI7tOVW0zePYltjhz5BcvLnecNsm TplhRsLEjifG9hEWnxQQeULr+sYFy7UaMdghi3XKBzBSl8FvfGj/mrpuCwfk410g6RKRpDQdeHYP wrDtZZ2cpRLFQIQTEnU5+ngb6GIKcRjhcbHTQMHfjhewQJ4//VvU+wuoNtQiJ6vqAVsZk0iDqS+J tDl/C3DNfoNqM3j0BcNCVQp+spgGKQeAok2XJakZiJYH1AgHrwoJfsV166NKYsjIinvo64ewOYLJ 9YPYf7dikf/RQIXwWNm7HAIib5EeNdby2732ZH6CxYeSbzzXwYosty0gPePdzR8/F19HByGrjmq5 8Pw8DUrnZzgfWngBxLSSVuQKcR0mh3QgjOZnNquOlGX8CjMf6DuTbD+6mAJPilwf1F6CoG5zXusr MooY4wuGKzqkGowRnYd1avCypfEdcd+dO/FP0FjUAM1yfyoelJdpi1+Ocel01t1nqrKZBR1iwaNw 9rDh7CvpZwKeFF0mFmkX49KZDbQmOwgsOhD676deNLFjTclf8AS4E7WZEYnkQfh4a7o+3YSs68GK rtKTjGoZoZc5nlRclnyRbIccYbslgMjReaCyet1cJR7HAxq89VSD4esjHLtHqHDo00VkDw1kgp9y Hgx4kpaUyNQu/pWqUxlfSs08zgm67+fowpo9gmoRTjOM5qt5MuVzx3aKOu1Ei6t+JDe+bWVIILo0 6VNPOjMfWaK+Nc7radz5tjeC56eBnuJGaivft7yZ056mMtmn9+xonZEH9l9UmQxZkG96iU6RbG0q ZbUWOBy9llLMWOeagOIa2Eb1MPjT8FTRoKU511sCnmh9pqjXwDUZbNx2UqnCYqU9FZ4YhMo8fMa7 W02SNU+1dgYRcJnQwGHysbnXlhAURzy4Co1qo1ZgIDJ6aKSMfa7JKdMXwwMZXMQatdBotHkCfj// Ek0oAfDHI0xbuVrkRaVwF05VB0b57/m40fKhVvDVZV1DjZQoq0DqlV0vsrLjVDLm7mexcSBLgBr6 /icedv0u9sPV3IXoWJUIpjZnxKf9EUS4bVIVwu6z87ByJZV5XB1VRAW/mABSGOduvdeNEuUEdIlP r9vMI9OFDzJ3GGrREXCUC/T7QaHU5YpIVNUPZuZ/ypXoZGEgZVc8qPXmBHFN2dm5howgIPe5JKoh WzBxlLnAMLSxLh1N9ELcp6Mo4tmADAp69jQTy8hzLhH2b+e7VYUV+XJuSQTnR6ZNk2pyAuRpLlEJ GANYaCaQcDGTJe4jpyirWSujJILIq+Aw/lZBmwp/zFPxT8xjAkNn7+7BX6FsBsRzoBeveZ8akivY DVSSg6Zw8VANKa85pGUDNqexasdxqsg9d/RZAfoIoT9/CQbTHx4Gt4QzhdBaUolAJz6SsiHxv6hm dDAslGYgb5azhLyixgH0B2FH7P+Lz3tjvw8wgeGOl8X1RQ+sRNppBKerNaKlTY7rq9SEUOn9VKPG 0qlVde6VEF633f+uK+Yn0xuKiocAA/B0eNPG+xTkSBDls5TaMYtq7uBTQi3oGYWLljy/5v9ONy2C GdzaS2cCc+QvXvVW9bDb5Yhi6d8+pbLCdE8Jzj9UJhlbQEimy/OjlzjiJXl3Ioqw9FeJXGgCu9WG gsPJE/B9C7aElwXPVGUxtwf/0TQnru12SqiM57DbZ0zeoxU880VvFX83pZg3Uo5ME0BA01JJYj8B QGEeCj9W0ccOi0B/WZG0dbYRDrxo9O3RNBIMO3UD48/xy1yOM0lljzxkbjvu9RGvTw6dMAXJjSDt ECB/tSTaG9F8vhCar3WB7chBMlv/lpC5zj1PHuAGAnnKL9jow3A3gjFQTGqBTVP06R/7bXhH4QoV aZVyJ2vrc67lX3gJymTSXdOei/9+fnx7iSkV+dnDQQ2YyEW/YZpKChoHotjp1shU9RSowlDTrlof PzRY2lVjlbgGwlXXfeQ6/dnwjvXcSFaXidt0RQYkn0EjIi+qsmz2BvKCl2fHAa3M1+JNKczB7Vrh 0AWX/VlWzBvpAHNsWGxje5cLibNKw6+o90I3cHFiALrC1lEqwu2h4OupW94CSV30apBGw7LnfAyz vaIeyrsO3PlIJceZvv+uqzQ+dzrNZ4YgvkVR+1dLhJr5L6fEy/QSE/MSQjAVNo3qp8ZpyLoJUReu iObeDQi3j6Wau9cO2nRQFgRAfmpwEqIwnVOq/9C3K10KisI6Yw00rVKXtFYBOv6kgR4IbXz71/p1 pTz9sE/Sz97S5Hv37Ow8Mbs4PaG+VtP9WTzzLkN2XY8H7wS8E5rX2+cVNvt8TpUpz6uM47S5sB+1 feG9/Yl2HIfRQvVhIMHr6JFmNUCxhC2p/LScTmF+aTZWzjxmkci6byqkB9ksKkfHVV2qqPerHLmq u3q1zQVU3T/8LS5i1dvOzRKdEQNmD4kBrqpCAsZqdpXS16lrxu0aXBgtgNbAOS14Be/7YyvJaxLW 52MIZFfYENEX4TDAy5HehXKXg6wWVYlPNmURFPEorikWR3yfpI+xcitYln8cgyEU9NF2yBW4e4JH l3V0vuujSJxvmm6jDEX1Ouk5mOnV8RiZgv97ftGmbUQZTWuvrjn382v8x897kn8AyTmo/j14JEf1 Bkdf+A1U3Nx5u+qZDinyC5ZCFiTISb9/V3L6/BoFd9Y9DJqP8PKt2S0I+ZK7TKHwNhDEJyOCp4bf Ww4bMARxT4J/7kRaj6J6PsbqPqtoptQY9Aild+eovI0Ckm0ISVLBAvC3R89UlVz0jD+UR0eQrudV ZN1IfCA5ZtTdqPmHlrvfZTUb1Xytguzc1zM4cKLWpr8oBGo/+rvuS83nnB6wnS+85vsPCCMyrTEM Fv62ii22nJfa68IrGn8x7ZT+TpMS5QRC2UmBvTv1cRtSbFHWMKocQM7gwVByk8MFNEZn1D1BuA+Q 5zeLo5lotHsBoM7cEr0Hg1zzbZ22WJvcyMtHVApQs1SOzyhfHeMaO9jwtb8OD44MKYyY2E2MC9BF sAjcljpc45SlRZyx1Ur6TCxDQ9vqvC0/LvEHkiohPelC+UEhCqCeMrV4Lta0IfPD3QarOsRYEEHD bwNzWWUGLyV784hM4BgUAjKefOkADA7WRwJHX3Tm2LEponTwqa0rAUdzs70771+4JnXPIPYmGQ/g S13qC2fVy3kMrHvJ8VLput4wkzkQzfwk6o1Ipw7C126XaaOAadqomD8kbkIy/qlOr1iBflCngp7N F5lChmlX+yILlw4073QoWLHecwiV34u4/TXJDn3ByrqZDVOwguVch4q8Qb0AfFfZLZptdyR5Ygys YlHR9466qG9V5zOdbrmJ1JkcbkOhaNZw40N46vW7o+/+cQSwVTRlrf4of4ISafRXWmWqGHVcCnnC lsI/hSfPnuBRs556WpZIxiHecymrO0VyiNEZV+KeWbzNUKEHgtELVk3HYLQb2aSC/iIY7IHaTmhf tMPjXM4gAwUuBi3Ql9aoDpHuculi9/0Dvk7qa9cXuTGx41MnvjnaaUlfuCgsSIDSSVM1AZTd4fEc 2ol+/4dr0e1WgUUJPiN4mqRarp8YHKLHvYNDeaer/1d/JtKmowyOUu1p2vv0RKqU/NmlVXVIani2 MQLM1C0DlkZqJdou+KgJLjrEY2XWkWY4TzuW2IzhlsFP28LU07cCkB93KYhvyRVuVHVU7cZiEwII iSYGQWP+Ef6xlUTM7Do5mTivXO5HnlGeYm4PFJl+N4M42TCA49pi5cQOQ8CixlJT9/agBja8Aa+P Dh9uFKKmSZoT7EnCK+qCxzEGGkIo9gifcpB2vtRJOJTfiFFh/fOL/DMkjgefREtdtTmTk9W4KJAp /eQQ2Oa+5/PENa4XS8hfiXsDk1pDnBPWCdKH4VK/S24/pwGuQfoPHSBqNy41TOB5SaLo4+nX5gcF wNdLbCM+/j2tx+Vk2ZZAXtgYKtr2xeudh9OSl4s6ZBXIvdKlATO0YGnOKnofNPMwS9XXAIEHumjB pBqKbsgc4XLWLN6lZ9gjn/6PT4bdCwC9S9vLuFXaUOQlSSwhTTFThg2T9ecQHgKIfWsQvub1O6zB KWRnjp8ZlEaEw8MMFWuUoabV4phoKLagmqpvNMfNKYn151CgBXxH0Co4AWrz2oLErYFfi8PiVjRo GnltgkPBLS7x6cLVEpQywAxcgyrQxfaNe0qpSAhitGHwTzKYCs/7qMPAMDENltncUxHF6KzeeiEP RukRPKNl+qOUQV3sMdCIWHZy6I7hJ/q5XuQPWRQNlx19iEH8oMMkU3XnNxub6UVzofdLQC3WxQ0Q GYO58ulrKXT2FgKHXxM0sjeTRDA2NjqOO3LmhV5/xg+LLuJ35anS3gnoraR/Uyx+yMu8W2kr7TzW +gE/JNP2IOitwVqT/CaaVG32cDWpHN2pUwWfh0FtQ3o4rdlk4KHo8bxsdIchv+4ArZbqt+GjPTnQ B85T8sNppXKH8QLiBV8fewyWpP5jUS53pLEN/gwyueMhyGExvZrrJau4XzkDA3gZ3gzilpLB9CNL UQCycVOYlPGLg8oZGENyt78pXzZg1ITObsvR37tDrEKVnftUavUzaxsRoL8v6fR9f3rsbSPfVhwF ITwMMa3AY2tDIc5KFFg+wVvNCz08emPPcUqScEQKx38+8Gvzf4SWRIZHm+RToGCZHZoLUNOxZOaU h5katMA8Skv4FipNckUupgJa2LiT6ULZ8stlGGlmXMTu2YuzN+D0dxgZYEcepBK921YJgMqO/BgS nC6mpJGCm8hzJqLzx38qBGOa9XufPqu3QS9EeWObKTDxUNyyHkTOMZUqkhy+u5nY8IbCcILEUk8v 1nhEMCNuQrwIX4QNO3N6iw8dGMC3+6XBQQfP7BVsbw0NEA5HT3mR4pR99vLUxkj81gnAi6jnVCPg TrkEzlVeuJLoHA+rrFjWzxlU51nwb4rcIeK1Z9NkJtbdpXPyPEOq9dCrwF3573ZfIAdDn7ulVzG+ 1WNQpAxTgeNpzTGsXx9QY3KLSsWjLK08aFFsIi8j/pG9XPIhG9Hb0FuETlDQuRIcarxdh6EZhabW pfyBOBDdWC3Ea0YMeMqsm9O5+fil+s+rHda/XJk8L4OxjHc0XG/m0rohW7xO+MB2sD7ROzYYbYmm eMuyN6z+O3c1ICuRjGVeAZkV+Wszi+z2H1HKFf/4C1LUaGEaqU3HBYtQKVRBJgRa2ZVVl6fgPnVq wBOUg/Isi2QAh2heRaLknN1jESxk26ikvCPVnnUBgu4NjYCUUQzQ0zbqGfU2150KconMrRzzLIoL z6lQOJaYyHg47w7k/xkxhUUKFLhGus5h2mL/7/L4483HeCqe+ur9q/wxKAt2+mzmmfiU3vkd6au1 vGavmfFiYKp4n4PnhS80O3zVBYwQ1o4yjYrF+05SjmCfMkQBP+dhYJ1cTe7qBzIZIBhwylCb/9V2 c3zamPRDGtWf0bbK3iezPAitSX33aiRkwOZ4SZQ7jJocX/mxAHjmjctk1wr5QUxifsopNdPRtPtw 4xEr6zSrxLAngJYL0ixIuOpgbG8UpzpEltiJfXkQEK+kxTvVAdZ0bea2yVOXeb+icOmbcjkZ70fn viDF4nhL6j284hbfdDNT0pQ4RNf9JLraHUVMc+PJvMJDyXVuLHIZ9Aerc8krCh+Vo2DbcSEZ8YH7 TGzafXy2Mi/fmbUBr5atDnMrQRRlkgm+qMPiqQ/QXj1aPCiXX04WADBom+5m0r8Bn6m3MDleF3Qc /hcSBAlDQnaN+g+mZojYy2379yagWhmp+FN4VTcPxiQm5DWIfh9OxHxz2Gj3HUOsc0F2rCQU51l/ 7QnQa9fK1tpITTg4sGR5lp5utvvey3kRU/Rqu+0JApcyE4NwmOPvYlfUOy5FvVWO+GE6Nch5gXmG KseFbyPXq6OAyfG6A1AcejosjRty7efyzr0amuRnbyIEOCvl8KvaBK8xKtc34iOSrsrKNnZgzcbf FmiqQX/l1I4kE4Gr5z8vO2ZiI/6O0cG0jKN3+FpreCdATvS9xCcOjAmWrAwMajWAm//4BVDCTFLB peCpIePFvc7YxHse70fk3GJ+BCP3F//ziXdWhHy2v3/sh890Xcl9K/wAvSDkYwtv4X7+oUIuiXTV lxTuJe5GROeDrqJk/RPYXFulDjC5sog4UgayijrBNMlESzaf1N3La3DSK4DgFqr8HjXOVdGRcoDV FX8YcG73IrUFZRi2smWtPXwYbFNTXCf/5L/pnS3oy46LjZ74koH6+VQRnXGGDprjOJVoQGBzDUSB UMx6ycaQN0rnfaNFiJllEjbH9ncHxirDttChT8kck2Bp3x+MT+ZjdlBofY/3CYNaDVTlITNiHELW UKkpr/v0zFFYWEFbbto5fwLXFTxxaEoZ8Buqh4AuTZbGmDNcb66XoLnhatG0VEYGzkVwC3R2uYn+ 0laGKNb3VhJSZ24tkx4hsT6kMmEHUJFY1arNko32+3EPHxwE9bY28W4bb8Os+z/LO1ISCPS4+RNu yzDf+ca+JikfUcgf9MbqGGodbnjGNe5CUswQX5o7cGZbb0Wqyvl+0J9jcSDg1Aj1lwZ19VpmPIWk 17AKIX3OBbk2TuRIss0JjkT6/SFQJqMGifQaPuNVUH9wst5RcTNqtBq2/HMIU24O0x5YqeO0FPIN QudTURtVOtcsBzooGE+/sK2JISEFXhrqi0d7Wegbn7/BKngtO+Oy8YZ8347miZ77qvvrfVq9xJlS 2zp2dqG+U5iC1sHeFqJFYtB9DeR+/QEmRJkFSFYF5HYTT5Dip1+4D7JgDmqEBFFBYR2VnYfeKZPu 1qXkK7KMaI1urwnH2cK8OKY/hr4DdgbQT/bM8ppMqLxtRF3YmYHyBeX6bgEcwd67CgxrOBDOZQBb VdW647653IiK+IGYxkpnpiMWrjtkK2ydYZpzRpXyJVGfmqxmmxBy006Kvk8yPgGZH4hV2zXh0jcX DzU592tFocYMz5w579UPKodTVJCtJtyziM/ZEPSPC6yGnl4tsaXBghypiT0VBrkWSfWsMjNhwZwM 5cJiQmhwqShPlVXptM3GVD9iyKNhAPG/y74iQArZZxmRAVKWvyswwk7uXC26KQHAoU6eBnVeKilJ 3DsATJZtfqpBbO9bh9jUcRKeO7A5KRjwd/K7+9OyQwQonolViqiRfaD5Dd9JAMA7GfSG+djPepK6 pvqwsU0W74eIUXjXcKL7Pp/3QL7+pofKm+3S9kmxGrfUBixJ3zd4fF88sUfJSAiL6WW6tzCxM5ko Q1fN8bIXAwblCcaPCwQCI7knh1/ySYS5KhrF29iJaNF+LGbsVeHVEXVhd5AmnJMMFV6szEykc4Cr gP3X+0FrputMj4Qr9JL5GMeSf/W0JouS88rlHqfoMeP8sxA+Nibv3YegfIIl7dXzn+M12/XdfEjY jrQDDk6IHUB9OiUDfhJ6M0gFFtO1xIe1XzJuDyDQs0j9xmaGPeUQKMqCm2wIL5JGG0nrT4+diefY DNu3HSIZKe9FxuL9A8PoEi0OEpqUe4RHowf+TC5XAqGf525/bx9sxClI4BpcLxBzR86eGi2EuRPa Jol/nBFYS2xalw5iV2eufhoV09sQvUHTwaHgzgmmjmTJXC8BUDDbR3HHboGFB/SIQQW3JRq+KXzU YrkOFCFwroXIyE3xPgH0gDaZBcDlTTDKkVI5WxDMR7EyExiSjQ69rg14tRGnJ5VKT1QG7V3XwC9x TTIxC7rXvfAqaXFly9LU2b6X7C7g/vk3A6SSJ/CdgL4BwoM3H6mtpBxoV8oQXZRUOuk5hTLJN0kX fTHA7wKx3Mz2Yd9Szr/h4tGGOTEyUid5RleAD+kfy49oQDjbWkKL7aT+0AdPkf+r+bgy68huUzgL J0zzaSyF2SoZbINf6uuJ8zdtKlrtSy0osDLqc9Qyt1iDziG9+2AJNrsMxd+pJDrMHQJoyxwBP9Jc kr6xo6noW1ZoQP1a6tjZyoD+SFZIsZvUcNym/E4kAHTZuGmJhiAUy7MV6hOhmRddLYb3T3m4VwRE VYnbV0dm5ehHVF+A82QUkjMNpWBfoOUYf952H8BZItAYwUXRJjcOttAP0hdqXF2ddYmM5t2oTeG0 bVJxlU0vXnSkB5ybbNCf+1ahiFRHU8vT6g3MHGafphHdlTo7WDglKAVYsCuq2/WoY77NBhxmSz0r VE8IonMBbBvV33z4ie9fqmyJcwnG2hKJBwZURtMMJZPRVyL6MNIQZjOWLqia1yc1fgTg/OwoMNtq A4Vry/mwdTYs19PEgDJsrIDTSh5nN0Ws6TvEIv8tWt8oTXhQ5yXbzyfysGqF6YH5Nb+WF+KNRd1U V4DYhgllV1svZIBLD3tKqqi5MOWJrHRwayEny99pHDjxu9Y5ltVWsgIsa31tpsnvSYE84A4oYErs RGZ6a3BIqJ3zaig1Dhxn8GuKNb4rZMi9+vgiFqPH1/wU8EdRp4VKtflJpMly/8PvvUwJNdOvQp1w X/9jd0sG82yXXuxfEMqOFIpT2YdoVGXuHwwEtVNzSMjJIcvsPJW0Cs6Sp6mw1Pc0+J16wnVNY3ci yUp2rb4gZZRwmNW2OHEjIK9sD21VTKySSiUsXDUqsQYky0aonPQNpveIuDz965qVvIWVb2Vz/KWl B7Y5/uLJqFwCs4RSUbw/HEWjYkum0v0RDqnCTvEztAtfv3Xtc3A34D+tSY8YhV2d96nFvIAOUf9i wvdSlktEUTvOf3ZBSVQXRc7Vxz1DVlS6lGhGGrIX16lFJ6jqakWJ50MoscWgny19Ubefyjoe68fr qkiE+V4jM+9FfCwFyKL7MwJkjYiJuib5W6P0+HafitCkYczpRmfqxLU4koVGnrvie7kcohr5qwlJ PY/f9o9R15d8nffOOgSWHsUcFyjeFGKIEljiwPjTk1p12lQJEoDG/6IG7cw1zXTmZVeNcMUPOGhv xuv5zcdAPpNMnH2hRWORdUQwsKmQtsPRixeoyYRyAJlHkIxIGPWhm9L+D+pC2K9Af5QVcV4Nh2Gw d84UCvdUXa/dJ4SdqAlnEspi3PZk6PgUBEIi5hXm2r5Up673PXi0wdznYhvWrZNRfQI/YLFUg4KT JolinzZNKe4/lVvUNeZ9E1/88di9qln+njWq6g2upO28LMLn3eb3kYbGuWY6BQmowTUsdCavVP1F oX+dHSZY42ESOUJtOcrcV/55ors3y7ftdLzrjwqto194kYaAUmbOCByC816OOLDdH3KFXmmiFM47 gKdJS7vQMZ4zN+4knlfLY8b2WqH1e5vJia7bI0uhDsUtNn7tUWFnmkdTzSkikz90hZUZpO12r2j7 GfOIWqvidziDGgtzNEgn/EpdcnF6MvheLsS9KSNr+gJj6fbHNp+n9KJdfatM4BO3YGnQvYGwLyfc UNn9sCYk/KS1pdUzKKzZD0ORrsfuGfKjMhunD4O45TTtfkMjL63ZknMHOCdoMoR7zhnp/kFe3hEv /KMk5+6cwZclTgcCDoFPXycg/RiORbfuQJ+HjdVr5jL5TikOl6/eBLGCrTzzRw+yNm17S8kjzx22 5xcJu1ZMvyiHuJJEcaP4f5p1MidXsSGfBT7VK/9MlF0Qoh/RH5q6fEdYitGegUxFmS92x+e5WNzQ 0QcPUCiaw7WUFivYzgOYVNtiCeTV5p8NIwTnUEW0piHxVWqAgIG+lTH06TS1XKvzrOtsHIY4bFpn 4iW/Drogrmd+uZvJPI0PgwXryDMbL2ZUeD1AHPL/eS6KkpUKXwn7369VveRNJOQeUsJPVfFCr79V 6agZnF9WeVknh8Q/2pwDHtiPPRQ1xd6A2LV/oXZTOUqZZhBaPkmye/9hRmYb1zCDkESFvL9QDbgZ RMgBYNBc85CIdKR1Bw0nVtFBuf40XO3Vju/SElNTGTYFpNwnsf5BYue3AgRduL4lSx6ltjVccrkI O2bLmDKq+RH4bT84ZYSQSCfQKmGDCbGfgGC63j/TjixXuzHL8LyBPVUn6Cfz6G8niyv2TXmpO2Ta RvUd3I6PE4rJ0j4Mb/88DlgO4/nUMauvJ3a4p93qgWI6NvXa9NCROJSrZEuEIZL9mDG8k1npBv5B 0ploKv3GS0XJfmIjJ2cO1iBdsBzVe9UuRm/f0hwv6D+eAD9YDCJUQykEc/2RvigB48GP7oAIpCzA iA5IKEZFYA9ESpV3m0cUfqoNoQ5rzpbE80J2kanoURi9HJkcGnGRd7MpBk7T/0A5netUp2jQE6Cw jzHcz6w0BdXkh2adlwwLaA7dMK2/7JvypFGwz6JmFzE/7fqQ9NsCZXSsQG7fcaIWhDzC0sZf9ZWC 8qqrGCzxGQQ0rR+nK3Y9S/3F0RX7o4GyctI75plH/L07BJ29xCp4kHm+OJ1BaoCe5PwuUE48H/Ww R/F9xvG3vtJ2z49SZu+LPVGxE1ZcvdTLvyPmkZJZeQ/GNmSfWhSwN87Fft+sOmZfhfXSJgBm9TKi XNIKVTYSVhVSObJb0rkmAIfEmVeIA+3ZtVohwk/ijdWde/o5b0tXcozpH0Isu7u4sB2S/E+RkpYa sOaerE1lEEh9dZue2vxIGJSj3p4R78mITeKm9K+mYGTlq1zp2kyiiRaXw3o1joBH8TEg+cXdmVTV 1ZrwNvE4XDvhFRrkSqvIPhpZpNT5z9B4CpNq1Bo698RDZVTMVoBlkYbSWbJIBydcD5M6OSWz1oHN 8SzF45aFbUy8VQhSk7He8r6mpQXcsQwoelHisR+LrwAuUEqBZCaXZrJH5/Yz5JUsC4Gch3CGHUBa CqMgG7vMHgsN+LiUPZ+lNivRpt+jbApsxXKz+RLFWuOiPEVTGHvZ9E0PNUmPK8yBlTELrs8KNG0i NDfWinsfrgBIRNYkj6T19fyt/KZ5jYGwH7Koi0Q/mVPlJnLsUiOF05OIJAMXS1D+BFAOJ+dLvXUQ P/JKATqMwC5bi9WB6YlUVRs24AIwlrsTSvf3kUIIRN4bV2PJCrGIBXJDYGujbSOAf5L4+WWU0/aa Vj9hbHPxo/FmomAIxbtwJ87uwHl9z8JP90EjlAs3IYEW5+Xf8Iu9b8yNy8o5L9ctfRb3p3QWAJ2Q O49h/vuUy06qHipk2djMQI4dU/w31p+cH/deMt0Ku+fgfCZeIwws4MnyMnwktr9C+XvfAgVwCeiD nPlbl2XPokD7kUa5PlbJRhEm2HQYMcqJ4SkTgVCbGOcOnWvPIh3wtTnkpGlc+lSifO8RCXXNrcip LkMssqtZEdiRRtd/bSLoNhDr2YllYAL6JCZuZ81DxxNbNWtZ1gWUeoPFoFMwUrbBjAAXeSwpEXX9 3dsV4JacN0Bd6ItQkiDzTsA4C/yS1qJ7+qNdIC404W7KaB3ZfEam2WNCJ7iKLzprOlH4TLfK189G lGrjj8ifEEgI6uBBl7QissIPUztfopVcaLf5GlxK8wAdS0uqe2LeUBrXHVuewJr/X1R0sJpYQ/2r tUJeDxWIu2idF6+7J+UnzD1EA/fT18iZff9dmwQ9S8buheFjxHwm7abibTTb4r5XoUUZiesC6t5P nfZ66hm81md2061UCaMFP2vgLRDMU99rVNEgZCdYsy5tCLCBtipc9gDnyTtnBqW7Fmiz99kqeWBw qHzJAjAa4K1PLnIUDNdyH68TyQ+fZmwP2UOFI05x7OU9t3cB4WCfxgygIb3JBtDVXsug+TlSo7Ne xb33wPkosuZebIrvuvBBgvDHCWsJDTgNqUnTfoXR8Ia+8JzU7nm3JWEfjFVUbDvQpXrSu4l1jBqk j3fNKF2jWYExil+6EvYBD8g+ku3Hq0hmWQ9X971IYNQFWUFT2YgMQcwTliW41KSsn/HxAcSCT3pX CdRdPlna7xzKJoRUc/uoWD74daaEmL/Wf345hoq06MCEYP67birfhKnxpK2q2KucyqMW4BoIduaB vez4LR4HPddGslTc8rhkhE/sFFJygVAJKIjh8SMvQZevj3kXEEvbo6Pu/lV5H5U4EDr9J28fvykZ AKJYYpgtzBxjLxilsfiLLfjRAAE7NxlTx2CixVmLXv8yxBr15nPnt8ysOUm8shbnmRSzUgBvbDe3 35eCuJnKXTc8+9c2KiJlg3Az+aIzpBKm9EpHn42Hsznb2HYJ8FSPM5HLGfaoNWmnNINB+2e4OEpS tHufkJN7cGnEJRvoOnc6sXfU/HemNSDZ6ujXfg2VI+jpQevXUwp/2h8Avsl3998ewI8xsXU9S7/o M39dJTs2MluFRFvHq0fRT49fOCyh4w0gLc5lvFiR/36W4rW7Unpp6WpwF8xtlAzxh7XD5Fv6hdil 0Vzc/sdlHewfxnZYnh8C/ylgQjInEbJfiQWGUnwVyDu2pgv2wyD6O9hEpGtttNJrX2OzeYoL+V+P s0x65kRQgQh2rJvyzgRe51SrX77MvtgBoMxAXrXGr5OS7V1wJBWhCSjSJ8YbtwVaxXGDYwXscQGy mPiUAVQUyopTN9lD4TIYnWrXw3wIN8EDlFZ7WaEIdiPdG3yyv4s8cIbPRD3bxq3sh3EJNY2xinj4 29AdXa33dKpv9j4erXsTHu2VgdPyT/3rAHbkQPRbeusMtVrM1v4Qepr7VuJVhjerJMw56nDkXkeC KwZoqDT7tJhPH+dCqilo47kx+354A4/pc164rujuDgPxyYKFVKY5qt7CYqH57lckwW6Fi+k5bn6a EmO7PmhMWauKC+GOcfzgcZxBdkIgojsWYmWyKraQyYihIqhm94RT1pUQ+jTnRbd6XCmEItMcQ3E3 9Ifq2vUrACvfr0E41YSExxKxdmlf7zDEkeC918WqLooWHsNXM/P/AbJ6ILKYNrP5AouACOCLImyH F3VquuSvn9jnmI2Y1/DBctdPxeMaqjMoRZ+sItc6xOS+LT+fAsprvsZ4A2GckFIi372ad7WuPyjT qjdGNnheggd4I9lWT1538B1Wu8Sr9CMPtDjT6hs6rNjHeYKMnq9R//mv4evDNZjRVTKL22+XIpUT wUMlzotRHYmr5JGl2BI9EdYJJ4s6l5SxB/HBUNmP7UvKKFzADh7rWpS3bTNCSB9AtvFasfzemXI6 oWLr6qfeFwGUPcfaBhjAfP5qTlNxK7z6LBlP15sDNiZ4F30/CLAcEeSNOHz5FuArc2vSAVlEp8ct uDe3OEnFaYKJ6dBVQ4ZekEbU73xFPpau0XJdZAs2WWqfLG7X4tQUoIhkm4zmF8hqkUUEISWON+Oj L5nQUvySG7y1UOLOlEELRLE1G4+9Jxrn5kbvPER55VivHpKWWmjlC1ohTiagbEbQCyZUryvsYJrb WP+LNj6Ais1e2tKWYAwEiIT2GLicjS5mCpQG6BUnNKjJZVn3QiuN+1u9ouGGEgwzWAWDwveufjag tSVq7Rf716m0AEDsJfpXYdDOIH4VzZSPH+t2MiQCyKZ5qAf4D0VO1BdtJcNunjd1xf59slhdA8Wq 8/OZzy7PZajGR6QldTQiq2afY+ykWcXTHhFcn5TS5lbMLoaNk/KIdcTUEhfE+R7ABAa0/3cMJN3Q 1s6i541Ym80b0m9AuiVChCCA+BfALdfCQct4fxYwdhfJ3LyjjT8H9RBUwHlDVzBdGTowQsIRDkhR k2/Fd1dALc+R/A2UYL51KEiIYhB3vgRAw4WMQL+09EL0XvkiNE7OeUQqmDQODliUGPVytPi/KCCO 4zMBmPC7B28E1ep9D0A3vRmI5Ep6mdf1YpnttmCxCe4mGrUfiQxkwR9xOHRfOnrm9FHH5LI92WOJ 1CRlfk9GKLODpdfzzERxQ1HfZcrm2Pnub35uJesMR0TLY20U6eQ862iC/qaTfQsMKWQAzRDuHMat DohvwPIgioDW/RUOMkBUsoAaVHJu9hJgM1NMBPHPCt1mJ96tIrXbD76zdlEio/+B8jU8Jx4q6ryK 5/H9DSGxtYJ8l61tgGNF7gJq94XJubzXhsrbljq105jcp4ktcqNawtCu8aDTW2ACwBFVe5s+kFsD 7YocjhGmBi6iERTGOvdH0vZKThloDkC3J+QAf0DOGUt21xr+IScJElBTFrkg9CmwntI7+Nns6hEA T2EyImTCMEHwPeSbytn1EmFrfbbMwDnxEI/3N/QpQiilkNZ0IAi6d3TKYxxAmmeGXbKbgGUvblpZ cfFMg63RSm+rcLBfbuxkRAhQRcrwmCXXm3k23Egs3Q/xJExJ9UnAdpjHJk4IjASIEo4eHsjtHO7h afY5Z8+ClPFupHrzU/0Ju3Sk7QNLjE1A7J5zCTFCSBk6qw+fbwh+8ePu7w/J53HECJ7mppjDU8ri uAwPXfrX4jqx7B++1u5CW8JYfBkEW9ct5x76rEqJ6VFvTq7Vup0i4BC/jX4Dsf9tbMkZx/JgPlGm 2Xl0mAT2J6cYAAgJ6NwSJsx7E2NpuF3tZ4yjq5pEh1j5psyLyD4kxbo/5QxSY9NYF8qfE5X2nGTR QYZjVQhsrVEzF/ztDbPkpPhUrhCbWPIYqYlwBBAL1MMvc4/u/a05Mi4O1pziLvjRLhnrRQo4kfnw SdzFhrEcXrJM/dTYxvcYcELpOySybN0+m1rDs2nx8FpsrqJ+/Qo/bIZwcViROvwaohN7SYOkhIKd 1EgCtvvAqSOKdu2U0yriUSe3OHyHbLt5F17Xim1V6YJ36YSsXuWgkNy2HyRAI9BYpdB394oD5WTS mG6OOWC6WOHeHgSJI+kUVf8CeKhBRVTcPTpgyN5SCdg2livD7IX4UiVnl5hUnsDKJ83k3yp3Bbvd /aohddeL6jbs7mEeHpOi43jDdzNKvyYL2NegQG2sy7pgZv4p1VCeBK5k4TuOQnCRdhgvClVcIoI8 NnvXGRK6NUB49MRu2u1WjfsXx0aAGGnqOqiHGOBlLkR+dM4kjxLwpEXygpImIkOX0BFSpERQGF7c 6WpCr+1T3HheIGUWtPVCodA2y9Pph4pI0s0ICT4CrwcPGtbRzPNRYOmDisitRp5P4FWm69r7wc7o MLKZJUAV9YBwTCvhq/5AaOkQvAZM9hoLk/4fmgHt7KoEs1t7HF0TTh5AAg5lvXpStbx2MrX7+4RO 2FHap73PNLQRE4FJ2cB1JbA+Ja3PU4pFcovPBeahjm+sBB4uHFaUNY++WfObEVGrFzvrfh8xYCyC hSVHHmBHXfv3+Jdfe6p+aVUmkg6v4bgOTjuj6JJZUWVveJw4+qSbv92d8lX5mpWoYlnyXiwLefyi rRQfSuXV0Y82mEhk5OG0OHq68uRTYdO9Fcht/4c0Nx5xpyJ/8gS1oEdeiOUikM9Y2Q8jrPsreqpi LwKQjr6PxBErj6EgFdguF+ABb+qYDaO3yBsmS0TlWDx0s/a8BAQE8fVOVdhUGZvSx86QuzhJJWdb e1zciVhyv4aKd5lerW1aGKYe7Ek/pP9F53dzyH8kw7X6kaIduWUuJN0DNk9VUEXUTsyax9DxmU9r NGRaipjSjsLXj8yRl2Qu0I85OMJHoDZQlsKENpEiWBljwFB6u/lmXIzip43039PGFJhYsWakEu9z mBmsSzqeIArqSrPcCgw7JAQAemTbSeEQW/0N22mIdkqdsCO3tTqq40M4t5M8T8TI5ZYKgPmke3Vr StUlXhWCMqCtKJWdF0HgOKdZ2eWG7L0lCdvrc5cVwuFoq5qJ6TZL21EtXBnJmimTcai+EjtaVue/ +gPfY+J9I215ZNZtCXR53+Fe4yVbOGPds0lNY5a5+Z8g3hb24x/aEFhW+hTyQGPdIwOdF5xoNdcE OqCm1sBSJ8p1O6mI9x592Yp90Pxu3iPPCQE22INPBOKjZSGv1nLGk1OEpFUXURV0r2y4mI1ydBbi KRZRdbHY4ybDkYdNQjimco6D9gjyPGKdWLaAXDfJ3QkK28yx3ulupx/kdstam2Ujnrk4GfERzPlv KOX2AtgMCiHJcduNFUqc/MqrLNz26EPNXPwDQeBtnE097JVDv2AF7tijYreSvKOoJ808wCsVCs7T LpKQe09P7NeGaBUUNeh2moIJxqHTJty17/TfjzA6qcJApejqU5eB9moVYUqxCODR3Fk7RmbVCxnu /v+w7BGAl0kRXdzu3fGviOYR+LkfyaSYybKpkD0peYceWLUFNI+ojH8IP2hN0ddpT7xnZXoF0ScL mz+Wsdr0Q+cQcsYDcWR4gungWS+wYkxdwGxUljZaHMJvjxEVGnKWo5vM8MSrKWRMoQdgdoIzm41R 4cV1r91FrDmt7BWtx0iSoHohySMJufpFdAkBVzq/zjrm00PbBaNmgxlH0lDjWp0UzZTFgHI+Y29J anHCDmC4locw0HefE3RtwE6+H1jdgyYPMI5hBA/9WwTRYgpbREd6qUyupH/TyBuStmakNhhtaUS7 dlqIktsf2bh0UwaFg/NDIHpy01XwKqgK+fs3j3gCS2l1nw3SOFfhkO8ntXeeK6dVCiE9VRt6ZKp7 UvRPrCKi4TdyFPxoI7TGj3aZrLIgTynzNWG/2W/KI9OQWXLRBN129+hkUEFiAa96tXpI/8DmHjhC VSytrynzJ0/uzLt6tGa0KI+9oB8kjbrzhS4ZUEZswya09hq1+m/diN5RuE4XucsT8Z43kiFddnKo PylUiQLPWiYRvmBDcGilHRMjvIitC2XbMKqMiDs5li+zCD25AtSz5JJ50NOXM5YFESRUutypDpXz yhzjp5UoMMW4OWxcvjwpMVfPhTj6JXJuKeCtkEeA6YGDXipElM2CqOx09GBb7NDF4rB0wlOT1V/Y 6+M5sf2gcGzXVAG/SmOzMYgUmb05sT5jPpDBx7wv3aDz3KgGBVCM9lhLCOZ4x8c3pvpzwBy3K6BP XIRdUfq6/8Vc/CwqPRzUMYvo9RoDg+f2IwVg8oOwdeh1TnbRWhxypxUMmoq0klrL4TsaHzY1C1IK ov5mKRvLZzSVMW3F3fRl7IYS6/v9WfSULwofZWO+3QESof70nW4uNSbXsL6xtFGEsht0oNpzPfM7 mb0UnIxeL2sjB+i09A/WfJx7CukJdLOQoQj7sD60WhdUakAswUDmcNktAk+uVcdgMkL55wq6w4Ph qKjMfVIWAvXKFWiSQ/uiLPexPVl98UxRpNAu2RHzvaO9EqhKctLkDslZni1I71sn8VFnkrYmtdUt J0nHjCqIY4fhcsOiKkagZg3ZaNl3R59De+xrC6kxzDtphqQv+Loc9Adp5o//aKna+Y2O24M5D7px hyvhH/CEO0yecc+Ew3UaULDtg8AISoTmunDpBgamwzxSPPvY2lSbPlo+3Hp0gZVlTSnNUzo8gkF0 UY0ayszg/W40MdG98ssr50COTw8ysOr/4eQ7FKhoG4cGM0k9tzL4/JuKk7z1lPl+nOSwnTPgNwGl wpfIp7xX6AG8N7MPFNpBAJfEHC2OQQkoafxT0ZyQxFAh7c1OzUafReVOXqsHnWpB0Wnz2SfZ25JN oreNQURhPa3BwFriev+5t2R7JVHSAqg99f50r8eR6hWhHc7dqUXQPWL5IullM8+MOyM927ABNwvG twhQUWhCUfv1FeNYEVIIVEk2EsbPQNKUHGPqM0lTNx8+6r0UADlWO0xl5Kb3406fYjfY0zrrblHL zDNPfPOPBb0++G63C3juuB0PPiKNbWXMM2swNP+BgRjHrkQXLX5br9lqTD32DLKrUM+lsmvGtXcT 2GF22YXWC2w8hx0EL+X5ZNbpeuCpZ20ZAG8cIpirdeCvJSqG/cW0aw/FEgZVfZ9E56IJ5c/RWhIV 4Lfdx1pWaXNdU8aPy+T9oCQuHJuVCTaB2CNg056srNxOWbE8+9u3vkdC8ngJ7yxMt8LNTCo1zBrQ IrlzzgtNozoTQS4dneO7kiC0YomR1jcIibrPGLGT6wSt8grSABmtw74rchr1B+6SDJUAnu/PRkQn Nfr6tf5gTTlxz2/m8I5C9lrPbSrFoUpXjYxVXCy3fAhd5uvjC5hMyk2YZTwgWAoXvLBOIfT8c4Vi 69bBUUhyy1nK7GTdpZViKaXvgdwX0uqItL2N75Rpbhs/VUkG84duKSNEz/H9tTsBrvW1Ww6zhvaE CP3WoPPrjxU1D6u04ZAS1TKUz0iGujrW3pXLP5S1RwQ9rDTuWmUdNGyK3OfUFJ5s4KIoEQWUkTOV WXewgeBWclEhAhzebmg7Gv0dAI2rPq88Zf6Lni/LdBFkPRub+kwl6XVyKj0TgS5NyMrolzBPfhVm IxKFoqb9WPwHWAxsz7vawbyA0nUgo1gFL8XYZLHPZC0WHh0Ps9MIMJ/4Jj5elPqqJ+PYCDCEmSSr njxjCEXPLSQZd1rb6WeG1nC0zsgR7LH7RGo/skw9nqnH+ZPEOgZLc5zM5aynNRw/ZH2uJS2Gzqw0 CzkhrskMqR7+239+90kKgtng8oYBVQGUU25ko8MRp7FI1ud3zOEBYZaKUxgXZNiW2kHO+2V0+bVh r+6KqKSk0sZL7B3+e/bQ7WWcnN0XQSFL6ecFKpJAgiju73KZSaeTpeGCB4+IThFQ+otpEYMQuDbG gK+UIAgQnfpz80lhtGvo06x5KT4YSrC1zef9TSVm4tJTvnwdEHAqpURin7xZ7PTfLuwXGN5RsIzR W06PHXlM6s3fkxhk2XCmtPjl7b/VcNiORhcgnoM2dqAffFlMoOATk/SIl5Fu7sfcc+R33+pr2AaH /f+hTGW3FKxIZWtbTEvndfaJg+h0URJ1oorJtBvijyaCc94MieTyld+78Z5zKbGJUnPXXef9RSPz u1p0w164cheMcZk2pRLoRpnr50kGRfGywMoh2DmhvJ6oCVKhlciOxImeFfE1mX+1kALiMeMll5bB +GTrCPQB5Gjz/OM/6WdAK+iHfiuc9zAdnFr5OTsWxBuBoMs0IRxIJ7d3aRC9o5tFVwd7G/fyCdze JzzRWo+dRAWBuMVn3Z09a1mbCLgE6WXnbmCGUE14b/g8LBvDiyxVhSdCP3RIEchi4Pk9z0xNHTaP rtv9zzGR9KydXP6zlsl3TnWMsDWdbhr+h6lXjikYHLB14mxLssfqEH5a2nmk70fxivRHqchKBJoT FvnnVSOHw4bM/120AQ6X19SlgzPBZZbKOp4kthWzG2bpa/MTVwxuGfqHyz08PjshQ+SkOKW3Cbpr 37G2e4WmKFjwoyR30KtabaT9AKDskLAtq3qHM6svZplb8GGenv90g3t2Tj7LMvvm64F74FaP3lCP kpgplvUEDHIVqcSmvSJzKDTLCeqUjidFqhDL83ncYZkEW0GceOpFe51sd+76idRFLtTjcEoVuMnj v905D6xs/HxGBZ1klaoYeozHKXydQxDdjw86pH1aXdGFMCHrVR2vXwfhPI7vABDnzcgjxqCvIuJH VjHdndJis+9us8w6OixkvNR9WRgdqrm7Cg0vRmRX76GwnpS09CtBgzsEwCLBKwfhd4ooMIFaFXmU iQmkgFslTsgHxDszRHI3a42NA/UEmZ4+g/N58iT3UD2WbQYeUWDheAjr+9QxfyxxL1V8rsALk7Q5 NK/1hY55xNO+MW0vxzdd/JHKfol3iJpOKh9xqclopZW1DenYlV5a1SwAiHqjnB5rQks4HceOW94L P79ouqwEM4Jo1Pi7x2vRLIuA1mrLMiI0MSurfss6v9eRZx+GX20aixcEi2k+tW7I320xgQf5Pq6B csQGDguCyS4/ba8Epl6mJOutuUreBbJwpghIVOfe1T1llqf9VQU2N8SIgcweHaa46cHaZajJC6Ul aQjrljVvGXn7+9Ay24zE2vrHYUlsMLrsOG8VHU1oeT4SickWLs9CfdzcZ7AJlckRkj+Hgmnx/bjj x/ACpzOoclQjQ5nsI9iyXRYUV0V5hpDKeXM/u/igz/uiNtcZlAXtVsFMqmwUsdD+0JfCA2wIPQQ6 QkVyKIrj3uWo7l1KEktbB846nwlXyh+RbEI+2FdP2E3iqIQFTYyoWCZG4loJZCnd5EeAncJOx1Yb PBrzz0CYK8a9ee+k+KfzcuBi7pCc3Q8O4OhkSLGScMr1qAFU/m/JtVsCmP3SVAFORXTihImQhhXa Gnd41t6g9F5we8AhP36xF+ELICn9j8ctAi32+uouLKUNN/4bb1rdnf8Gr/F+XKFZ/s6ovdFxUzs/ +cS/M16+EgJzTfc/vj0j/vWUGa+TJNlG08FK+eX0gcuXpi1m+Kqvn4evdYulpg2BAoU19oA8lEZs naqflRDXkZkh83fF1Sd19jWEhoS0lkEZ/BvqyS1IRakYWe8iOq1ae0ly52vNCqz+4rjQHPWtu/25 pxmZfL/12mw0nkk9jeMfyTCgZUYxBe3XFQW4VRyZ9qlh0k1p8VDB2P/9BvbiwdcnDDKm52dMdpfp iGFDKptkM64VtJxM0nnyUUh+RG8SM9FCiU9XgPJ1IzhYxRmDCA9s6Dg8Mnc6mvrZJnQk/q3SAanR 4rZweIR0Fp6T4J6S+sTfPxekP/PkUZIv7SVzd+UDq6o2jB7mgUo4wLWe5vzfTfoXVB8Bzh+rPXtV K9BExoUCoL72VDTo6AJsJkUorvkR+aWLei0be2WxeodfMGD0IT4l52zYiUe2GKGNfiFkeFBDwa2A l+bQa0m5x0ujAJn2sHK50eQZLvSXotENNFumDNWDaePSNndLVj6ovZbJoJQHfUDiUwFolL2m0RPS rJIZOILylOXgqoZNVzzYsOhuRyLDQcgVIyR5qzu5PHskekg+QrR3rOXIqd6g8v/Dpy5YSb5Sr1s8 18kKsGkTtO/R/5PyFyGYhNIfvGLCD+H8RXTfC443cJB2lHByDiR8mElxEj6j8JQFYJ5rfZ9fDHl9 n0qkLF8Es1JAL4j0mfriqAreNg6WvplneC3ZH5HYD8Xu97Rn7faJ5oqblNFTu7k1o0eSRUqR2wxg mDE26PlSy3UxcAkKjY8jBZ6sPTdAs8cOZtS+MLzh3PBeFVc/gRHQ+VUMp29tOZ2HJ9j4YK6ZK5lK gi6gqOhxsOtlrM8h7io7L6m6c0tWkzkZJWaCENR0SVHV8DoX4QGocuqvMdrZ4fqrHt7l0n+Wjqzo twa7kBlABd9sPOuJuCYmPYxBYMEksQU5oAf66yhFM03BN+Yr44whzQGlFRhfm4o/YLN7LOyurf2b 62ib2nmEpxGI4/bLV4/Gm6ReTo/dFD/0PTflk206zoOUxeyqijiEQFyPpuJtScvmN2+6Z+DEVwh3 pOp6OMzkmI+NGM97+P7uaBAtdsEhVrESq+hIqrelpJtb3XzqWirqdyfCv3zNmbu5CIRJz8GgjZsH KSXobtVY2tcCMiqEwmftIPVRBnXn4xqjEZHzEGkzwbctNn2wHCW293w6UQNAgC12Ilo1hY4Iz1KA 2g0qe/FXG15uFzl/RprqtTcC1TWtom1qK1Dv5Hb+Wad+Nh7GVN7MOo+MwLTEbm4PhIKt9OLwjHFY ppdsM3dSo8/NftM2aKzuXKWETPu58YlrbINXICfpEEx2+X24oRYGKFqBw/dBVLxKPxBCk2/sLYh3 uCmRiZEOLFGdgofa7BL0m0JXsn+l2CebPj23OQcCGI0YXIAaCarfXbQoxqK7ZuXMcWBETphfHYWo laG6XkLVyY7KDSAKCbIFRCtb+NXNrJFRqQQGI9ZquEeH3UMbOsoIa1bEYIL+iBEUDRO3BXMBIiyQ +S2KSqLeAsxwclQE6z9wqRafObVIoS/oWEd8jRVPgWX0Gy7oNikoSP/ICV1Te27gGc1IWF/LFzTv i0te4jXRV+ypNdINR+ANR/Ku3RHlXmUiJamVY0gWJu4MBGxK5e2a8Wr1KkJjCjIZSFuqCNckncBM N73BZGSNOCZTvUb5FVhJXc5dD5dZkVEyDHqaJPp+85oRlnOfIxEzClOTMRgA4vFw7piOV6iMjhYi x0RwUDhgFnV4Vdhi8yz8+Cjgg5HNTDRnWeRrhxEJkFIMF1D8v5rZ1bNKaX+PkH0PsAV8FdbX/sEA 1G0xymJnNP9UBQMtILkhNWtUuVjw6R50Bh4DmHnzskV1ymCfhtGuAUYuq8HkoFaxJUtnRFXX067j /6CRV7xWFmJLCdoTYi01OGKP6uBDIq0P1zgOcK2wCQuoKxpgZHU+AAprXoCMSfPh/mAMV2+m4TVn t26Vl81eLkEhHGCE7J5MK0J45CNAqFANMXtmizlDVHf88XVfOqe+YRJngWXMsYMNxv+o4goiplua 04AgpaYxD7Suv4lIIkmRuxzHv20/G66TucF6WVyNuG/2Od91Q+O5Sbbx9E+5R0EKUTFbJfQcs5r/ 51K1bWWcfvbVrYWw85kdRhqY5Dy0UzJS47vM5epq7YzrQi1pB+sFss2T4KsVkm0PH8SU6ei+qauy W/rFCyjk5yG9tXfKaKRBam9zWg1SeMRF7PrG6Ls8LeGbUmjLCO8/0L2HpmR4mXc2um2ks1MdChK9 XdJKypE/5H52Steh9e4WTfDBtN6HiqtH4NcKw4QUikUDoZ0OzsoZJAJDMeucjbJHWMch4+zKfcra 9kpqn+0qCX8CxfjaBai/wrbqIH4dz2sKQrNSBgmovbznfFbBb7XW3VfC3+ZylpkoVMeiSYNHk/OX p/5Oj+th4RtqjZ7/qR7txpe161IufB6Q9GYCoPeSP/tUb18YvTN2zrzsKntPc1vdR9qA8MmbX5de TeqjH9RQdIGGlpeEP5zrnbRjGoShmHZU7SeMZHjQZPjpA/FZ4MqD6s2WhxAMpYcKx8TN1naxNzkO ql6za3U/11Y8ElXqCDOobNORNtRe7OwY3Y2XJGRwtT3kSxqUEFgPJ3CvN41MybLE9mZw0amx3Jui sFZoWG+snyn4HZMWgzTrUwamx4Gi4lOC77ABt2sMVEdOsMzrb7Z+60V3TMx08rrZCnCvz4lDLvK0 6WSa8ghm4KClgchnp857dm2nZlfR+Er2AcNXId2Wx5lR7sVY9jm0mItuCZqrBKYC4fDDosV5/TpC u3PWXgm0frNQTfuZ9vSmQLwdL2mtNnk7WyUOeHL0RTaoBN/+/0Hv75DuJQgfbxdXM5r0iWB90bV1 K/c5XZSoYGExIaV5HyaCCsugudPTS6UsS9wtidIk/gaVkXMvK99SPVrXJiuArI4QzH4Osh/i3xr4 1IWYKNFsvSk9cb0uvmll+3A9iHeDaRqiSXVV2H8Gw0T/UiAv7hyttZB8AqEhtM3Sgs06UllZV8ru Yu5tg+bXZGByQR843m4Ts5bKVmR32lrrYdl98bobgCSY2rWI0PKe57B5jEtpZ1s4wOhbC0Mi6Kxv V6kgb5wV4vYE4o8j19/X9BVvNHy254bBfUXmrCxYK3m5MMn0I2O8DckBlE8AwzZOPW963ozf7WK8 wBJZMLj2oxIwYWwBSuq86+gynsT425T7wpHd2UxUBjRXpfsH7FvEDpfo+shWwNb5dxNAoaauZVaC VRCzXkGm4xzkKgbueRyv0/zvPWPHnfQCD74sB2VUZrxZ6r2OyCv4ptVgb14xQDXsGpY4xAL+vwPC Bdo6hXHEx9rPqkcphD9uEeOiQDFW3qjWc8pQxg11oayDv/HKXKCyBj7lX+GQ3fDU5F4VVIab+YKK E1iY58zxXSExR8HTiHRtBbu24obqM2kiJNmfns7gzQuBztm1bmvm98bdk41axttj+gcfJpCoRwbu WQb7qTuRaQDR5XXPI0O/V4ThzF0Fg0X1MzUAWc989U6jkt8mqJN1s8K8sEHZJsbFS4fV4L1GOAMY 5zhG99o01IPQxpB1vD6fIyDu5q4LNOYJsJuBioqtocDmZvt2/2a3gN+u2k+85ljZnwZtun2UFnqW IWTlnmyPP75Cr825YdUf1Vi1UdAdk4MHo/yVEHlbKV5T1b00K3ToGsVP8F0J00ZtoNalGhs+cgCY 3e3mrXPf8b9dbqPEvob5z85hbLvmOsabhOjLlq6dwxX/yBUGyxaRGG1HAVtSLgtgtrHTbmWrd5M4 T+fUz5mRSNkdmnvlZHPc5s9MdKrxQegZ/bwg1NRq2qFDrsp7l8cmdWrIOGkAZJM7mFFFra0ToHyA 1K0J7mIFafjk80XTXflBU51KISgNMb1aPi//gSRjI41d1Bs8CM30D9qP9EKt7Vprs+G5PcfN2Nds WfXnJpckUn/GKcB6gdy5FNHjEFDlZ+KuLxcVmWjYZos3J4Su+ZKAWzC/qCGIgw5pFv0b3uWVVlRq Muo0DIqlbrhh9mOji76tqqOTNncWG+onx5heoHtvbkwECvM7KVL/frdyrbnAHgOfgu7PNbW5pqKz SUKn/eYRk+2giwOWG1PS7IGOdEgGHLY5vttUB0bc3q0sMGnhQ3bYHg8ztGvozo5rCXUjWVkl4yPu Ff+LqmD4L9cc6h+YWDe67fVF693B8lmKXgrQE9OYUMSx0oo2L4w7KMEu9dOL9ghiYWKVLondkF2V C4dCcwPgUTwMUOJMB9cPbtwhwIFqHyBrYVOcUK7aiq5kdCJLHbCkBDLPD8yJZijQCOhodC0f38OR YgvrsbqnFs6IhmUrWlMKVr2VD924+SxvnCcLXHK3lY25+Llpd9OWEA/nBgX75T4Aq1UZeFBW+lOM iauXsktR2DOIDS/TQMy9l1S9lqvSJvhZQLlL00DVOTz7hSeizVQbIV2gsruH6+PSxsGJBHvTlwpq jBoC4VMLZFLW7g3WHiH+QgkbyLU0GMqd8ppk8bDulk+cGyALWwstnEXrRe6WHxJlhiL43L7lC/5v zKo6sWsoPzXfu2P1tntj4Fp+2OXSC+jMjDHmZcDJbRu8uxVvOxbKp3G2MNfjEhBsv0RjNue3Nqdo zE+ijVGu8nRKxrC5aW8SYZgK9LPPVujeQ56dHHcdfYtmk55Kf/0DXnDy0Y0hHMMQL9F1iAgd2vhW s1VlnKB0GqRrrE4Q2lUdzu42Wy9fLvdcotMVc3NLxUR8O3vApoGxacLf7pWf3h5ZwZ41mt2ntXZw sy/4nMXLHckB6FoxsfEIKwXxqQmrMJncQ34TlOdiaagB8zYUaSo8NU6ttZsPBW2PJnWPJiJdrCsl vWEQ7/JaKtAQB2PdLAZYUCnFdIB0ofXQbsPXNPRlssRCWcQ94ro2/m821zO9XeNufQ12PqRTvjLb SUwT08gIBd3BUzkfkCnTTm/OLvgds+9WNvGUw8UbZMBc4cxVOhWtrD5Ieq11SfefZf/l+5BrQ+PO f2dBp5kLCrrIffaI4JIks6sw4LfsN6F9sxGGUOUepWDnfnFQ9hzDgApcE0ySyREP8yE80zZTje1w fYVbHXfcw22z9z9ZKvx7qwSwnGVPqRsF9E5bG2wyjNx9V31urFmADi2xSHy5rIlCDEvG4Mhy5Cbu Ae0o2skNqCTrVNJooXzAeWBVi8fDeGyxs9+rRm6o1W3rGGofJIuAhMCdFX8jATyO4x3iQEMENScy 6KBvW70VQfCOFArpH9fLpig2l40fCc9uQO1xMmzL3jS85S9+ho07M05y8JRsmnZQJH0/VZdS+iuY aqUGM33+hID1feDYX62LMcQH+hGQlueuTLeLyGPIzIfBejXt/rnft8d3jxlVtXIG/r/ft2lB2rUI C363l3NKufOdhV2vfEJ2Q2N81KLZjuIh1+0B/vpcY+1WvFhncE8hqfbR2PjvWqoa1AmlPeNreHuy On/bAmFyrKMYapNA4B5NKbf9oncMlQ3k9CwZ1/S7xpfgnFjmHTZYX+m9q3rDrF4slBcjPjE74JZA 9A+sY4IRB7/85f02/Fx7eDKhncd1AGrcddZLGDrxidkg8jN0kduSFCY2Ge+5UucMHIGtwQHm6GOf ykMmPhWM1VNPx6m+jpEJHFcnYE0A6j9yF4X/mX04zchLR4hUTTjh2tLBbqcvGkgN0kjqtR5S9tWI D+8p0Z2qSKL/lXtVmHbftAEDUAjjwUWSEzqNehNvmP0mg0cj2g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YqLs8luoVD2LOx7hLHtumeWWjLsgVYZwDzNhcuP9ppuB1zekOAbOVLgm98uBKeQo1HKdKN1Ib1d2 FfyN3T5alg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SHVUJgndtshwUv/pYQ5e5nU3PoYWTAxANZeYDXWQtEfdNrwBd3FxkD0UV37/Hq4Wqjo00SALlJ9O bjlG3fWqCDCJXeemzliXBvXbwc5p3JEPm4Kj64TxKW1ytdbquoCvUqMRtjFC2281qE6bUPV0Yx7N vNYO3Uriyeg1YeXRr7I= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SmIAZg4+ZL3/Q5FBXzxTp+qRw6MWAhXcZUDyiIgoJwLlzQ4jhkw8MZYroUxwmdlUWQTS4gdEjG2U wPsf/C1w2gYUW5KmMGcsMrdIt60AmN+4/pt42er08WOnLAetspyTXiLzOUMPcEYWOctUcNkj3wJt Dz31sxqFu6E8W5zInwFODkt98N/sBb7gr/yKmoLw8pxm4L7IXpwqbboWgn3zZhWAls8LXLjORq9E FwrrgI1V7kH5XgCOMWDjKpi76h463pH1DIb06tIEzOMVezTKimdwjhIGqmxvF5+qFzFMnIy2HLAT ca84by6hFJ/AfmxtjxDplAKw+XGUDfboE2GIfg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VsVagsSS80a+xvWFkXtXcXs+PiK/k5F0A55U307sLelkeGBRQjYsjXGIKCNxHLDCva16Kt1637Sp duxxnmAIDnHPgvNDWi4rmh6C4KhlVEw3oO+GV0QA4wgNgsP2SxFSqL9OinZ5vjHkTo4QQMmMQWyW TRmOG27NUoLnXexpmvk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block I1RqihoX5DNeyTGlmTYdJDyQxTwUVKenNZKneGJgeDEfnaq9pi/V8xuyN4wP1+lb375lYNUlYpnT eyO1JYpe5q2bKlBmQIQs5Er88JwJOp2J3wNn5oZzsIM2wXsIKwWng5xLUFxxxcTHXFlqwFT7mPbe oQ5ZBnm+Aw/ROZMx5JTG8kjvAQeCILXiP4Kdk1GrQ7Rfg6FAHuMty00z9NpAAogmElrLeGHMbb3+ 588Pbm1X5j9q8he2g4LU2Nv+gteagJAUjrxFmFUJ5e4Z0Cw/5IP1cXjBk46iOtQjqoSjyYa3w5gm ouO6vyvoKxv69isxhAclp9J5n6YuC7S+jvamFA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25744) `protect data_block +IfSizmIIiHcz6llzlkv2P+SXMT+eU5tCmVG+s4w3sqA/Izm7LWO5Xp9Luqd22CuuukMfUmpQpXa JqSiBxTxvPwWC0T8ydsswBN9wcRcHGiErJwDDyii/7dZH2xsfT0PzLJVzNpNyWxhA1eW9nwO9otG lNC3CKL0sWcVovlUJOJCAc0BnB74c5Q/4Cl09S4A64F4WAGPxOAVigGcuHNUZk6/zJyXLf9BPMVA +wEOk7IDWc/+L4JscLa3obmt7yI4SJ1BiIhyeqDNW0i6FLww5ygvlw49I7n8UzPGUHV/rb3+rC92 +XUi4l3DzSMC8eZkyA1hw1Ed8LZOKIWNuzQIBHlElNkjSspc4AIdXQIIRnWyK8N/hYcvvgToAASv W0FxiyyJiR1zWVDBKWWEIqXUd3aFCFcQFlQUNK/rRMU30aNWeY4u+q7JkbfPX83XhhcIBprxbAKs /OBLJ3wDfsnFcybWdAXg2cf1umlVTgS6Sm0NA/uq9Jh6VqVRnB5cOd6pnEGb9/pcPb6A5gZjSoDk JOkP1VlCKddnU0RbWiAMLkqJVSuQuFWkJNO5vip4okfz1RwPm1O7+Qi25b8pApfSI47XxfG43eg8 bF9FjFDXmP5L70gS1GlztalJhWlthwd4mSxwhoi+3AmZ2GftDD8qhXSkGolX6z5tKzJRcW66A7u4 NRebdF71Le3cwMSq/h95EIwQ3UomU4UJdv9D9W8dVHEerQOUO1Bq58Sp7w1EQmc0EmE7hrHl2WCE iLgGxFA2jVQz1g+Mai25dorkapBzhmn8mkgJi/CkOB7o5xuN9Kph8+PhPEVMmAvdXomiopR2g4Bb bS2UWDRVBThuRED2ll3I6O+C1cfmAgPlsUN63pvwzVje2ktTc8F5TklgdrEtJKWnY1JdUJ86f3Fm XoZooa4A2MQj7DexGMI8Ws1Zu/ay+YTzytwKmeZtD9iElwVUATz+cNsUqVNZ6ziqBMv0HkWeZJuv /8+tPrJfd2PCHTFGLPtntV7Kb3ah/AHGdao3JaUnW0+ZAgWTh4pEA1iqm4GyVDKYfUhkINpEyG3W h7bQJ1j9gmLwSEuwcYI4RBCcf4GBLSwxblXqJppEVtQOSrSFEbnzRQ7gRTYxvzDuxX5qoI3SPfjc v22QT5o76hsE1EORkJExZ59SwBo6L+uTRRBucKnsChIknvgPVDyJB7l//UnVm/yd4w9Fsgks+h5t 8YpWatvt0DcIEq6Cu0iWcEGsflX9DzF91SNeHHIouWQYegBUBGKBX5iYlLcUgiaqM1ss/xOIwXyt bVCPD6y3wG25o0mBpxnmolNwBTAi/DzzJLALQlyjD/H2DeXjFXVcsv7A/MF7edKEchVAQ5RLGAve ikUjK9IjeVkVwXY3E+c7K88ojSEYso0XT3HFpwpO0j8iSya3FKSOKWIMU+gPPCZ/kwdqiHok+tXA EYU2H95B05CU5JITuE3ebOKd7vkkJfGeAP6gjvtocUlkxSOLxMecTy0AjVk4kxjUIKA0B1ZfYBfe OAGFHy3a9WwyoBK2XaWh+Q9pSbnoMVPZgZgKrYK3bBAhubKMysCGF5ZqgKkU0ohS/A2x4dh1eVac 0rvCVK0koA7o4N4aizS8ngJ4Gn9AL7aWQ4jfyNOyZxn9NCj8VZ2TlwDBO92tL/XC8rw6wOx70nOP ci47/CYz90Br7MGlG2uZgUIbx3zKSu+HdrNgl/EgOaWGgmIdO9gqrZ2CWjo48/B2ZK2FnaB9APDG djb1PsFVUfEEfhwze/Envr1GmbWprgUkn6fmlQNrjzZy9/BF7aoH50Vq6GQqu1tTuhYoqmHQ90sF Yfmgea8w1aAf4j3hOpytmT3oOFyjQeDWyxbkmu0MQkGAKvNf92dDm/Kw6rimfTBEIsu5C/AQ7AcA PiNlOEN8bibj1pdze0YzXAdoJ1GwBBcr3FsEDBe9sx2toix0a69N1LTm4hfVNjSUliZHJ66ZUi12 W8RwN9rFV9/E2mvD8mVfp7CE5mhN+AAyEWPZDq4hSER1TIXnb1XNCNo2zAriWlI6aR1Qo6tgEJy5 tH2WNKUdMw9SHcWFaJ5yMDunMXcQQcBxTa2bbAS4sDLJf3kP0EM29/CysKLHmgEfUlOA/vHjgEb7 tc0rfD0f+cVGShqzPrDtgixDDf7WX0lZPpNgq7ISdnJ07VFDQTzVwCtQj+rc3rR+CHeea29xvjxV v/XllqbHsFaJdfKeEVbYgFzDXt1DbG1hq7uQmULuW01Q259/8AwbP/2IxLIdBqjX4lPB6QwCcFwR mszSKFpbT7Q0wTPgjlO1oOYECB2mE57ZOCeLM5xPzNQod8+weSTWMTU51q8Q3F5vWBeOi1NATS/J MLbgXOcuiPq8qiB6MBUZdSzqFUXEgVDsGppxDdWXAcIeOZZ4GeR40aM4mfY1hKLUA1Qw6ZoJoudV SEDjZ0G+XA3PmH1XVNmi0znwcUokd3SQSEEQNDtXw9OD5gpJVzah2LTa6ovz3LRab9u8jHOPvXFA oneR7SHp4YUvZORSSuX0hWgDBns+ffJnAY1yLWdVWyAnhtiewnAqSoAlctpFlLdYdhrehPDHHHhY 0cXB8kVP/O8xerVo1QgVOInmLtNnFBODy2iJpvr0L5SD/aXhY2RET1PCO+PZwCJXJq/0C98BuiME tpqtG8bCzfxQgo1KOOYxXgWSZAC+L5fAn0itBO95OadyBghwLNziPLEGjJVF47YaoDk/raVY1s6m ionfMa07y0Cuy4bWiTvGzJWh1Rt7HkyjQ4v5X0U5yMD6629xFqzjKJw80qun6/hekY9DiUll5TXJ D7UnOS1HAn3F5Y9xEbTzRqefB3XYwYpJbA+bQwEDAbSIyXy/APUWlqE2ulsQLMi3ks2VlEEw8b7U Su+EKmj0zC3yme6Y6hB9VZICdjVznP/N7chROWXWLECzaCZtBMzKfuqJUEor3NU9d4rXmoEj+ijP SOvJFjjBhKGfSMXQgj3yVviSHiawldnWTFZPCeo3ZxE2YQwKWWJCMDNOel3pO8gpFWkn5YS3zLdK AmPAYSaQYmpr80Gry0gVBiKgwmk7dbUDJU6HRO0K+6SsWEiG91y6Bd7ZRegdoTd8AM6x4mXRBOi3 gCfAnEN3SM+yXpo1LRCESPSpz/HEYC53Y7EwybSe2Cj1TyLs6e58EACij4kCqGRVLn+8toXXzJoq okgcVeYR4pW28UrnjNu6WCZrVXz/1sEDufaX5ZysRxN8ehgqryXr0dKux91ibjCzgmP7ZGajjYS0 dDnakPx8jomlrWptf14fPfoD2pMtiezCeVgm31MYt716Dr0t+F5UZYPW3OfHnXZGkps7LIMHRQJO ZvoUIQF8ca8S7/6BVhj19hfetQV0DXSH008fRBA22Rw9/ZIM86Ra4em/OAWv0oNc4kYUyjS7+0t6 WObx5rLsJKmaN51XskVxt0DghJj+M0Kzi5FB0vivC7T/GpOy2UJIylwwfnD0L3IgrD0sd7vHOHjm 5kO+/L5/kFEXViogF4QypoQn7B81sdumZSjW1Vv1FVu4XGQIaw2JLciQkUqtfINPicNH18mhUx5y jjKPCLm3EQ+AS+az4OUryE4vYsi8R0YoJ6cSaBz+YeKL9h5S1sdZPKZJ8lEjJ3mO5PO28bjwoCbR NoNCkkNUXGn5tghPNxKaQs0jHcYgajVGfSOcTGRe3oZQr2OjJcowbJMfI0SEDunMbhCNgSZPlFrO qQ6+o61zxhVMWjgZuPWJOiUjK1Ju/z+FAzDRwj1/nG0BRx7Y4e084lLTRR86UaBN3n2X00XoRJbd mBp6QCkhSdd9W1+W+4b26Oiqo/Gjwy3TrVRhLCWSZx+EGNXjro2yjd9gZQ4tAfNzTFoVW6Lszb/x 6A1G7nN2w6Urzg5cPOHgQsB4J9SJYrU30e39svjf6uGyo8cuPrQKU7gkjaClD6DZcUWniNUDblQk Tw7K1408SxEZ8G2zdwuzx5683xPn6IoaBPc+zTcrpcFyFlLW7PUYcGyCjBgUaYKsSUYwEgHB0xTJ UEQyNGgH/SMetiTw8itHJkheIEZ0HDYiXGk5cVHEVqreQ614IGT1Cp++CmZHDYj+6Jd+Xt1l+HiJ Ia/pTPcbhaEcNWR8PqyL2EbEzLST9RESB9974q/RYUXCrRlsddgOCkwwWZOJGEEg7umzZialYi+Q WBqXeDjwQwPxNfr/cRUCqu6QZyA8miU62Fpss5QWKpn15FHQdL2SDybRDCrq7/6zgQqioX7rYZpj hp/pW81ByifNf6yCeBHRU/MQ4gNA7cQVZmekt/rPb/DDTU9iyiWkECIfJ9buBEJEejqcdNgCp6Ws lQkMZPyCyKmo6WauK/vxfpFC2PHfiwMgf5yuUR2ydoCTglEWL3u1CyF3QmLJt2b+7VIFVFsEWOLX VGzFsEL1d0b+cTS1ufQr7qQpRcK9cUJqwa1aA2JPS0so348S8/SgzE9UflKULwQVc7ezCHNqRGwl Uqlh9uzqCB4dtfW9m1nGjXJd7LJhm89eTE3TOsvco8r72z41x19VZl1opRJgJWw9snE2ZYWp9VYw n9OTvASVgEuRMKWas5Xt2QfYrpe+jz7/rX2PMUjvNBnaHav9aRMKcwPYKUwBWvjp78odAsIAN9JJ qVYKjVyz93ww8rXMx8Ktusdx5dJijqSJNPBE1DHBYd6OalAi7tsD/So8sGJ7Bsznm04DMbHndMFX 2Lhpcv3xRP0CF1LRfQyXtYfh1iLdxwtYs+RVZcKGUWtC2WxSdNDqMV51+pCpJIvip3iuiJVZFLM7 J1jLRKv1t1iKayRcCQ+yx/qL/99SDC77sBgzI9Y5QooMj99Cz1ZAqv65/bX6n23ZqtO79n+MbyEr 8BVNMEByZHgpWlcySzaUnOcTkt5MSVv4xbVIrf5sQNxOf4YfdKkz7bnCA7YkmOWJTLju8lGfOphU eSD4dGCzRIZqYAOTYwZ58Ebf3G0bQ4RS68AqsQj43hfL+umqz+Hhfel6Zfc4xvNybO9bDOwH9LF3 DNgf3gBXTGR1xKUG6aBC4MzB3SjcNB7hWlBL4aPioNFNLke6Te4l/UuDwtvzD5x5BtbEEbpJLw4+ w5vLcH+GDN5l9KnuPYUS+F1iSLgJAwgPJhAwVRq4ttaDkgDJng1/jB9MRx00zcfTWKRSvc/QR4Bw uzDeBReaGgd4WCFopb4lc8GML62chJBC14QxRNGgDBIZn9E3XmcGtI0CkjpvYdKviESzqfUCeb6/ MSXFYwbUxxnEgTMxrYQnywyMa+UIjlLMaa8JewDcOMc3m3JsgItlSbDdKC0ZRhyGpswNhelsK8Dj qRcEAvxHmVb1mw0/ajLdUvEG2FN95tihDgAfc2L76+BYwS+BrL/XgydNlSQM9OeXqV/ZOsGSAW0q giHsb0PlUL7oijE1PV4MRDVpCymFq8A+EaaWi4lTwKeVpXYr8hB9QUYib+e4qMXHLerNVtU08X4o SHBlXzkinjM6Ux7bNyWdAwmyOUoeEmZEMW5iOMJQXiGQNwPLh/ujcBXUNljspFBxpAtltxUvtYFc 4e2PDyLnTf2z4De3qU+h6xoiXo2uWhujh7R0AVF0tbBWvkqVXJgDxIgkna1SJjtgPdFEO06KdLwk 3ApsG7xaRhz0/n+fAGjrxbmCpAE1PCEJjrpm+eYpXJO7hrZuWuhfDiqWWR5BocRb7F56AcWRE/lu /L3igmP2GCEks4IFX6GjAQP4gQJEfXUAol2QQ6nMuTq/JhY1C7eKLuxu0q7iU402liyaE/07zrdU +v/Ik0gAnV8+ArdzJAlyjchw4BBVJMpfCIiPlzZOjh3JXRR93PdwB0NsLWtkmgHxLTxEDlBaQymp Puxmul1qIgZzOskhy9PHvpPEAC9I/z852ouMgj95oxZYitEeIowpDT3rkb8VoYNV9Y3SGkj0X9Zc 3C/lDyeW9mUgRDSFDPlCSQV3/z4q6C1OKIsjgAkSQs1GjZ4dHEQXSOM/jR/5U6uOmMBDt4tudBXD 1wgnK4yUHlrfXt84IuksiYgsNAI0Rx46yFcGFI+e9D3noI4oZYgizNRtq9Fkg7mxCR0CY4DMo/57 hYeMn9aYe47d83uW07hbOS4IHB/PeXTSm4POsXL2SKfbBbuDPFIjPfafpyG4oL4WGwzLI+ZzhqX3 wX19uQK7lL4CeWOB9OVZjm2s3VU0Q9bWUvavlkyOrGcHfSmpA/uGSR6l5VHrKjCkdH3jNUbFqUJv Kaw/Bnj6uAZanYhuiZSj8Jm6Bx1GUXYfXWziM9iRLs0SJl4096mv5AZQrOX6bFU0p9vbKP2+qebu +V9wYQwtdP6Y3qFA38ukcp6+PNQjXLXy/nuWGj+bA8vlPOHzCrCGVG14n7s+H+E097IcEJ28Jwup KaPcjMJG3+Z8fw27tDpioZXmZIgPvbMmeb34mSg9aXqzUYNr6oOGAg4kdh0rfrPTCNQId/7mEcW9 atwlLjs2dpPd0QEVGAnnpTqXic4VVAifGEo44SZS5kAfbDsVWTbQ+QlQPWqqZJczjKWDXOb0fc13 avYLSm1tfGIT72sqWyuojp2uY3a/J9CicDZnSMdVkE1INfAuIaJViMjRZQGrUtfNpZ8B4zLIPNTp j5thGznqQoa+E7MuZLfwVWXLPaXtaj/4VLZFdqM5xIf+Gs1SbLYSkMvI22WAbjl7joqr5WTOcd7J EpLu+cdp1xYmCQGia7o9MmP39dxben9QLNciPkcho/BFB1FvvkjeZwcpeTUUWqr69tS4wxaEUmpu KQs4uBl8xX8Nhscbiqrq/M72sqaUUkl6FwdcIF9cMOFGhDvBIBNcWzF0d4IIbIJDR/Bv7tGbG8kM SLz74oMHUevI8HYYZhgPQGfVsttynuyLwjDk6QPhr4Dz1lLKH5Hv/V7q1/htea4P3tq2JTexsnSA 9LljacPXzw4i8VrjjHV7+5C2C1hpyklMX+1pSSYIBPGdpLdASdvPtWa1eLEb3M3VyKHqSmU3ClSp A0ZzquP1N7+qmb21xeEAbMZjYHQFO7A9hF6LQcnFD3iLu5I2x0T0Sm55Ft4xK7QSWjxGm/NaST9D /nSFDLnXplG4Ll8xAeGow7gyJ3AOO57VzrVs6U/BIsTOYlD5CJXHBdx/o4lG46vDOST03dxwIYJ0 GZUXt43/6/NjOPCcT0MEumj2CHqmMRs5ATW+07IM8YF1qCRUh5+EQ3Kt7BQ6kAwU2GJdVlcIQEGc 3T2QqXbr2ZOg3JW7H2jTeoyqWxUjfKluYyhKNxlJw80N6HFaa/04tweS6Rkg3yK8X5zYc4RLdnRi diIyWV7XGSFoU8ZGXhQRX9gkbamyQy9KVRPNdZYJMXJRB3zsicDPF+YRjUfcW8AB5WwhvZLBFXJu Qw8CJXLjvOfDYk76Zggj2i2I7RkBusC4texIKKPBoveP/68jiRJdMHrohFWsXqzzErf2zoxoXyi9 eKKVdLk7VRuELyYs0m0BDMCT3j4oIE49zy8lCsywqNoBfE5kUuHrHoHaEOm7cYEFoKacSvCzj93E aTsnuhnuSGnM2kKQRXLbmuZJALwUj9lGMxNHHM4XdaN9YKutCSQ0EOQi56mT+0fczGYDkneKGYe3 pSXqZjUbVOUNNimHTT+b/b/fR+n5vfGRGa/fFI9Oeo2G9drn21KRe3aNCN7MJSRGyXhFovEiCC6w /O1lhsQSkJ8dmbMHAi01p9QxPkdR7XPaFgwHpN04RCwS+omNmrn9EGjggH0xRDF1l9DxWzOTWTOQ ACv0jQlpsTUyetZ2i0XBXS9unDC9e++2qXLgiWEvCHsozj1OrTn6u47gCSNgX7NH3Mc0+Ykbbkkq Xbf+ZHHLUvPihtJhk0lnKvSqXLbdxHKJ7x18X7/jhmTPhC+4O7Tul6VmsG/lspAcaZ7o3otVWiLM nBLgFHRcBIocGLEGIjdIiSkPmq+H6I2/d/YZ1fA2DlQJi1aUdvQHLKMpHdOe2yPORh5iUnnyq+aA DAl6D6EpVaqefhy6JvvbPFPc0ab39HbEjr6ZeuRoQibMkRudu+SsHRINw9xy/aKTvA9k8R+VyN6k S+dlBAvy9sp2Z9zfaIDi/Vt7a8oliA75UwWrnxTVTc9p+5Dpi0ihwn90YOWNt9I4HPOPtJiiUO3L R54GYjgQDGSQ12nQcnPDaisJe9wVSRgBtgRIG1/3F7OnMioOVAPjUD8pyXkAUUMdc6A9cSHjukPi kUnvcRV4Lp4AAAmSZJ89R3fzBfcYpZx42xZmrfdw3dKeJM8slJe2/3TH4Ks34HE1DmMLLaD0yrMZ 7jW9vHGbKvcwPiSu3vumZYm3sJ8OW+U9XOVm05Y4vPh5eSKmlil3kDRM7zMwhLzUp646OzWKZypD XZ6oSIrQuomHPJ2FJVRrXjqNF1TIk0C9Kg2hfyvqraMySPV1VoGii7qk73FwzCiL+pMzRIP3LRgh 2Dq6WlcJPZpyNPHNXv/n/EgWbusjTyi5p8h1EiuSrZDKTp2caAKGovz7mwerob8xP+m7z8ucaFDS 5lezLgKux5fzWlguKqJcAmZ2juMYxHTrB/3ebFGnfTOUrEIqXI7tOVW0zePYltjhz5BcvLnecNsm TplhRsLEjifG9hEWnxQQeULr+sYFy7UaMdghi3XKBzBSl8FvfGj/mrpuCwfk410g6RKRpDQdeHYP wrDtZZ2cpRLFQIQTEnU5+ngb6GIKcRjhcbHTQMHfjhewQJ4//VvU+wuoNtQiJ6vqAVsZk0iDqS+J tDl/C3DNfoNqM3j0BcNCVQp+spgGKQeAok2XJakZiJYH1AgHrwoJfsV166NKYsjIinvo64ewOYLJ 9YPYf7dikf/RQIXwWNm7HAIib5EeNdby2732ZH6CxYeSbzzXwYosty0gPePdzR8/F19HByGrjmq5 8Pw8DUrnZzgfWngBxLSSVuQKcR0mh3QgjOZnNquOlGX8CjMf6DuTbD+6mAJPilwf1F6CoG5zXusr MooY4wuGKzqkGowRnYd1avCypfEdcd+dO/FP0FjUAM1yfyoelJdpi1+Ocel01t1nqrKZBR1iwaNw 9rDh7CvpZwKeFF0mFmkX49KZDbQmOwgsOhD676deNLFjTclf8AS4E7WZEYnkQfh4a7o+3YSs68GK rtKTjGoZoZc5nlRclnyRbIccYbslgMjReaCyet1cJR7HAxq89VSD4esjHLtHqHDo00VkDw1kgp9y Hgx4kpaUyNQu/pWqUxlfSs08zgm67+fowpo9gmoRTjOM5qt5MuVzx3aKOu1Ei6t+JDe+bWVIILo0 6VNPOjMfWaK+Nc7radz5tjeC56eBnuJGaivft7yZ056mMtmn9+xonZEH9l9UmQxZkG96iU6RbG0q ZbUWOBy9llLMWOeagOIa2Eb1MPjT8FTRoKU511sCnmh9pqjXwDUZbNx2UqnCYqU9FZ4YhMo8fMa7 W02SNU+1dgYRcJnQwGHysbnXlhAURzy4Co1qo1ZgIDJ6aKSMfa7JKdMXwwMZXMQatdBotHkCfj// Ek0oAfDHI0xbuVrkRaVwF05VB0b57/m40fKhVvDVZV1DjZQoq0DqlV0vsrLjVDLm7mexcSBLgBr6 /icedv0u9sPV3IXoWJUIpjZnxKf9EUS4bVIVwu6z87ByJZV5XB1VRAW/mABSGOduvdeNEuUEdIlP r9vMI9OFDzJ3GGrREXCUC/T7QaHU5YpIVNUPZuZ/ypXoZGEgZVc8qPXmBHFN2dm5howgIPe5JKoh WzBxlLnAMLSxLh1N9ELcp6Mo4tmADAp69jQTy8hzLhH2b+e7VYUV+XJuSQTnR6ZNk2pyAuRpLlEJ GANYaCaQcDGTJe4jpyirWSujJILIq+Aw/lZBmwp/zFPxT8xjAkNn7+7BX6FsBsRzoBeveZ8akivY DVSSg6Zw8VANKa85pGUDNqexasdxqsg9d/RZAfoIoT9/CQbTHx4Gt4QzhdBaUolAJz6SsiHxv6hm dDAslGYgb5azhLyixgH0B2FH7P+Lz3tjvw8wgeGOl8X1RQ+sRNppBKerNaKlTY7rq9SEUOn9VKPG 0qlVde6VEF633f+uK+Yn0xuKiocAA/B0eNPG+xTkSBDls5TaMYtq7uBTQi3oGYWLljy/5v9ONy2C GdzaS2cCc+QvXvVW9bDb5Yhi6d8+pbLCdE8Jzj9UJhlbQEimy/OjlzjiJXl3Ioqw9FeJXGgCu9WG gsPJE/B9C7aElwXPVGUxtwf/0TQnru12SqiM57DbZ0zeoxU880VvFX83pZg3Uo5ME0BA01JJYj8B QGEeCj9W0ccOi0B/WZG0dbYRDrxo9O3RNBIMO3UD48/xy1yOM0lljzxkbjvu9RGvTw6dMAXJjSDt ECB/tSTaG9F8vhCar3WB7chBMlv/lpC5zj1PHuAGAnnKL9jow3A3gjFQTGqBTVP06R/7bXhH4QoV aZVyJ2vrc67lX3gJymTSXdOei/9+fnx7iSkV+dnDQQ2YyEW/YZpKChoHotjp1shU9RSowlDTrlof PzRY2lVjlbgGwlXXfeQ6/dnwjvXcSFaXidt0RQYkn0EjIi+qsmz2BvKCl2fHAa3M1+JNKczB7Vrh 0AWX/VlWzBvpAHNsWGxje5cLibNKw6+o90I3cHFiALrC1lEqwu2h4OupW94CSV30apBGw7LnfAyz vaIeyrsO3PlIJceZvv+uqzQ+dzrNZ4YgvkVR+1dLhJr5L6fEy/QSE/MSQjAVNo3qp8ZpyLoJUReu iObeDQi3j6Wau9cO2nRQFgRAfmpwEqIwnVOq/9C3K10KisI6Yw00rVKXtFYBOv6kgR4IbXz71/p1 pTz9sE/Sz97S5Hv37Ow8Mbs4PaG+VtP9WTzzLkN2XY8H7wS8E5rX2+cVNvt8TpUpz6uM47S5sB+1 feG9/Yl2HIfRQvVhIMHr6JFmNUCxhC2p/LScTmF+aTZWzjxmkci6byqkB9ksKkfHVV2qqPerHLmq u3q1zQVU3T/8LS5i1dvOzRKdEQNmD4kBrqpCAsZqdpXS16lrxu0aXBgtgNbAOS14Be/7YyvJaxLW 52MIZFfYENEX4TDAy5HehXKXg6wWVYlPNmURFPEorikWR3yfpI+xcitYln8cgyEU9NF2yBW4e4JH l3V0vuujSJxvmm6jDEX1Ouk5mOnV8RiZgv97ftGmbUQZTWuvrjn382v8x897kn8AyTmo/j14JEf1 Bkdf+A1U3Nx5u+qZDinyC5ZCFiTISb9/V3L6/BoFd9Y9DJqP8PKt2S0I+ZK7TKHwNhDEJyOCp4bf Ww4bMARxT4J/7kRaj6J6PsbqPqtoptQY9Aild+eovI0Ckm0ISVLBAvC3R89UlVz0jD+UR0eQrudV ZN1IfCA5ZtTdqPmHlrvfZTUb1Xytguzc1zM4cKLWpr8oBGo/+rvuS83nnB6wnS+85vsPCCMyrTEM Fv62ii22nJfa68IrGn8x7ZT+TpMS5QRC2UmBvTv1cRtSbFHWMKocQM7gwVByk8MFNEZn1D1BuA+Q 5zeLo5lotHsBoM7cEr0Hg1zzbZ22WJvcyMtHVApQs1SOzyhfHeMaO9jwtb8OD44MKYyY2E2MC9BF sAjcljpc45SlRZyx1Ur6TCxDQ9vqvC0/LvEHkiohPelC+UEhCqCeMrV4Lta0IfPD3QarOsRYEEHD bwNzWWUGLyV784hM4BgUAjKefOkADA7WRwJHX3Tm2LEponTwqa0rAUdzs70771+4JnXPIPYmGQ/g S13qC2fVy3kMrHvJ8VLput4wkzkQzfwk6o1Ipw7C126XaaOAadqomD8kbkIy/qlOr1iBflCngp7N F5lChmlX+yILlw4073QoWLHecwiV34u4/TXJDn3ByrqZDVOwguVch4q8Qb0AfFfZLZptdyR5Ygys YlHR9466qG9V5zOdbrmJ1JkcbkOhaNZw40N46vW7o+/+cQSwVTRlrf4of4ISafRXWmWqGHVcCnnC lsI/hSfPnuBRs556WpZIxiHecymrO0VyiNEZV+KeWbzNUKEHgtELVk3HYLQb2aSC/iIY7IHaTmhf tMPjXM4gAwUuBi3Ql9aoDpHuculi9/0Dvk7qa9cXuTGx41MnvjnaaUlfuCgsSIDSSVM1AZTd4fEc 2ol+/4dr0e1WgUUJPiN4mqRarp8YHKLHvYNDeaer/1d/JtKmowyOUu1p2vv0RKqU/NmlVXVIani2 MQLM1C0DlkZqJdou+KgJLjrEY2XWkWY4TzuW2IzhlsFP28LU07cCkB93KYhvyRVuVHVU7cZiEwII iSYGQWP+Ef6xlUTM7Do5mTivXO5HnlGeYm4PFJl+N4M42TCA49pi5cQOQ8CixlJT9/agBja8Aa+P Dh9uFKKmSZoT7EnCK+qCxzEGGkIo9gifcpB2vtRJOJTfiFFh/fOL/DMkjgefREtdtTmTk9W4KJAp /eQQ2Oa+5/PENa4XS8hfiXsDk1pDnBPWCdKH4VK/S24/pwGuQfoPHSBqNy41TOB5SaLo4+nX5gcF wNdLbCM+/j2tx+Vk2ZZAXtgYKtr2xeudh9OSl4s6ZBXIvdKlATO0YGnOKnofNPMwS9XXAIEHumjB pBqKbsgc4XLWLN6lZ9gjn/6PT4bdCwC9S9vLuFXaUOQlSSwhTTFThg2T9ecQHgKIfWsQvub1O6zB KWRnjp8ZlEaEw8MMFWuUoabV4phoKLagmqpvNMfNKYn151CgBXxH0Co4AWrz2oLErYFfi8PiVjRo GnltgkPBLS7x6cLVEpQywAxcgyrQxfaNe0qpSAhitGHwTzKYCs/7qMPAMDENltncUxHF6KzeeiEP RukRPKNl+qOUQV3sMdCIWHZy6I7hJ/q5XuQPWRQNlx19iEH8oMMkU3XnNxub6UVzofdLQC3WxQ0Q GYO58ulrKXT2FgKHXxM0sjeTRDA2NjqOO3LmhV5/xg+LLuJ35anS3gnoraR/Uyx+yMu8W2kr7TzW +gE/JNP2IOitwVqT/CaaVG32cDWpHN2pUwWfh0FtQ3o4rdlk4KHo8bxsdIchv+4ArZbqt+GjPTnQ B85T8sNppXKH8QLiBV8fewyWpP5jUS53pLEN/gwyueMhyGExvZrrJau4XzkDA3gZ3gzilpLB9CNL UQCycVOYlPGLg8oZGENyt78pXzZg1ITObsvR37tDrEKVnftUavUzaxsRoL8v6fR9f3rsbSPfVhwF ITwMMa3AY2tDIc5KFFg+wVvNCz08emPPcUqScEQKx38+8Gvzf4SWRIZHm+RToGCZHZoLUNOxZOaU h5katMA8Skv4FipNckUupgJa2LiT6ULZ8stlGGlmXMTu2YuzN+D0dxgZYEcepBK921YJgMqO/BgS nC6mpJGCm8hzJqLzx38qBGOa9XufPqu3QS9EeWObKTDxUNyyHkTOMZUqkhy+u5nY8IbCcILEUk8v 1nhEMCNuQrwIX4QNO3N6iw8dGMC3+6XBQQfP7BVsbw0NEA5HT3mR4pR99vLUxkj81gnAi6jnVCPg TrkEzlVeuJLoHA+rrFjWzxlU51nwb4rcIeK1Z9NkJtbdpXPyPEOq9dCrwF3573ZfIAdDn7ulVzG+ 1WNQpAxTgeNpzTGsXx9QY3KLSsWjLK08aFFsIi8j/pG9XPIhG9Hb0FuETlDQuRIcarxdh6EZhabW pfyBOBDdWC3Ea0YMeMqsm9O5+fil+s+rHda/XJk8L4OxjHc0XG/m0rohW7xO+MB2sD7ROzYYbYmm eMuyN6z+O3c1ICuRjGVeAZkV+Wszi+z2H1HKFf/4C1LUaGEaqU3HBYtQKVRBJgRa2ZVVl6fgPnVq wBOUg/Isi2QAh2heRaLknN1jESxk26ikvCPVnnUBgu4NjYCUUQzQ0zbqGfU2150KconMrRzzLIoL z6lQOJaYyHg47w7k/xkxhUUKFLhGus5h2mL/7/L4483HeCqe+ur9q/wxKAt2+mzmmfiU3vkd6au1 vGavmfFiYKp4n4PnhS80O3zVBYwQ1o4yjYrF+05SjmCfMkQBP+dhYJ1cTe7qBzIZIBhwylCb/9V2 c3zamPRDGtWf0bbK3iezPAitSX33aiRkwOZ4SZQ7jJocX/mxAHjmjctk1wr5QUxifsopNdPRtPtw 4xEr6zSrxLAngJYL0ixIuOpgbG8UpzpEltiJfXkQEK+kxTvVAdZ0bea2yVOXeb+icOmbcjkZ70fn viDF4nhL6j284hbfdDNT0pQ4RNf9JLraHUVMc+PJvMJDyXVuLHIZ9Aerc8krCh+Vo2DbcSEZ8YH7 TGzafXy2Mi/fmbUBr5atDnMrQRRlkgm+qMPiqQ/QXj1aPCiXX04WADBom+5m0r8Bn6m3MDleF3Qc /hcSBAlDQnaN+g+mZojYy2379yagWhmp+FN4VTcPxiQm5DWIfh9OxHxz2Gj3HUOsc0F2rCQU51l/ 7QnQa9fK1tpITTg4sGR5lp5utvvey3kRU/Rqu+0JApcyE4NwmOPvYlfUOy5FvVWO+GE6Nch5gXmG KseFbyPXq6OAyfG6A1AcejosjRty7efyzr0amuRnbyIEOCvl8KvaBK8xKtc34iOSrsrKNnZgzcbf FmiqQX/l1I4kE4Gr5z8vO2ZiI/6O0cG0jKN3+FpreCdATvS9xCcOjAmWrAwMajWAm//4BVDCTFLB peCpIePFvc7YxHse70fk3GJ+BCP3F//ziXdWhHy2v3/sh890Xcl9K/wAvSDkYwtv4X7+oUIuiXTV lxTuJe5GROeDrqJk/RPYXFulDjC5sog4UgayijrBNMlESzaf1N3La3DSK4DgFqr8HjXOVdGRcoDV FX8YcG73IrUFZRi2smWtPXwYbFNTXCf/5L/pnS3oy46LjZ74koH6+VQRnXGGDprjOJVoQGBzDUSB UMx6ycaQN0rnfaNFiJllEjbH9ncHxirDttChT8kck2Bp3x+MT+ZjdlBofY/3CYNaDVTlITNiHELW UKkpr/v0zFFYWEFbbto5fwLXFTxxaEoZ8Buqh4AuTZbGmDNcb66XoLnhatG0VEYGzkVwC3R2uYn+ 0laGKNb3VhJSZ24tkx4hsT6kMmEHUJFY1arNko32+3EPHxwE9bY28W4bb8Os+z/LO1ISCPS4+RNu yzDf+ca+JikfUcgf9MbqGGodbnjGNe5CUswQX5o7cGZbb0Wqyvl+0J9jcSDg1Aj1lwZ19VpmPIWk 17AKIX3OBbk2TuRIss0JjkT6/SFQJqMGifQaPuNVUH9wst5RcTNqtBq2/HMIU24O0x5YqeO0FPIN QudTURtVOtcsBzooGE+/sK2JISEFXhrqi0d7Wegbn7/BKngtO+Oy8YZ8347miZ77qvvrfVq9xJlS 2zp2dqG+U5iC1sHeFqJFYtB9DeR+/QEmRJkFSFYF5HYTT5Dip1+4D7JgDmqEBFFBYR2VnYfeKZPu 1qXkK7KMaI1urwnH2cK8OKY/hr4DdgbQT/bM8ppMqLxtRF3YmYHyBeX6bgEcwd67CgxrOBDOZQBb VdW647653IiK+IGYxkpnpiMWrjtkK2ydYZpzRpXyJVGfmqxmmxBy006Kvk8yPgGZH4hV2zXh0jcX DzU592tFocYMz5w579UPKodTVJCtJtyziM/ZEPSPC6yGnl4tsaXBghypiT0VBrkWSfWsMjNhwZwM 5cJiQmhwqShPlVXptM3GVD9iyKNhAPG/y74iQArZZxmRAVKWvyswwk7uXC26KQHAoU6eBnVeKilJ 3DsATJZtfqpBbO9bh9jUcRKeO7A5KRjwd/K7+9OyQwQonolViqiRfaD5Dd9JAMA7GfSG+djPepK6 pvqwsU0W74eIUXjXcKL7Pp/3QL7+pofKm+3S9kmxGrfUBixJ3zd4fF88sUfJSAiL6WW6tzCxM5ko Q1fN8bIXAwblCcaPCwQCI7knh1/ySYS5KhrF29iJaNF+LGbsVeHVEXVhd5AmnJMMFV6szEykc4Cr gP3X+0FrputMj4Qr9JL5GMeSf/W0JouS88rlHqfoMeP8sxA+Nibv3YegfIIl7dXzn+M12/XdfEjY jrQDDk6IHUB9OiUDfhJ6M0gFFtO1xIe1XzJuDyDQs0j9xmaGPeUQKMqCm2wIL5JGG0nrT4+diefY DNu3HSIZKe9FxuL9A8PoEi0OEpqUe4RHowf+TC5XAqGf525/bx9sxClI4BpcLxBzR86eGi2EuRPa Jol/nBFYS2xalw5iV2eufhoV09sQvUHTwaHgzgmmjmTJXC8BUDDbR3HHboGFB/SIQQW3JRq+KXzU YrkOFCFwroXIyE3xPgH0gDaZBcDlTTDKkVI5WxDMR7EyExiSjQ69rg14tRGnJ5VKT1QG7V3XwC9x TTIxC7rXvfAqaXFly9LU2b6X7C7g/vk3A6SSJ/CdgL4BwoM3H6mtpBxoV8oQXZRUOuk5hTLJN0kX fTHA7wKx3Mz2Yd9Szr/h4tGGOTEyUid5RleAD+kfy49oQDjbWkKL7aT+0AdPkf+r+bgy68huUzgL J0zzaSyF2SoZbINf6uuJ8zdtKlrtSy0osDLqc9Qyt1iDziG9+2AJNrsMxd+pJDrMHQJoyxwBP9Jc kr6xo6noW1ZoQP1a6tjZyoD+SFZIsZvUcNym/E4kAHTZuGmJhiAUy7MV6hOhmRddLYb3T3m4VwRE VYnbV0dm5ehHVF+A82QUkjMNpWBfoOUYf952H8BZItAYwUXRJjcOttAP0hdqXF2ddYmM5t2oTeG0 bVJxlU0vXnSkB5ybbNCf+1ahiFRHU8vT6g3MHGafphHdlTo7WDglKAVYsCuq2/WoY77NBhxmSz0r VE8IonMBbBvV33z4ie9fqmyJcwnG2hKJBwZURtMMJZPRVyL6MNIQZjOWLqia1yc1fgTg/OwoMNtq A4Vry/mwdTYs19PEgDJsrIDTSh5nN0Ws6TvEIv8tWt8oTXhQ5yXbzyfysGqF6YH5Nb+WF+KNRd1U V4DYhgllV1svZIBLD3tKqqi5MOWJrHRwayEny99pHDjxu9Y5ltVWsgIsa31tpsnvSYE84A4oYErs RGZ6a3BIqJ3zaig1Dhxn8GuKNb4rZMi9+vgiFqPH1/wU8EdRp4VKtflJpMly/8PvvUwJNdOvQp1w X/9jd0sG82yXXuxfEMqOFIpT2YdoVGXuHwwEtVNzSMjJIcvsPJW0Cs6Sp6mw1Pc0+J16wnVNY3ci yUp2rb4gZZRwmNW2OHEjIK9sD21VTKySSiUsXDUqsQYky0aonPQNpveIuDz965qVvIWVb2Vz/KWl B7Y5/uLJqFwCs4RSUbw/HEWjYkum0v0RDqnCTvEztAtfv3Xtc3A34D+tSY8YhV2d96nFvIAOUf9i wvdSlktEUTvOf3ZBSVQXRc7Vxz1DVlS6lGhGGrIX16lFJ6jqakWJ50MoscWgny19Ubefyjoe68fr qkiE+V4jM+9FfCwFyKL7MwJkjYiJuib5W6P0+HafitCkYczpRmfqxLU4koVGnrvie7kcohr5qwlJ PY/f9o9R15d8nffOOgSWHsUcFyjeFGKIEljiwPjTk1p12lQJEoDG/6IG7cw1zXTmZVeNcMUPOGhv xuv5zcdAPpNMnH2hRWORdUQwsKmQtsPRixeoyYRyAJlHkIxIGPWhm9L+D+pC2K9Af5QVcV4Nh2Gw d84UCvdUXa/dJ4SdqAlnEspi3PZk6PgUBEIi5hXm2r5Up673PXi0wdznYhvWrZNRfQI/YLFUg4KT JolinzZNKe4/lVvUNeZ9E1/88di9qln+njWq6g2upO28LMLn3eb3kYbGuWY6BQmowTUsdCavVP1F oX+dHSZY42ESOUJtOcrcV/55ors3y7ftdLzrjwqto194kYaAUmbOCByC816OOLDdH3KFXmmiFM47 gKdJS7vQMZ4zN+4knlfLY8b2WqH1e5vJia7bI0uhDsUtNn7tUWFnmkdTzSkikz90hZUZpO12r2j7 GfOIWqvidziDGgtzNEgn/EpdcnF6MvheLsS9KSNr+gJj6fbHNp+n9KJdfatM4BO3YGnQvYGwLyfc UNn9sCYk/KS1pdUzKKzZD0ORrsfuGfKjMhunD4O45TTtfkMjL63ZknMHOCdoMoR7zhnp/kFe3hEv /KMk5+6cwZclTgcCDoFPXycg/RiORbfuQJ+HjdVr5jL5TikOl6/eBLGCrTzzRw+yNm17S8kjzx22 5xcJu1ZMvyiHuJJEcaP4f5p1MidXsSGfBT7VK/9MlF0Qoh/RH5q6fEdYitGegUxFmS92x+e5WNzQ 0QcPUCiaw7WUFivYzgOYVNtiCeTV5p8NIwTnUEW0piHxVWqAgIG+lTH06TS1XKvzrOtsHIY4bFpn 4iW/Drogrmd+uZvJPI0PgwXryDMbL2ZUeD1AHPL/eS6KkpUKXwn7369VveRNJOQeUsJPVfFCr79V 6agZnF9WeVknh8Q/2pwDHtiPPRQ1xd6A2LV/oXZTOUqZZhBaPkmye/9hRmYb1zCDkESFvL9QDbgZ RMgBYNBc85CIdKR1Bw0nVtFBuf40XO3Vju/SElNTGTYFpNwnsf5BYue3AgRduL4lSx6ltjVccrkI O2bLmDKq+RH4bT84ZYSQSCfQKmGDCbGfgGC63j/TjixXuzHL8LyBPVUn6Cfz6G8niyv2TXmpO2Ta RvUd3I6PE4rJ0j4Mb/88DlgO4/nUMauvJ3a4p93qgWI6NvXa9NCROJSrZEuEIZL9mDG8k1npBv5B 0ploKv3GS0XJfmIjJ2cO1iBdsBzVe9UuRm/f0hwv6D+eAD9YDCJUQykEc/2RvigB48GP7oAIpCzA iA5IKEZFYA9ESpV3m0cUfqoNoQ5rzpbE80J2kanoURi9HJkcGnGRd7MpBk7T/0A5netUp2jQE6Cw jzHcz6w0BdXkh2adlwwLaA7dMK2/7JvypFGwz6JmFzE/7fqQ9NsCZXSsQG7fcaIWhDzC0sZf9ZWC 8qqrGCzxGQQ0rR+nK3Y9S/3F0RX7o4GyctI75plH/L07BJ29xCp4kHm+OJ1BaoCe5PwuUE48H/Ww R/F9xvG3vtJ2z49SZu+LPVGxE1ZcvdTLvyPmkZJZeQ/GNmSfWhSwN87Fft+sOmZfhfXSJgBm9TKi XNIKVTYSVhVSObJb0rkmAIfEmVeIA+3ZtVohwk/ijdWde/o5b0tXcozpH0Isu7u4sB2S/E+RkpYa sOaerE1lEEh9dZue2vxIGJSj3p4R78mITeKm9K+mYGTlq1zp2kyiiRaXw3o1joBH8TEg+cXdmVTV 1ZrwNvE4XDvhFRrkSqvIPhpZpNT5z9B4CpNq1Bo698RDZVTMVoBlkYbSWbJIBydcD5M6OSWz1oHN 8SzF45aFbUy8VQhSk7He8r6mpQXcsQwoelHisR+LrwAuUEqBZCaXZrJH5/Yz5JUsC4Gch3CGHUBa CqMgG7vMHgsN+LiUPZ+lNivRpt+jbApsxXKz+RLFWuOiPEVTGHvZ9E0PNUmPK8yBlTELrs8KNG0i NDfWinsfrgBIRNYkj6T19fyt/KZ5jYGwH7Koi0Q/mVPlJnLsUiOF05OIJAMXS1D+BFAOJ+dLvXUQ P/JKATqMwC5bi9WB6YlUVRs24AIwlrsTSvf3kUIIRN4bV2PJCrGIBXJDYGujbSOAf5L4+WWU0/aa Vj9hbHPxo/FmomAIxbtwJ87uwHl9z8JP90EjlAs3IYEW5+Xf8Iu9b8yNy8o5L9ctfRb3p3QWAJ2Q O49h/vuUy06qHipk2djMQI4dU/w31p+cH/deMt0Ku+fgfCZeIwws4MnyMnwktr9C+XvfAgVwCeiD nPlbl2XPokD7kUa5PlbJRhEm2HQYMcqJ4SkTgVCbGOcOnWvPIh3wtTnkpGlc+lSifO8RCXXNrcip LkMssqtZEdiRRtd/bSLoNhDr2YllYAL6JCZuZ81DxxNbNWtZ1gWUeoPFoFMwUrbBjAAXeSwpEXX9 3dsV4JacN0Bd6ItQkiDzTsA4C/yS1qJ7+qNdIC404W7KaB3ZfEam2WNCJ7iKLzprOlH4TLfK189G lGrjj8ifEEgI6uBBl7QissIPUztfopVcaLf5GlxK8wAdS0uqe2LeUBrXHVuewJr/X1R0sJpYQ/2r tUJeDxWIu2idF6+7J+UnzD1EA/fT18iZff9dmwQ9S8buheFjxHwm7abibTTb4r5XoUUZiesC6t5P nfZ66hm81md2061UCaMFP2vgLRDMU99rVNEgZCdYsy5tCLCBtipc9gDnyTtnBqW7Fmiz99kqeWBw qHzJAjAa4K1PLnIUDNdyH68TyQ+fZmwP2UOFI05x7OU9t3cB4WCfxgygIb3JBtDVXsug+TlSo7Ne xb33wPkosuZebIrvuvBBgvDHCWsJDTgNqUnTfoXR8Ia+8JzU7nm3JWEfjFVUbDvQpXrSu4l1jBqk j3fNKF2jWYExil+6EvYBD8g+ku3Hq0hmWQ9X971IYNQFWUFT2YgMQcwTliW41KSsn/HxAcSCT3pX CdRdPlna7xzKJoRUc/uoWD74daaEmL/Wf345hoq06MCEYP67birfhKnxpK2q2KucyqMW4BoIduaB vez4LR4HPddGslTc8rhkhE/sFFJygVAJKIjh8SMvQZevj3kXEEvbo6Pu/lV5H5U4EDr9J28fvykZ AKJYYpgtzBxjLxilsfiLLfjRAAE7NxlTx2CixVmLXv8yxBr15nPnt8ysOUm8shbnmRSzUgBvbDe3 35eCuJnKXTc8+9c2KiJlg3Az+aIzpBKm9EpHn42Hsznb2HYJ8FSPM5HLGfaoNWmnNINB+2e4OEpS tHufkJN7cGnEJRvoOnc6sXfU/HemNSDZ6ujXfg2VI+jpQevXUwp/2h8Avsl3998ewI8xsXU9S7/o M39dJTs2MluFRFvHq0fRT49fOCyh4w0gLc5lvFiR/36W4rW7Unpp6WpwF8xtlAzxh7XD5Fv6hdil 0Vzc/sdlHewfxnZYnh8C/ylgQjInEbJfiQWGUnwVyDu2pgv2wyD6O9hEpGtttNJrX2OzeYoL+V+P s0x65kRQgQh2rJvyzgRe51SrX77MvtgBoMxAXrXGr5OS7V1wJBWhCSjSJ8YbtwVaxXGDYwXscQGy mPiUAVQUyopTN9lD4TIYnWrXw3wIN8EDlFZ7WaEIdiPdG3yyv4s8cIbPRD3bxq3sh3EJNY2xinj4 29AdXa33dKpv9j4erXsTHu2VgdPyT/3rAHbkQPRbeusMtVrM1v4Qepr7VuJVhjerJMw56nDkXkeC KwZoqDT7tJhPH+dCqilo47kx+354A4/pc164rujuDgPxyYKFVKY5qt7CYqH57lckwW6Fi+k5bn6a EmO7PmhMWauKC+GOcfzgcZxBdkIgojsWYmWyKraQyYihIqhm94RT1pUQ+jTnRbd6XCmEItMcQ3E3 9Ifq2vUrACvfr0E41YSExxKxdmlf7zDEkeC918WqLooWHsNXM/P/AbJ6ILKYNrP5AouACOCLImyH F3VquuSvn9jnmI2Y1/DBctdPxeMaqjMoRZ+sItc6xOS+LT+fAsprvsZ4A2GckFIi372ad7WuPyjT qjdGNnheggd4I9lWT1538B1Wu8Sr9CMPtDjT6hs6rNjHeYKMnq9R//mv4evDNZjRVTKL22+XIpUT wUMlzotRHYmr5JGl2BI9EdYJJ4s6l5SxB/HBUNmP7UvKKFzADh7rWpS3bTNCSB9AtvFasfzemXI6 oWLr6qfeFwGUPcfaBhjAfP5qTlNxK7z6LBlP15sDNiZ4F30/CLAcEeSNOHz5FuArc2vSAVlEp8ct uDe3OEnFaYKJ6dBVQ4ZekEbU73xFPpau0XJdZAs2WWqfLG7X4tQUoIhkm4zmF8hqkUUEISWON+Oj L5nQUvySG7y1UOLOlEELRLE1G4+9Jxrn5kbvPER55VivHpKWWmjlC1ohTiagbEbQCyZUryvsYJrb WP+LNj6Ais1e2tKWYAwEiIT2GLicjS5mCpQG6BUnNKjJZVn3QiuN+1u9ouGGEgwzWAWDwveufjag tSVq7Rf716m0AEDsJfpXYdDOIH4VzZSPH+t2MiQCyKZ5qAf4D0VO1BdtJcNunjd1xf59slhdA8Wq 8/OZzy7PZajGR6QldTQiq2afY+ykWcXTHhFcn5TS5lbMLoaNk/KIdcTUEhfE+R7ABAa0/3cMJN3Q 1s6i541Ym80b0m9AuiVChCCA+BfALdfCQct4fxYwdhfJ3LyjjT8H9RBUwHlDVzBdGTowQsIRDkhR k2/Fd1dALc+R/A2UYL51KEiIYhB3vgRAw4WMQL+09EL0XvkiNE7OeUQqmDQODliUGPVytPi/KCCO 4zMBmPC7B28E1ep9D0A3vRmI5Ep6mdf1YpnttmCxCe4mGrUfiQxkwR9xOHRfOnrm9FHH5LI92WOJ 1CRlfk9GKLODpdfzzERxQ1HfZcrm2Pnub35uJesMR0TLY20U6eQ862iC/qaTfQsMKWQAzRDuHMat DohvwPIgioDW/RUOMkBUsoAaVHJu9hJgM1NMBPHPCt1mJ96tIrXbD76zdlEio/+B8jU8Jx4q6ryK 5/H9DSGxtYJ8l61tgGNF7gJq94XJubzXhsrbljq105jcp4ktcqNawtCu8aDTW2ACwBFVe5s+kFsD 7YocjhGmBi6iERTGOvdH0vZKThloDkC3J+QAf0DOGUt21xr+IScJElBTFrkg9CmwntI7+Nns6hEA T2EyImTCMEHwPeSbytn1EmFrfbbMwDnxEI/3N/QpQiilkNZ0IAi6d3TKYxxAmmeGXbKbgGUvblpZ cfFMg63RSm+rcLBfbuxkRAhQRcrwmCXXm3k23Egs3Q/xJExJ9UnAdpjHJk4IjASIEo4eHsjtHO7h afY5Z8+ClPFupHrzU/0Ju3Sk7QNLjE1A7J5zCTFCSBk6qw+fbwh+8ePu7w/J53HECJ7mppjDU8ri uAwPXfrX4jqx7B++1u5CW8JYfBkEW9ct5x76rEqJ6VFvTq7Vup0i4BC/jX4Dsf9tbMkZx/JgPlGm 2Xl0mAT2J6cYAAgJ6NwSJsx7E2NpuF3tZ4yjq5pEh1j5psyLyD4kxbo/5QxSY9NYF8qfE5X2nGTR QYZjVQhsrVEzF/ztDbPkpPhUrhCbWPIYqYlwBBAL1MMvc4/u/a05Mi4O1pziLvjRLhnrRQo4kfnw SdzFhrEcXrJM/dTYxvcYcELpOySybN0+m1rDs2nx8FpsrqJ+/Qo/bIZwcViROvwaohN7SYOkhIKd 1EgCtvvAqSOKdu2U0yriUSe3OHyHbLt5F17Xim1V6YJ36YSsXuWgkNy2HyRAI9BYpdB394oD5WTS mG6OOWC6WOHeHgSJI+kUVf8CeKhBRVTcPTpgyN5SCdg2livD7IX4UiVnl5hUnsDKJ83k3yp3Bbvd /aohddeL6jbs7mEeHpOi43jDdzNKvyYL2NegQG2sy7pgZv4p1VCeBK5k4TuOQnCRdhgvClVcIoI8 NnvXGRK6NUB49MRu2u1WjfsXx0aAGGnqOqiHGOBlLkR+dM4kjxLwpEXygpImIkOX0BFSpERQGF7c 6WpCr+1T3HheIGUWtPVCodA2y9Pph4pI0s0ICT4CrwcPGtbRzPNRYOmDisitRp5P4FWm69r7wc7o MLKZJUAV9YBwTCvhq/5AaOkQvAZM9hoLk/4fmgHt7KoEs1t7HF0TTh5AAg5lvXpStbx2MrX7+4RO 2FHap73PNLQRE4FJ2cB1JbA+Ja3PU4pFcovPBeahjm+sBB4uHFaUNY++WfObEVGrFzvrfh8xYCyC hSVHHmBHXfv3+Jdfe6p+aVUmkg6v4bgOTjuj6JJZUWVveJw4+qSbv92d8lX5mpWoYlnyXiwLefyi rRQfSuXV0Y82mEhk5OG0OHq68uRTYdO9Fcht/4c0Nx5xpyJ/8gS1oEdeiOUikM9Y2Q8jrPsreqpi LwKQjr6PxBErj6EgFdguF+ABb+qYDaO3yBsmS0TlWDx0s/a8BAQE8fVOVdhUGZvSx86QuzhJJWdb e1zciVhyv4aKd5lerW1aGKYe7Ek/pP9F53dzyH8kw7X6kaIduWUuJN0DNk9VUEXUTsyax9DxmU9r NGRaipjSjsLXj8yRl2Qu0I85OMJHoDZQlsKENpEiWBljwFB6u/lmXIzip43039PGFJhYsWakEu9z mBmsSzqeIArqSrPcCgw7JAQAemTbSeEQW/0N22mIdkqdsCO3tTqq40M4t5M8T8TI5ZYKgPmke3Vr StUlXhWCMqCtKJWdF0HgOKdZ2eWG7L0lCdvrc5cVwuFoq5qJ6TZL21EtXBnJmimTcai+EjtaVue/ +gPfY+J9I215ZNZtCXR53+Fe4yVbOGPds0lNY5a5+Z8g3hb24x/aEFhW+hTyQGPdIwOdF5xoNdcE OqCm1sBSJ8p1O6mI9x592Yp90Pxu3iPPCQE22INPBOKjZSGv1nLGk1OEpFUXURV0r2y4mI1ydBbi KRZRdbHY4ybDkYdNQjimco6D9gjyPGKdWLaAXDfJ3QkK28yx3ulupx/kdstam2Ujnrk4GfERzPlv KOX2AtgMCiHJcduNFUqc/MqrLNz26EPNXPwDQeBtnE097JVDv2AF7tijYreSvKOoJ808wCsVCs7T LpKQe09P7NeGaBUUNeh2moIJxqHTJty17/TfjzA6qcJApejqU5eB9moVYUqxCODR3Fk7RmbVCxnu /v+w7BGAl0kRXdzu3fGviOYR+LkfyaSYybKpkD0peYceWLUFNI+ojH8IP2hN0ddpT7xnZXoF0ScL mz+Wsdr0Q+cQcsYDcWR4gungWS+wYkxdwGxUljZaHMJvjxEVGnKWo5vM8MSrKWRMoQdgdoIzm41R 4cV1r91FrDmt7BWtx0iSoHohySMJufpFdAkBVzq/zjrm00PbBaNmgxlH0lDjWp0UzZTFgHI+Y29J anHCDmC4locw0HefE3RtwE6+H1jdgyYPMI5hBA/9WwTRYgpbREd6qUyupH/TyBuStmakNhhtaUS7 dlqIktsf2bh0UwaFg/NDIHpy01XwKqgK+fs3j3gCS2l1nw3SOFfhkO8ntXeeK6dVCiE9VRt6ZKp7 UvRPrCKi4TdyFPxoI7TGj3aZrLIgTynzNWG/2W/KI9OQWXLRBN129+hkUEFiAa96tXpI/8DmHjhC VSytrynzJ0/uzLt6tGa0KI+9oB8kjbrzhS4ZUEZswya09hq1+m/diN5RuE4XucsT8Z43kiFddnKo PylUiQLPWiYRvmBDcGilHRMjvIitC2XbMKqMiDs5li+zCD25AtSz5JJ50NOXM5YFESRUutypDpXz yhzjp5UoMMW4OWxcvjwpMVfPhTj6JXJuKeCtkEeA6YGDXipElM2CqOx09GBb7NDF4rB0wlOT1V/Y 6+M5sf2gcGzXVAG/SmOzMYgUmb05sT5jPpDBx7wv3aDz3KgGBVCM9lhLCOZ4x8c3pvpzwBy3K6BP XIRdUfq6/8Vc/CwqPRzUMYvo9RoDg+f2IwVg8oOwdeh1TnbRWhxypxUMmoq0klrL4TsaHzY1C1IK ov5mKRvLZzSVMW3F3fRl7IYS6/v9WfSULwofZWO+3QESof70nW4uNSbXsL6xtFGEsht0oNpzPfM7 mb0UnIxeL2sjB+i09A/WfJx7CukJdLOQoQj7sD60WhdUakAswUDmcNktAk+uVcdgMkL55wq6w4Ph qKjMfVIWAvXKFWiSQ/uiLPexPVl98UxRpNAu2RHzvaO9EqhKctLkDslZni1I71sn8VFnkrYmtdUt J0nHjCqIY4fhcsOiKkagZg3ZaNl3R59De+xrC6kxzDtphqQv+Loc9Adp5o//aKna+Y2O24M5D7px hyvhH/CEO0yecc+Ew3UaULDtg8AISoTmunDpBgamwzxSPPvY2lSbPlo+3Hp0gZVlTSnNUzo8gkF0 UY0ayszg/W40MdG98ssr50COTw8ysOr/4eQ7FKhoG4cGM0k9tzL4/JuKk7z1lPl+nOSwnTPgNwGl wpfIp7xX6AG8N7MPFNpBAJfEHC2OQQkoafxT0ZyQxFAh7c1OzUafReVOXqsHnWpB0Wnz2SfZ25JN oreNQURhPa3BwFriev+5t2R7JVHSAqg99f50r8eR6hWhHc7dqUXQPWL5IullM8+MOyM927ABNwvG twhQUWhCUfv1FeNYEVIIVEk2EsbPQNKUHGPqM0lTNx8+6r0UADlWO0xl5Kb3406fYjfY0zrrblHL zDNPfPOPBb0++G63C3juuB0PPiKNbWXMM2swNP+BgRjHrkQXLX5br9lqTD32DLKrUM+lsmvGtXcT 2GF22YXWC2w8hx0EL+X5ZNbpeuCpZ20ZAG8cIpirdeCvJSqG/cW0aw/FEgZVfZ9E56IJ5c/RWhIV 4Lfdx1pWaXNdU8aPy+T9oCQuHJuVCTaB2CNg056srNxOWbE8+9u3vkdC8ngJ7yxMt8LNTCo1zBrQ IrlzzgtNozoTQS4dneO7kiC0YomR1jcIibrPGLGT6wSt8grSABmtw74rchr1B+6SDJUAnu/PRkQn Nfr6tf5gTTlxz2/m8I5C9lrPbSrFoUpXjYxVXCy3fAhd5uvjC5hMyk2YZTwgWAoXvLBOIfT8c4Vi 69bBUUhyy1nK7GTdpZViKaXvgdwX0uqItL2N75Rpbhs/VUkG84duKSNEz/H9tTsBrvW1Ww6zhvaE CP3WoPPrjxU1D6u04ZAS1TKUz0iGujrW3pXLP5S1RwQ9rDTuWmUdNGyK3OfUFJ5s4KIoEQWUkTOV WXewgeBWclEhAhzebmg7Gv0dAI2rPq88Zf6Lni/LdBFkPRub+kwl6XVyKj0TgS5NyMrolzBPfhVm IxKFoqb9WPwHWAxsz7vawbyA0nUgo1gFL8XYZLHPZC0WHh0Ps9MIMJ/4Jj5elPqqJ+PYCDCEmSSr njxjCEXPLSQZd1rb6WeG1nC0zsgR7LH7RGo/skw9nqnH+ZPEOgZLc5zM5aynNRw/ZH2uJS2Gzqw0 CzkhrskMqR7+239+90kKgtng8oYBVQGUU25ko8MRp7FI1ud3zOEBYZaKUxgXZNiW2kHO+2V0+bVh r+6KqKSk0sZL7B3+e/bQ7WWcnN0XQSFL6ecFKpJAgiju73KZSaeTpeGCB4+IThFQ+otpEYMQuDbG gK+UIAgQnfpz80lhtGvo06x5KT4YSrC1zef9TSVm4tJTvnwdEHAqpURin7xZ7PTfLuwXGN5RsIzR W06PHXlM6s3fkxhk2XCmtPjl7b/VcNiORhcgnoM2dqAffFlMoOATk/SIl5Fu7sfcc+R33+pr2AaH /f+hTGW3FKxIZWtbTEvndfaJg+h0URJ1oorJtBvijyaCc94MieTyld+78Z5zKbGJUnPXXef9RSPz u1p0w164cheMcZk2pRLoRpnr50kGRfGywMoh2DmhvJ6oCVKhlciOxImeFfE1mX+1kALiMeMll5bB +GTrCPQB5Gjz/OM/6WdAK+iHfiuc9zAdnFr5OTsWxBuBoMs0IRxIJ7d3aRC9o5tFVwd7G/fyCdze JzzRWo+dRAWBuMVn3Z09a1mbCLgE6WXnbmCGUE14b/g8LBvDiyxVhSdCP3RIEchi4Pk9z0xNHTaP rtv9zzGR9KydXP6zlsl3TnWMsDWdbhr+h6lXjikYHLB14mxLssfqEH5a2nmk70fxivRHqchKBJoT FvnnVSOHw4bM/120AQ6X19SlgzPBZZbKOp4kthWzG2bpa/MTVwxuGfqHyz08PjshQ+SkOKW3Cbpr 37G2e4WmKFjwoyR30KtabaT9AKDskLAtq3qHM6svZplb8GGenv90g3t2Tj7LMvvm64F74FaP3lCP kpgplvUEDHIVqcSmvSJzKDTLCeqUjidFqhDL83ncYZkEW0GceOpFe51sd+76idRFLtTjcEoVuMnj v905D6xs/HxGBZ1klaoYeozHKXydQxDdjw86pH1aXdGFMCHrVR2vXwfhPI7vABDnzcgjxqCvIuJH VjHdndJis+9us8w6OixkvNR9WRgdqrm7Cg0vRmRX76GwnpS09CtBgzsEwCLBKwfhd4ooMIFaFXmU iQmkgFslTsgHxDszRHI3a42NA/UEmZ4+g/N58iT3UD2WbQYeUWDheAjr+9QxfyxxL1V8rsALk7Q5 NK/1hY55xNO+MW0vxzdd/JHKfol3iJpOKh9xqclopZW1DenYlV5a1SwAiHqjnB5rQks4HceOW94L P79ouqwEM4Jo1Pi7x2vRLIuA1mrLMiI0MSurfss6v9eRZx+GX20aixcEi2k+tW7I320xgQf5Pq6B csQGDguCyS4/ba8Epl6mJOutuUreBbJwpghIVOfe1T1llqf9VQU2N8SIgcweHaa46cHaZajJC6Ul aQjrljVvGXn7+9Ay24zE2vrHYUlsMLrsOG8VHU1oeT4SickWLs9CfdzcZ7AJlckRkj+Hgmnx/bjj x/ACpzOoclQjQ5nsI9iyXRYUV0V5hpDKeXM/u/igz/uiNtcZlAXtVsFMqmwUsdD+0JfCA2wIPQQ6 QkVyKIrj3uWo7l1KEktbB846nwlXyh+RbEI+2FdP2E3iqIQFTYyoWCZG4loJZCnd5EeAncJOx1Yb PBrzz0CYK8a9ee+k+KfzcuBi7pCc3Q8O4OhkSLGScMr1qAFU/m/JtVsCmP3SVAFORXTihImQhhXa Gnd41t6g9F5we8AhP36xF+ELICn9j8ctAi32+uouLKUNN/4bb1rdnf8Gr/F+XKFZ/s6ovdFxUzs/ +cS/M16+EgJzTfc/vj0j/vWUGa+TJNlG08FK+eX0gcuXpi1m+Kqvn4evdYulpg2BAoU19oA8lEZs naqflRDXkZkh83fF1Sd19jWEhoS0lkEZ/BvqyS1IRakYWe8iOq1ae0ly52vNCqz+4rjQHPWtu/25 pxmZfL/12mw0nkk9jeMfyTCgZUYxBe3XFQW4VRyZ9qlh0k1p8VDB2P/9BvbiwdcnDDKm52dMdpfp iGFDKptkM64VtJxM0nnyUUh+RG8SM9FCiU9XgPJ1IzhYxRmDCA9s6Dg8Mnc6mvrZJnQk/q3SAanR 4rZweIR0Fp6T4J6S+sTfPxekP/PkUZIv7SVzd+UDq6o2jB7mgUo4wLWe5vzfTfoXVB8Bzh+rPXtV K9BExoUCoL72VDTo6AJsJkUorvkR+aWLei0be2WxeodfMGD0IT4l52zYiUe2GKGNfiFkeFBDwa2A l+bQa0m5x0ujAJn2sHK50eQZLvSXotENNFumDNWDaePSNndLVj6ovZbJoJQHfUDiUwFolL2m0RPS rJIZOILylOXgqoZNVzzYsOhuRyLDQcgVIyR5qzu5PHskekg+QrR3rOXIqd6g8v/Dpy5YSb5Sr1s8 18kKsGkTtO/R/5PyFyGYhNIfvGLCD+H8RXTfC443cJB2lHByDiR8mElxEj6j8JQFYJ5rfZ9fDHl9 n0qkLF8Es1JAL4j0mfriqAreNg6WvplneC3ZH5HYD8Xu97Rn7faJ5oqblNFTu7k1o0eSRUqR2wxg mDE26PlSy3UxcAkKjY8jBZ6sPTdAs8cOZtS+MLzh3PBeFVc/gRHQ+VUMp29tOZ2HJ9j4YK6ZK5lK gi6gqOhxsOtlrM8h7io7L6m6c0tWkzkZJWaCENR0SVHV8DoX4QGocuqvMdrZ4fqrHt7l0n+Wjqzo twa7kBlABd9sPOuJuCYmPYxBYMEksQU5oAf66yhFM03BN+Yr44whzQGlFRhfm4o/YLN7LOyurf2b 62ib2nmEpxGI4/bLV4/Gm6ReTo/dFD/0PTflk206zoOUxeyqijiEQFyPpuJtScvmN2+6Z+DEVwh3 pOp6OMzkmI+NGM97+P7uaBAtdsEhVrESq+hIqrelpJtb3XzqWirqdyfCv3zNmbu5CIRJz8GgjZsH KSXobtVY2tcCMiqEwmftIPVRBnXn4xqjEZHzEGkzwbctNn2wHCW293w6UQNAgC12Ilo1hY4Iz1KA 2g0qe/FXG15uFzl/RprqtTcC1TWtom1qK1Dv5Hb+Wad+Nh7GVN7MOo+MwLTEbm4PhIKt9OLwjHFY ppdsM3dSo8/NftM2aKzuXKWETPu58YlrbINXICfpEEx2+X24oRYGKFqBw/dBVLxKPxBCk2/sLYh3 uCmRiZEOLFGdgofa7BL0m0JXsn+l2CebPj23OQcCGI0YXIAaCarfXbQoxqK7ZuXMcWBETphfHYWo laG6XkLVyY7KDSAKCbIFRCtb+NXNrJFRqQQGI9ZquEeH3UMbOsoIa1bEYIL+iBEUDRO3BXMBIiyQ +S2KSqLeAsxwclQE6z9wqRafObVIoS/oWEd8jRVPgWX0Gy7oNikoSP/ICV1Te27gGc1IWF/LFzTv i0te4jXRV+ypNdINR+ANR/Ku3RHlXmUiJamVY0gWJu4MBGxK5e2a8Wr1KkJjCjIZSFuqCNckncBM N73BZGSNOCZTvUb5FVhJXc5dD5dZkVEyDHqaJPp+85oRlnOfIxEzClOTMRgA4vFw7piOV6iMjhYi x0RwUDhgFnV4Vdhi8yz8+Cjgg5HNTDRnWeRrhxEJkFIMF1D8v5rZ1bNKaX+PkH0PsAV8FdbX/sEA 1G0xymJnNP9UBQMtILkhNWtUuVjw6R50Bh4DmHnzskV1ymCfhtGuAUYuq8HkoFaxJUtnRFXX067j /6CRV7xWFmJLCdoTYi01OGKP6uBDIq0P1zgOcK2wCQuoKxpgZHU+AAprXoCMSfPh/mAMV2+m4TVn t26Vl81eLkEhHGCE7J5MK0J45CNAqFANMXtmizlDVHf88XVfOqe+YRJngWXMsYMNxv+o4goiplua 04AgpaYxD7Suv4lIIkmRuxzHv20/G66TucF6WVyNuG/2Od91Q+O5Sbbx9E+5R0EKUTFbJfQcs5r/ 51K1bWWcfvbVrYWw85kdRhqY5Dy0UzJS47vM5epq7YzrQi1pB+sFss2T4KsVkm0PH8SU6ei+qauy W/rFCyjk5yG9tXfKaKRBam9zWg1SeMRF7PrG6Ls8LeGbUmjLCO8/0L2HpmR4mXc2um2ks1MdChK9 XdJKypE/5H52Steh9e4WTfDBtN6HiqtH4NcKw4QUikUDoZ0OzsoZJAJDMeucjbJHWMch4+zKfcra 9kpqn+0qCX8CxfjaBai/wrbqIH4dz2sKQrNSBgmovbznfFbBb7XW3VfC3+ZylpkoVMeiSYNHk/OX p/5Oj+th4RtqjZ7/qR7txpe161IufB6Q9GYCoPeSP/tUb18YvTN2zrzsKntPc1vdR9qA8MmbX5de TeqjH9RQdIGGlpeEP5zrnbRjGoShmHZU7SeMZHjQZPjpA/FZ4MqD6s2WhxAMpYcKx8TN1naxNzkO ql6za3U/11Y8ElXqCDOobNORNtRe7OwY3Y2XJGRwtT3kSxqUEFgPJ3CvN41MybLE9mZw0amx3Jui sFZoWG+snyn4HZMWgzTrUwamx4Gi4lOC77ABt2sMVEdOsMzrb7Z+60V3TMx08rrZCnCvz4lDLvK0 6WSa8ghm4KClgchnp857dm2nZlfR+Er2AcNXId2Wx5lR7sVY9jm0mItuCZqrBKYC4fDDosV5/TpC u3PWXgm0frNQTfuZ9vSmQLwdL2mtNnk7WyUOeHL0RTaoBN/+/0Hv75DuJQgfbxdXM5r0iWB90bV1 K/c5XZSoYGExIaV5HyaCCsugudPTS6UsS9wtidIk/gaVkXMvK99SPVrXJiuArI4QzH4Osh/i3xr4 1IWYKNFsvSk9cb0uvmll+3A9iHeDaRqiSXVV2H8Gw0T/UiAv7hyttZB8AqEhtM3Sgs06UllZV8ru Yu5tg+bXZGByQR843m4Ts5bKVmR32lrrYdl98bobgCSY2rWI0PKe57B5jEtpZ1s4wOhbC0Mi6Kxv V6kgb5wV4vYE4o8j19/X9BVvNHy254bBfUXmrCxYK3m5MMn0I2O8DckBlE8AwzZOPW963ozf7WK8 wBJZMLj2oxIwYWwBSuq86+gynsT425T7wpHd2UxUBjRXpfsH7FvEDpfo+shWwNb5dxNAoaauZVaC VRCzXkGm4xzkKgbueRyv0/zvPWPHnfQCD74sB2VUZrxZ6r2OyCv4ptVgb14xQDXsGpY4xAL+vwPC Bdo6hXHEx9rPqkcphD9uEeOiQDFW3qjWc8pQxg11oayDv/HKXKCyBj7lX+GQ3fDU5F4VVIab+YKK E1iY58zxXSExR8HTiHRtBbu24obqM2kiJNmfns7gzQuBztm1bmvm98bdk41axttj+gcfJpCoRwbu WQb7qTuRaQDR5XXPI0O/V4ThzF0Fg0X1MzUAWc989U6jkt8mqJN1s8K8sEHZJsbFS4fV4L1GOAMY 5zhG99o01IPQxpB1vD6fIyDu5q4LNOYJsJuBioqtocDmZvt2/2a3gN+u2k+85ljZnwZtun2UFnqW IWTlnmyPP75Cr825YdUf1Vi1UdAdk4MHo/yVEHlbKV5T1b00K3ToGsVP8F0J00ZtoNalGhs+cgCY 3e3mrXPf8b9dbqPEvob5z85hbLvmOsabhOjLlq6dwxX/yBUGyxaRGG1HAVtSLgtgtrHTbmWrd5M4 T+fUz5mRSNkdmnvlZHPc5s9MdKrxQegZ/bwg1NRq2qFDrsp7l8cmdWrIOGkAZJM7mFFFra0ToHyA 1K0J7mIFafjk80XTXflBU51KISgNMb1aPi//gSRjI41d1Bs8CM30D9qP9EKt7Vprs+G5PcfN2Nds WfXnJpckUn/GKcB6gdy5FNHjEFDlZ+KuLxcVmWjYZos3J4Su+ZKAWzC/qCGIgw5pFv0b3uWVVlRq Muo0DIqlbrhh9mOji76tqqOTNncWG+onx5heoHtvbkwECvM7KVL/frdyrbnAHgOfgu7PNbW5pqKz SUKn/eYRk+2giwOWG1PS7IGOdEgGHLY5vttUB0bc3q0sMGnhQ3bYHg8ztGvozo5rCXUjWVkl4yPu Ff+LqmD4L9cc6h+YWDe67fVF693B8lmKXgrQE9OYUMSx0oo2L4w7KMEu9dOL9ghiYWKVLondkF2V C4dCcwPgUTwMUOJMB9cPbtwhwIFqHyBrYVOcUK7aiq5kdCJLHbCkBDLPD8yJZijQCOhodC0f38OR YgvrsbqnFs6IhmUrWlMKVr2VD924+SxvnCcLXHK3lY25+Llpd9OWEA/nBgX75T4Aq1UZeFBW+lOM iauXsktR2DOIDS/TQMy9l1S9lqvSJvhZQLlL00DVOTz7hSeizVQbIV2gsruH6+PSxsGJBHvTlwpq jBoC4VMLZFLW7g3WHiH+QgkbyLU0GMqd8ppk8bDulk+cGyALWwstnEXrRe6WHxJlhiL43L7lC/5v zKo6sWsoPzXfu2P1tntj4Fp+2OXSC+jMjDHmZcDJbRu8uxVvOxbKp3G2MNfjEhBsv0RjNue3Nqdo zE+ijVGu8nRKxrC5aW8SYZgK9LPPVujeQ56dHHcdfYtmk55Kf/0DXnDy0Y0hHMMQL9F1iAgd2vhW s1VlnKB0GqRrrE4Q2lUdzu42Wy9fLvdcotMVc3NLxUR8O3vApoGxacLf7pWf3h5ZwZ41mt2ntXZw sy/4nMXLHckB6FoxsfEIKwXxqQmrMJncQ34TlOdiaagB8zYUaSo8NU6ttZsPBW2PJnWPJiJdrCsl vWEQ7/JaKtAQB2PdLAZYUCnFdIB0ofXQbsPXNPRlssRCWcQ94ro2/m821zO9XeNufQ12PqRTvjLb SUwT08gIBd3BUzkfkCnTTm/OLvgds+9WNvGUw8UbZMBc4cxVOhWtrD5Ieq11SfefZf/l+5BrQ+PO f2dBp5kLCrrIffaI4JIks6sw4LfsN6F9sxGGUOUepWDnfnFQ9hzDgApcE0ySyREP8yE80zZTje1w fYVbHXfcw22z9z9ZKvx7qwSwnGVPqRsF9E5bG2wyjNx9V31urFmADi2xSHy5rIlCDEvG4Mhy5Cbu Ae0o2skNqCTrVNJooXzAeWBVi8fDeGyxs9+rRm6o1W3rGGofJIuAhMCdFX8jATyO4x3iQEMENScy 6KBvW70VQfCOFArpH9fLpig2l40fCc9uQO1xMmzL3jS85S9+ho07M05y8JRsmnZQJH0/VZdS+iuY aqUGM33+hID1feDYX62LMcQH+hGQlueuTLeLyGPIzIfBejXt/rnft8d3jxlVtXIG/r/ft2lB2rUI C363l3NKufOdhV2vfEJ2Q2N81KLZjuIh1+0B/vpcY+1WvFhncE8hqfbR2PjvWqoa1AmlPeNreHuy On/bAmFyrKMYapNA4B5NKbf9oncMlQ3k9CwZ1/S7xpfgnFjmHTZYX+m9q3rDrF4slBcjPjE74JZA 9A+sY4IRB7/85f02/Fx7eDKhncd1AGrcddZLGDrxidkg8jN0kduSFCY2Ge+5UucMHIGtwQHm6GOf ykMmPhWM1VNPx6m+jpEJHFcnYE0A6j9yF4X/mX04zchLR4hUTTjh2tLBbqcvGkgN0kjqtR5S9tWI D+8p0Z2qSKL/lXtVmHbftAEDUAjjwUWSEzqNehNvmP0mg0cj2g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YqLs8luoVD2LOx7hLHtumeWWjLsgVYZwDzNhcuP9ppuB1zekOAbOVLgm98uBKeQo1HKdKN1Ib1d2 FfyN3T5alg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SHVUJgndtshwUv/pYQ5e5nU3PoYWTAxANZeYDXWQtEfdNrwBd3FxkD0UV37/Hq4Wqjo00SALlJ9O bjlG3fWqCDCJXeemzliXBvXbwc5p3JEPm4Kj64TxKW1ytdbquoCvUqMRtjFC2281qE6bUPV0Yx7N vNYO3Uriyeg1YeXRr7I= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SmIAZg4+ZL3/Q5FBXzxTp+qRw6MWAhXcZUDyiIgoJwLlzQ4jhkw8MZYroUxwmdlUWQTS4gdEjG2U wPsf/C1w2gYUW5KmMGcsMrdIt60AmN+4/pt42er08WOnLAetspyTXiLzOUMPcEYWOctUcNkj3wJt Dz31sxqFu6E8W5zInwFODkt98N/sBb7gr/yKmoLw8pxm4L7IXpwqbboWgn3zZhWAls8LXLjORq9E FwrrgI1V7kH5XgCOMWDjKpi76h463pH1DIb06tIEzOMVezTKimdwjhIGqmxvF5+qFzFMnIy2HLAT ca84by6hFJ/AfmxtjxDplAKw+XGUDfboE2GIfg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VsVagsSS80a+xvWFkXtXcXs+PiK/k5F0A55U307sLelkeGBRQjYsjXGIKCNxHLDCva16Kt1637Sp duxxnmAIDnHPgvNDWi4rmh6C4KhlVEw3oO+GV0QA4wgNgsP2SxFSqL9OinZ5vjHkTo4QQMmMQWyW TRmOG27NUoLnXexpmvk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block I1RqihoX5DNeyTGlmTYdJDyQxTwUVKenNZKneGJgeDEfnaq9pi/V8xuyN4wP1+lb375lYNUlYpnT eyO1JYpe5q2bKlBmQIQs5Er88JwJOp2J3wNn5oZzsIM2wXsIKwWng5xLUFxxxcTHXFlqwFT7mPbe oQ5ZBnm+Aw/ROZMx5JTG8kjvAQeCILXiP4Kdk1GrQ7Rfg6FAHuMty00z9NpAAogmElrLeGHMbb3+ 588Pbm1X5j9q8he2g4LU2Nv+gteagJAUjrxFmFUJ5e4Z0Cw/5IP1cXjBk46iOtQjqoSjyYa3w5gm ouO6vyvoKxv69isxhAclp9J5n6YuC7S+jvamFA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25744) `protect data_block +IfSizmIIiHcz6llzlkv2P+SXMT+eU5tCmVG+s4w3sqA/Izm7LWO5Xp9Luqd22CuuukMfUmpQpXa JqSiBxTxvPwWC0T8ydsswBN9wcRcHGiErJwDDyii/7dZH2xsfT0PzLJVzNpNyWxhA1eW9nwO9otG lNC3CKL0sWcVovlUJOJCAc0BnB74c5Q/4Cl09S4A64F4WAGPxOAVigGcuHNUZk6/zJyXLf9BPMVA +wEOk7IDWc/+L4JscLa3obmt7yI4SJ1BiIhyeqDNW0i6FLww5ygvlw49I7n8UzPGUHV/rb3+rC92 +XUi4l3DzSMC8eZkyA1hw1Ed8LZOKIWNuzQIBHlElNkjSspc4AIdXQIIRnWyK8N/hYcvvgToAASv W0FxiyyJiR1zWVDBKWWEIqXUd3aFCFcQFlQUNK/rRMU30aNWeY4u+q7JkbfPX83XhhcIBprxbAKs /OBLJ3wDfsnFcybWdAXg2cf1umlVTgS6Sm0NA/uq9Jh6VqVRnB5cOd6pnEGb9/pcPb6A5gZjSoDk JOkP1VlCKddnU0RbWiAMLkqJVSuQuFWkJNO5vip4okfz1RwPm1O7+Qi25b8pApfSI47XxfG43eg8 bF9FjFDXmP5L70gS1GlztalJhWlthwd4mSxwhoi+3AmZ2GftDD8qhXSkGolX6z5tKzJRcW66A7u4 NRebdF71Le3cwMSq/h95EIwQ3UomU4UJdv9D9W8dVHEerQOUO1Bq58Sp7w1EQmc0EmE7hrHl2WCE iLgGxFA2jVQz1g+Mai25dorkapBzhmn8mkgJi/CkOB7o5xuN9Kph8+PhPEVMmAvdXomiopR2g4Bb bS2UWDRVBThuRED2ll3I6O+C1cfmAgPlsUN63pvwzVje2ktTc8F5TklgdrEtJKWnY1JdUJ86f3Fm XoZooa4A2MQj7DexGMI8Ws1Zu/ay+YTzytwKmeZtD9iElwVUATz+cNsUqVNZ6ziqBMv0HkWeZJuv /8+tPrJfd2PCHTFGLPtntV7Kb3ah/AHGdao3JaUnW0+ZAgWTh4pEA1iqm4GyVDKYfUhkINpEyG3W h7bQJ1j9gmLwSEuwcYI4RBCcf4GBLSwxblXqJppEVtQOSrSFEbnzRQ7gRTYxvzDuxX5qoI3SPfjc v22QT5o76hsE1EORkJExZ59SwBo6L+uTRRBucKnsChIknvgPVDyJB7l//UnVm/yd4w9Fsgks+h5t 8YpWatvt0DcIEq6Cu0iWcEGsflX9DzF91SNeHHIouWQYegBUBGKBX5iYlLcUgiaqM1ss/xOIwXyt bVCPD6y3wG25o0mBpxnmolNwBTAi/DzzJLALQlyjD/H2DeXjFXVcsv7A/MF7edKEchVAQ5RLGAve ikUjK9IjeVkVwXY3E+c7K88ojSEYso0XT3HFpwpO0j8iSya3FKSOKWIMU+gPPCZ/kwdqiHok+tXA EYU2H95B05CU5JITuE3ebOKd7vkkJfGeAP6gjvtocUlkxSOLxMecTy0AjVk4kxjUIKA0B1ZfYBfe OAGFHy3a9WwyoBK2XaWh+Q9pSbnoMVPZgZgKrYK3bBAhubKMysCGF5ZqgKkU0ohS/A2x4dh1eVac 0rvCVK0koA7o4N4aizS8ngJ4Gn9AL7aWQ4jfyNOyZxn9NCj8VZ2TlwDBO92tL/XC8rw6wOx70nOP ci47/CYz90Br7MGlG2uZgUIbx3zKSu+HdrNgl/EgOaWGgmIdO9gqrZ2CWjo48/B2ZK2FnaB9APDG djb1PsFVUfEEfhwze/Envr1GmbWprgUkn6fmlQNrjzZy9/BF7aoH50Vq6GQqu1tTuhYoqmHQ90sF Yfmgea8w1aAf4j3hOpytmT3oOFyjQeDWyxbkmu0MQkGAKvNf92dDm/Kw6rimfTBEIsu5C/AQ7AcA PiNlOEN8bibj1pdze0YzXAdoJ1GwBBcr3FsEDBe9sx2toix0a69N1LTm4hfVNjSUliZHJ66ZUi12 W8RwN9rFV9/E2mvD8mVfp7CE5mhN+AAyEWPZDq4hSER1TIXnb1XNCNo2zAriWlI6aR1Qo6tgEJy5 tH2WNKUdMw9SHcWFaJ5yMDunMXcQQcBxTa2bbAS4sDLJf3kP0EM29/CysKLHmgEfUlOA/vHjgEb7 tc0rfD0f+cVGShqzPrDtgixDDf7WX0lZPpNgq7ISdnJ07VFDQTzVwCtQj+rc3rR+CHeea29xvjxV v/XllqbHsFaJdfKeEVbYgFzDXt1DbG1hq7uQmULuW01Q259/8AwbP/2IxLIdBqjX4lPB6QwCcFwR mszSKFpbT7Q0wTPgjlO1oOYECB2mE57ZOCeLM5xPzNQod8+weSTWMTU51q8Q3F5vWBeOi1NATS/J MLbgXOcuiPq8qiB6MBUZdSzqFUXEgVDsGppxDdWXAcIeOZZ4GeR40aM4mfY1hKLUA1Qw6ZoJoudV SEDjZ0G+XA3PmH1XVNmi0znwcUokd3SQSEEQNDtXw9OD5gpJVzah2LTa6ovz3LRab9u8jHOPvXFA oneR7SHp4YUvZORSSuX0hWgDBns+ffJnAY1yLWdVWyAnhtiewnAqSoAlctpFlLdYdhrehPDHHHhY 0cXB8kVP/O8xerVo1QgVOInmLtNnFBODy2iJpvr0L5SD/aXhY2RET1PCO+PZwCJXJq/0C98BuiME tpqtG8bCzfxQgo1KOOYxXgWSZAC+L5fAn0itBO95OadyBghwLNziPLEGjJVF47YaoDk/raVY1s6m ionfMa07y0Cuy4bWiTvGzJWh1Rt7HkyjQ4v5X0U5yMD6629xFqzjKJw80qun6/hekY9DiUll5TXJ D7UnOS1HAn3F5Y9xEbTzRqefB3XYwYpJbA+bQwEDAbSIyXy/APUWlqE2ulsQLMi3ks2VlEEw8b7U Su+EKmj0zC3yme6Y6hB9VZICdjVznP/N7chROWXWLECzaCZtBMzKfuqJUEor3NU9d4rXmoEj+ijP SOvJFjjBhKGfSMXQgj3yVviSHiawldnWTFZPCeo3ZxE2YQwKWWJCMDNOel3pO8gpFWkn5YS3zLdK AmPAYSaQYmpr80Gry0gVBiKgwmk7dbUDJU6HRO0K+6SsWEiG91y6Bd7ZRegdoTd8AM6x4mXRBOi3 gCfAnEN3SM+yXpo1LRCESPSpz/HEYC53Y7EwybSe2Cj1TyLs6e58EACij4kCqGRVLn+8toXXzJoq okgcVeYR4pW28UrnjNu6WCZrVXz/1sEDufaX5ZysRxN8ehgqryXr0dKux91ibjCzgmP7ZGajjYS0 dDnakPx8jomlrWptf14fPfoD2pMtiezCeVgm31MYt716Dr0t+F5UZYPW3OfHnXZGkps7LIMHRQJO ZvoUIQF8ca8S7/6BVhj19hfetQV0DXSH008fRBA22Rw9/ZIM86Ra4em/OAWv0oNc4kYUyjS7+0t6 WObx5rLsJKmaN51XskVxt0DghJj+M0Kzi5FB0vivC7T/GpOy2UJIylwwfnD0L3IgrD0sd7vHOHjm 5kO+/L5/kFEXViogF4QypoQn7B81sdumZSjW1Vv1FVu4XGQIaw2JLciQkUqtfINPicNH18mhUx5y jjKPCLm3EQ+AS+az4OUryE4vYsi8R0YoJ6cSaBz+YeKL9h5S1sdZPKZJ8lEjJ3mO5PO28bjwoCbR NoNCkkNUXGn5tghPNxKaQs0jHcYgajVGfSOcTGRe3oZQr2OjJcowbJMfI0SEDunMbhCNgSZPlFrO qQ6+o61zxhVMWjgZuPWJOiUjK1Ju/z+FAzDRwj1/nG0BRx7Y4e084lLTRR86UaBN3n2X00XoRJbd mBp6QCkhSdd9W1+W+4b26Oiqo/Gjwy3TrVRhLCWSZx+EGNXjro2yjd9gZQ4tAfNzTFoVW6Lszb/x 6A1G7nN2w6Urzg5cPOHgQsB4J9SJYrU30e39svjf6uGyo8cuPrQKU7gkjaClD6DZcUWniNUDblQk Tw7K1408SxEZ8G2zdwuzx5683xPn6IoaBPc+zTcrpcFyFlLW7PUYcGyCjBgUaYKsSUYwEgHB0xTJ UEQyNGgH/SMetiTw8itHJkheIEZ0HDYiXGk5cVHEVqreQ614IGT1Cp++CmZHDYj+6Jd+Xt1l+HiJ Ia/pTPcbhaEcNWR8PqyL2EbEzLST9RESB9974q/RYUXCrRlsddgOCkwwWZOJGEEg7umzZialYi+Q WBqXeDjwQwPxNfr/cRUCqu6QZyA8miU62Fpss5QWKpn15FHQdL2SDybRDCrq7/6zgQqioX7rYZpj hp/pW81ByifNf6yCeBHRU/MQ4gNA7cQVZmekt/rPb/DDTU9iyiWkECIfJ9buBEJEejqcdNgCp6Ws lQkMZPyCyKmo6WauK/vxfpFC2PHfiwMgf5yuUR2ydoCTglEWL3u1CyF3QmLJt2b+7VIFVFsEWOLX VGzFsEL1d0b+cTS1ufQr7qQpRcK9cUJqwa1aA2JPS0so348S8/SgzE9UflKULwQVc7ezCHNqRGwl Uqlh9uzqCB4dtfW9m1nGjXJd7LJhm89eTE3TOsvco8r72z41x19VZl1opRJgJWw9snE2ZYWp9VYw n9OTvASVgEuRMKWas5Xt2QfYrpe+jz7/rX2PMUjvNBnaHav9aRMKcwPYKUwBWvjp78odAsIAN9JJ qVYKjVyz93ww8rXMx8Ktusdx5dJijqSJNPBE1DHBYd6OalAi7tsD/So8sGJ7Bsznm04DMbHndMFX 2Lhpcv3xRP0CF1LRfQyXtYfh1iLdxwtYs+RVZcKGUWtC2WxSdNDqMV51+pCpJIvip3iuiJVZFLM7 J1jLRKv1t1iKayRcCQ+yx/qL/99SDC77sBgzI9Y5QooMj99Cz1ZAqv65/bX6n23ZqtO79n+MbyEr 8BVNMEByZHgpWlcySzaUnOcTkt5MSVv4xbVIrf5sQNxOf4YfdKkz7bnCA7YkmOWJTLju8lGfOphU eSD4dGCzRIZqYAOTYwZ58Ebf3G0bQ4RS68AqsQj43hfL+umqz+Hhfel6Zfc4xvNybO9bDOwH9LF3 DNgf3gBXTGR1xKUG6aBC4MzB3SjcNB7hWlBL4aPioNFNLke6Te4l/UuDwtvzD5x5BtbEEbpJLw4+ w5vLcH+GDN5l9KnuPYUS+F1iSLgJAwgPJhAwVRq4ttaDkgDJng1/jB9MRx00zcfTWKRSvc/QR4Bw uzDeBReaGgd4WCFopb4lc8GML62chJBC14QxRNGgDBIZn9E3XmcGtI0CkjpvYdKviESzqfUCeb6/ MSXFYwbUxxnEgTMxrYQnywyMa+UIjlLMaa8JewDcOMc3m3JsgItlSbDdKC0ZRhyGpswNhelsK8Dj qRcEAvxHmVb1mw0/ajLdUvEG2FN95tihDgAfc2L76+BYwS+BrL/XgydNlSQM9OeXqV/ZOsGSAW0q giHsb0PlUL7oijE1PV4MRDVpCymFq8A+EaaWi4lTwKeVpXYr8hB9QUYib+e4qMXHLerNVtU08X4o SHBlXzkinjM6Ux7bNyWdAwmyOUoeEmZEMW5iOMJQXiGQNwPLh/ujcBXUNljspFBxpAtltxUvtYFc 4e2PDyLnTf2z4De3qU+h6xoiXo2uWhujh7R0AVF0tbBWvkqVXJgDxIgkna1SJjtgPdFEO06KdLwk 3ApsG7xaRhz0/n+fAGjrxbmCpAE1PCEJjrpm+eYpXJO7hrZuWuhfDiqWWR5BocRb7F56AcWRE/lu /L3igmP2GCEks4IFX6GjAQP4gQJEfXUAol2QQ6nMuTq/JhY1C7eKLuxu0q7iU402liyaE/07zrdU +v/Ik0gAnV8+ArdzJAlyjchw4BBVJMpfCIiPlzZOjh3JXRR93PdwB0NsLWtkmgHxLTxEDlBaQymp Puxmul1qIgZzOskhy9PHvpPEAC9I/z852ouMgj95oxZYitEeIowpDT3rkb8VoYNV9Y3SGkj0X9Zc 3C/lDyeW9mUgRDSFDPlCSQV3/z4q6C1OKIsjgAkSQs1GjZ4dHEQXSOM/jR/5U6uOmMBDt4tudBXD 1wgnK4yUHlrfXt84IuksiYgsNAI0Rx46yFcGFI+e9D3noI4oZYgizNRtq9Fkg7mxCR0CY4DMo/57 hYeMn9aYe47d83uW07hbOS4IHB/PeXTSm4POsXL2SKfbBbuDPFIjPfafpyG4oL4WGwzLI+ZzhqX3 wX19uQK7lL4CeWOB9OVZjm2s3VU0Q9bWUvavlkyOrGcHfSmpA/uGSR6l5VHrKjCkdH3jNUbFqUJv Kaw/Bnj6uAZanYhuiZSj8Jm6Bx1GUXYfXWziM9iRLs0SJl4096mv5AZQrOX6bFU0p9vbKP2+qebu +V9wYQwtdP6Y3qFA38ukcp6+PNQjXLXy/nuWGj+bA8vlPOHzCrCGVG14n7s+H+E097IcEJ28Jwup KaPcjMJG3+Z8fw27tDpioZXmZIgPvbMmeb34mSg9aXqzUYNr6oOGAg4kdh0rfrPTCNQId/7mEcW9 atwlLjs2dpPd0QEVGAnnpTqXic4VVAifGEo44SZS5kAfbDsVWTbQ+QlQPWqqZJczjKWDXOb0fc13 avYLSm1tfGIT72sqWyuojp2uY3a/J9CicDZnSMdVkE1INfAuIaJViMjRZQGrUtfNpZ8B4zLIPNTp j5thGznqQoa+E7MuZLfwVWXLPaXtaj/4VLZFdqM5xIf+Gs1SbLYSkMvI22WAbjl7joqr5WTOcd7J EpLu+cdp1xYmCQGia7o9MmP39dxben9QLNciPkcho/BFB1FvvkjeZwcpeTUUWqr69tS4wxaEUmpu KQs4uBl8xX8Nhscbiqrq/M72sqaUUkl6FwdcIF9cMOFGhDvBIBNcWzF0d4IIbIJDR/Bv7tGbG8kM SLz74oMHUevI8HYYZhgPQGfVsttynuyLwjDk6QPhr4Dz1lLKH5Hv/V7q1/htea4P3tq2JTexsnSA 9LljacPXzw4i8VrjjHV7+5C2C1hpyklMX+1pSSYIBPGdpLdASdvPtWa1eLEb3M3VyKHqSmU3ClSp A0ZzquP1N7+qmb21xeEAbMZjYHQFO7A9hF6LQcnFD3iLu5I2x0T0Sm55Ft4xK7QSWjxGm/NaST9D /nSFDLnXplG4Ll8xAeGow7gyJ3AOO57VzrVs6U/BIsTOYlD5CJXHBdx/o4lG46vDOST03dxwIYJ0 GZUXt43/6/NjOPCcT0MEumj2CHqmMRs5ATW+07IM8YF1qCRUh5+EQ3Kt7BQ6kAwU2GJdVlcIQEGc 3T2QqXbr2ZOg3JW7H2jTeoyqWxUjfKluYyhKNxlJw80N6HFaa/04tweS6Rkg3yK8X5zYc4RLdnRi diIyWV7XGSFoU8ZGXhQRX9gkbamyQy9KVRPNdZYJMXJRB3zsicDPF+YRjUfcW8AB5WwhvZLBFXJu Qw8CJXLjvOfDYk76Zggj2i2I7RkBusC4texIKKPBoveP/68jiRJdMHrohFWsXqzzErf2zoxoXyi9 eKKVdLk7VRuELyYs0m0BDMCT3j4oIE49zy8lCsywqNoBfE5kUuHrHoHaEOm7cYEFoKacSvCzj93E aTsnuhnuSGnM2kKQRXLbmuZJALwUj9lGMxNHHM4XdaN9YKutCSQ0EOQi56mT+0fczGYDkneKGYe3 pSXqZjUbVOUNNimHTT+b/b/fR+n5vfGRGa/fFI9Oeo2G9drn21KRe3aNCN7MJSRGyXhFovEiCC6w /O1lhsQSkJ8dmbMHAi01p9QxPkdR7XPaFgwHpN04RCwS+omNmrn9EGjggH0xRDF1l9DxWzOTWTOQ ACv0jQlpsTUyetZ2i0XBXS9unDC9e++2qXLgiWEvCHsozj1OrTn6u47gCSNgX7NH3Mc0+Ykbbkkq Xbf+ZHHLUvPihtJhk0lnKvSqXLbdxHKJ7x18X7/jhmTPhC+4O7Tul6VmsG/lspAcaZ7o3otVWiLM nBLgFHRcBIocGLEGIjdIiSkPmq+H6I2/d/YZ1fA2DlQJi1aUdvQHLKMpHdOe2yPORh5iUnnyq+aA DAl6D6EpVaqefhy6JvvbPFPc0ab39HbEjr6ZeuRoQibMkRudu+SsHRINw9xy/aKTvA9k8R+VyN6k S+dlBAvy9sp2Z9zfaIDi/Vt7a8oliA75UwWrnxTVTc9p+5Dpi0ihwn90YOWNt9I4HPOPtJiiUO3L R54GYjgQDGSQ12nQcnPDaisJe9wVSRgBtgRIG1/3F7OnMioOVAPjUD8pyXkAUUMdc6A9cSHjukPi kUnvcRV4Lp4AAAmSZJ89R3fzBfcYpZx42xZmrfdw3dKeJM8slJe2/3TH4Ks34HE1DmMLLaD0yrMZ 7jW9vHGbKvcwPiSu3vumZYm3sJ8OW+U9XOVm05Y4vPh5eSKmlil3kDRM7zMwhLzUp646OzWKZypD XZ6oSIrQuomHPJ2FJVRrXjqNF1TIk0C9Kg2hfyvqraMySPV1VoGii7qk73FwzCiL+pMzRIP3LRgh 2Dq6WlcJPZpyNPHNXv/n/EgWbusjTyi5p8h1EiuSrZDKTp2caAKGovz7mwerob8xP+m7z8ucaFDS 5lezLgKux5fzWlguKqJcAmZ2juMYxHTrB/3ebFGnfTOUrEIqXI7tOVW0zePYltjhz5BcvLnecNsm TplhRsLEjifG9hEWnxQQeULr+sYFy7UaMdghi3XKBzBSl8FvfGj/mrpuCwfk410g6RKRpDQdeHYP wrDtZZ2cpRLFQIQTEnU5+ngb6GIKcRjhcbHTQMHfjhewQJ4//VvU+wuoNtQiJ6vqAVsZk0iDqS+J tDl/C3DNfoNqM3j0BcNCVQp+spgGKQeAok2XJakZiJYH1AgHrwoJfsV166NKYsjIinvo64ewOYLJ 9YPYf7dikf/RQIXwWNm7HAIib5EeNdby2732ZH6CxYeSbzzXwYosty0gPePdzR8/F19HByGrjmq5 8Pw8DUrnZzgfWngBxLSSVuQKcR0mh3QgjOZnNquOlGX8CjMf6DuTbD+6mAJPilwf1F6CoG5zXusr MooY4wuGKzqkGowRnYd1avCypfEdcd+dO/FP0FjUAM1yfyoelJdpi1+Ocel01t1nqrKZBR1iwaNw 9rDh7CvpZwKeFF0mFmkX49KZDbQmOwgsOhD676deNLFjTclf8AS4E7WZEYnkQfh4a7o+3YSs68GK rtKTjGoZoZc5nlRclnyRbIccYbslgMjReaCyet1cJR7HAxq89VSD4esjHLtHqHDo00VkDw1kgp9y Hgx4kpaUyNQu/pWqUxlfSs08zgm67+fowpo9gmoRTjOM5qt5MuVzx3aKOu1Ei6t+JDe+bWVIILo0 6VNPOjMfWaK+Nc7radz5tjeC56eBnuJGaivft7yZ056mMtmn9+xonZEH9l9UmQxZkG96iU6RbG0q ZbUWOBy9llLMWOeagOIa2Eb1MPjT8FTRoKU511sCnmh9pqjXwDUZbNx2UqnCYqU9FZ4YhMo8fMa7 W02SNU+1dgYRcJnQwGHysbnXlhAURzy4Co1qo1ZgIDJ6aKSMfa7JKdMXwwMZXMQatdBotHkCfj// Ek0oAfDHI0xbuVrkRaVwF05VB0b57/m40fKhVvDVZV1DjZQoq0DqlV0vsrLjVDLm7mexcSBLgBr6 /icedv0u9sPV3IXoWJUIpjZnxKf9EUS4bVIVwu6z87ByJZV5XB1VRAW/mABSGOduvdeNEuUEdIlP r9vMI9OFDzJ3GGrREXCUC/T7QaHU5YpIVNUPZuZ/ypXoZGEgZVc8qPXmBHFN2dm5howgIPe5JKoh WzBxlLnAMLSxLh1N9ELcp6Mo4tmADAp69jQTy8hzLhH2b+e7VYUV+XJuSQTnR6ZNk2pyAuRpLlEJ GANYaCaQcDGTJe4jpyirWSujJILIq+Aw/lZBmwp/zFPxT8xjAkNn7+7BX6FsBsRzoBeveZ8akivY DVSSg6Zw8VANKa85pGUDNqexasdxqsg9d/RZAfoIoT9/CQbTHx4Gt4QzhdBaUolAJz6SsiHxv6hm dDAslGYgb5azhLyixgH0B2FH7P+Lz3tjvw8wgeGOl8X1RQ+sRNppBKerNaKlTY7rq9SEUOn9VKPG 0qlVde6VEF633f+uK+Yn0xuKiocAA/B0eNPG+xTkSBDls5TaMYtq7uBTQi3oGYWLljy/5v9ONy2C GdzaS2cCc+QvXvVW9bDb5Yhi6d8+pbLCdE8Jzj9UJhlbQEimy/OjlzjiJXl3Ioqw9FeJXGgCu9WG gsPJE/B9C7aElwXPVGUxtwf/0TQnru12SqiM57DbZ0zeoxU880VvFX83pZg3Uo5ME0BA01JJYj8B QGEeCj9W0ccOi0B/WZG0dbYRDrxo9O3RNBIMO3UD48/xy1yOM0lljzxkbjvu9RGvTw6dMAXJjSDt ECB/tSTaG9F8vhCar3WB7chBMlv/lpC5zj1PHuAGAnnKL9jow3A3gjFQTGqBTVP06R/7bXhH4QoV aZVyJ2vrc67lX3gJymTSXdOei/9+fnx7iSkV+dnDQQ2YyEW/YZpKChoHotjp1shU9RSowlDTrlof PzRY2lVjlbgGwlXXfeQ6/dnwjvXcSFaXidt0RQYkn0EjIi+qsmz2BvKCl2fHAa3M1+JNKczB7Vrh 0AWX/VlWzBvpAHNsWGxje5cLibNKw6+o90I3cHFiALrC1lEqwu2h4OupW94CSV30apBGw7LnfAyz vaIeyrsO3PlIJceZvv+uqzQ+dzrNZ4YgvkVR+1dLhJr5L6fEy/QSE/MSQjAVNo3qp8ZpyLoJUReu iObeDQi3j6Wau9cO2nRQFgRAfmpwEqIwnVOq/9C3K10KisI6Yw00rVKXtFYBOv6kgR4IbXz71/p1 pTz9sE/Sz97S5Hv37Ow8Mbs4PaG+VtP9WTzzLkN2XY8H7wS8E5rX2+cVNvt8TpUpz6uM47S5sB+1 feG9/Yl2HIfRQvVhIMHr6JFmNUCxhC2p/LScTmF+aTZWzjxmkci6byqkB9ksKkfHVV2qqPerHLmq u3q1zQVU3T/8LS5i1dvOzRKdEQNmD4kBrqpCAsZqdpXS16lrxu0aXBgtgNbAOS14Be/7YyvJaxLW 52MIZFfYENEX4TDAy5HehXKXg6wWVYlPNmURFPEorikWR3yfpI+xcitYln8cgyEU9NF2yBW4e4JH l3V0vuujSJxvmm6jDEX1Ouk5mOnV8RiZgv97ftGmbUQZTWuvrjn382v8x897kn8AyTmo/j14JEf1 Bkdf+A1U3Nx5u+qZDinyC5ZCFiTISb9/V3L6/BoFd9Y9DJqP8PKt2S0I+ZK7TKHwNhDEJyOCp4bf Ww4bMARxT4J/7kRaj6J6PsbqPqtoptQY9Aild+eovI0Ckm0ISVLBAvC3R89UlVz0jD+UR0eQrudV ZN1IfCA5ZtTdqPmHlrvfZTUb1Xytguzc1zM4cKLWpr8oBGo/+rvuS83nnB6wnS+85vsPCCMyrTEM Fv62ii22nJfa68IrGn8x7ZT+TpMS5QRC2UmBvTv1cRtSbFHWMKocQM7gwVByk8MFNEZn1D1BuA+Q 5zeLo5lotHsBoM7cEr0Hg1zzbZ22WJvcyMtHVApQs1SOzyhfHeMaO9jwtb8OD44MKYyY2E2MC9BF sAjcljpc45SlRZyx1Ur6TCxDQ9vqvC0/LvEHkiohPelC+UEhCqCeMrV4Lta0IfPD3QarOsRYEEHD bwNzWWUGLyV784hM4BgUAjKefOkADA7WRwJHX3Tm2LEponTwqa0rAUdzs70771+4JnXPIPYmGQ/g S13qC2fVy3kMrHvJ8VLput4wkzkQzfwk6o1Ipw7C126XaaOAadqomD8kbkIy/qlOr1iBflCngp7N F5lChmlX+yILlw4073QoWLHecwiV34u4/TXJDn3ByrqZDVOwguVch4q8Qb0AfFfZLZptdyR5Ygys YlHR9466qG9V5zOdbrmJ1JkcbkOhaNZw40N46vW7o+/+cQSwVTRlrf4of4ISafRXWmWqGHVcCnnC lsI/hSfPnuBRs556WpZIxiHecymrO0VyiNEZV+KeWbzNUKEHgtELVk3HYLQb2aSC/iIY7IHaTmhf tMPjXM4gAwUuBi3Ql9aoDpHuculi9/0Dvk7qa9cXuTGx41MnvjnaaUlfuCgsSIDSSVM1AZTd4fEc 2ol+/4dr0e1WgUUJPiN4mqRarp8YHKLHvYNDeaer/1d/JtKmowyOUu1p2vv0RKqU/NmlVXVIani2 MQLM1C0DlkZqJdou+KgJLjrEY2XWkWY4TzuW2IzhlsFP28LU07cCkB93KYhvyRVuVHVU7cZiEwII iSYGQWP+Ef6xlUTM7Do5mTivXO5HnlGeYm4PFJl+N4M42TCA49pi5cQOQ8CixlJT9/agBja8Aa+P Dh9uFKKmSZoT7EnCK+qCxzEGGkIo9gifcpB2vtRJOJTfiFFh/fOL/DMkjgefREtdtTmTk9W4KJAp /eQQ2Oa+5/PENa4XS8hfiXsDk1pDnBPWCdKH4VK/S24/pwGuQfoPHSBqNy41TOB5SaLo4+nX5gcF wNdLbCM+/j2tx+Vk2ZZAXtgYKtr2xeudh9OSl4s6ZBXIvdKlATO0YGnOKnofNPMwS9XXAIEHumjB pBqKbsgc4XLWLN6lZ9gjn/6PT4bdCwC9S9vLuFXaUOQlSSwhTTFThg2T9ecQHgKIfWsQvub1O6zB KWRnjp8ZlEaEw8MMFWuUoabV4phoKLagmqpvNMfNKYn151CgBXxH0Co4AWrz2oLErYFfi8PiVjRo GnltgkPBLS7x6cLVEpQywAxcgyrQxfaNe0qpSAhitGHwTzKYCs/7qMPAMDENltncUxHF6KzeeiEP RukRPKNl+qOUQV3sMdCIWHZy6I7hJ/q5XuQPWRQNlx19iEH8oMMkU3XnNxub6UVzofdLQC3WxQ0Q GYO58ulrKXT2FgKHXxM0sjeTRDA2NjqOO3LmhV5/xg+LLuJ35anS3gnoraR/Uyx+yMu8W2kr7TzW +gE/JNP2IOitwVqT/CaaVG32cDWpHN2pUwWfh0FtQ3o4rdlk4KHo8bxsdIchv+4ArZbqt+GjPTnQ B85T8sNppXKH8QLiBV8fewyWpP5jUS53pLEN/gwyueMhyGExvZrrJau4XzkDA3gZ3gzilpLB9CNL UQCycVOYlPGLg8oZGENyt78pXzZg1ITObsvR37tDrEKVnftUavUzaxsRoL8v6fR9f3rsbSPfVhwF ITwMMa3AY2tDIc5KFFg+wVvNCz08emPPcUqScEQKx38+8Gvzf4SWRIZHm+RToGCZHZoLUNOxZOaU h5katMA8Skv4FipNckUupgJa2LiT6ULZ8stlGGlmXMTu2YuzN+D0dxgZYEcepBK921YJgMqO/BgS nC6mpJGCm8hzJqLzx38qBGOa9XufPqu3QS9EeWObKTDxUNyyHkTOMZUqkhy+u5nY8IbCcILEUk8v 1nhEMCNuQrwIX4QNO3N6iw8dGMC3+6XBQQfP7BVsbw0NEA5HT3mR4pR99vLUxkj81gnAi6jnVCPg TrkEzlVeuJLoHA+rrFjWzxlU51nwb4rcIeK1Z9NkJtbdpXPyPEOq9dCrwF3573ZfIAdDn7ulVzG+ 1WNQpAxTgeNpzTGsXx9QY3KLSsWjLK08aFFsIi8j/pG9XPIhG9Hb0FuETlDQuRIcarxdh6EZhabW pfyBOBDdWC3Ea0YMeMqsm9O5+fil+s+rHda/XJk8L4OxjHc0XG/m0rohW7xO+MB2sD7ROzYYbYmm eMuyN6z+O3c1ICuRjGVeAZkV+Wszi+z2H1HKFf/4C1LUaGEaqU3HBYtQKVRBJgRa2ZVVl6fgPnVq wBOUg/Isi2QAh2heRaLknN1jESxk26ikvCPVnnUBgu4NjYCUUQzQ0zbqGfU2150KconMrRzzLIoL z6lQOJaYyHg47w7k/xkxhUUKFLhGus5h2mL/7/L4483HeCqe+ur9q/wxKAt2+mzmmfiU3vkd6au1 vGavmfFiYKp4n4PnhS80O3zVBYwQ1o4yjYrF+05SjmCfMkQBP+dhYJ1cTe7qBzIZIBhwylCb/9V2 c3zamPRDGtWf0bbK3iezPAitSX33aiRkwOZ4SZQ7jJocX/mxAHjmjctk1wr5QUxifsopNdPRtPtw 4xEr6zSrxLAngJYL0ixIuOpgbG8UpzpEltiJfXkQEK+kxTvVAdZ0bea2yVOXeb+icOmbcjkZ70fn viDF4nhL6j284hbfdDNT0pQ4RNf9JLraHUVMc+PJvMJDyXVuLHIZ9Aerc8krCh+Vo2DbcSEZ8YH7 TGzafXy2Mi/fmbUBr5atDnMrQRRlkgm+qMPiqQ/QXj1aPCiXX04WADBom+5m0r8Bn6m3MDleF3Qc /hcSBAlDQnaN+g+mZojYy2379yagWhmp+FN4VTcPxiQm5DWIfh9OxHxz2Gj3HUOsc0F2rCQU51l/ 7QnQa9fK1tpITTg4sGR5lp5utvvey3kRU/Rqu+0JApcyE4NwmOPvYlfUOy5FvVWO+GE6Nch5gXmG KseFbyPXq6OAyfG6A1AcejosjRty7efyzr0amuRnbyIEOCvl8KvaBK8xKtc34iOSrsrKNnZgzcbf FmiqQX/l1I4kE4Gr5z8vO2ZiI/6O0cG0jKN3+FpreCdATvS9xCcOjAmWrAwMajWAm//4BVDCTFLB peCpIePFvc7YxHse70fk3GJ+BCP3F//ziXdWhHy2v3/sh890Xcl9K/wAvSDkYwtv4X7+oUIuiXTV lxTuJe5GROeDrqJk/RPYXFulDjC5sog4UgayijrBNMlESzaf1N3La3DSK4DgFqr8HjXOVdGRcoDV FX8YcG73IrUFZRi2smWtPXwYbFNTXCf/5L/pnS3oy46LjZ74koH6+VQRnXGGDprjOJVoQGBzDUSB UMx6ycaQN0rnfaNFiJllEjbH9ncHxirDttChT8kck2Bp3x+MT+ZjdlBofY/3CYNaDVTlITNiHELW UKkpr/v0zFFYWEFbbto5fwLXFTxxaEoZ8Buqh4AuTZbGmDNcb66XoLnhatG0VEYGzkVwC3R2uYn+ 0laGKNb3VhJSZ24tkx4hsT6kMmEHUJFY1arNko32+3EPHxwE9bY28W4bb8Os+z/LO1ISCPS4+RNu yzDf+ca+JikfUcgf9MbqGGodbnjGNe5CUswQX5o7cGZbb0Wqyvl+0J9jcSDg1Aj1lwZ19VpmPIWk 17AKIX3OBbk2TuRIss0JjkT6/SFQJqMGifQaPuNVUH9wst5RcTNqtBq2/HMIU24O0x5YqeO0FPIN QudTURtVOtcsBzooGE+/sK2JISEFXhrqi0d7Wegbn7/BKngtO+Oy8YZ8347miZ77qvvrfVq9xJlS 2zp2dqG+U5iC1sHeFqJFYtB9DeR+/QEmRJkFSFYF5HYTT5Dip1+4D7JgDmqEBFFBYR2VnYfeKZPu 1qXkK7KMaI1urwnH2cK8OKY/hr4DdgbQT/bM8ppMqLxtRF3YmYHyBeX6bgEcwd67CgxrOBDOZQBb VdW647653IiK+IGYxkpnpiMWrjtkK2ydYZpzRpXyJVGfmqxmmxBy006Kvk8yPgGZH4hV2zXh0jcX DzU592tFocYMz5w579UPKodTVJCtJtyziM/ZEPSPC6yGnl4tsaXBghypiT0VBrkWSfWsMjNhwZwM 5cJiQmhwqShPlVXptM3GVD9iyKNhAPG/y74iQArZZxmRAVKWvyswwk7uXC26KQHAoU6eBnVeKilJ 3DsATJZtfqpBbO9bh9jUcRKeO7A5KRjwd/K7+9OyQwQonolViqiRfaD5Dd9JAMA7GfSG+djPepK6 pvqwsU0W74eIUXjXcKL7Pp/3QL7+pofKm+3S9kmxGrfUBixJ3zd4fF88sUfJSAiL6WW6tzCxM5ko Q1fN8bIXAwblCcaPCwQCI7knh1/ySYS5KhrF29iJaNF+LGbsVeHVEXVhd5AmnJMMFV6szEykc4Cr gP3X+0FrputMj4Qr9JL5GMeSf/W0JouS88rlHqfoMeP8sxA+Nibv3YegfIIl7dXzn+M12/XdfEjY jrQDDk6IHUB9OiUDfhJ6M0gFFtO1xIe1XzJuDyDQs0j9xmaGPeUQKMqCm2wIL5JGG0nrT4+diefY DNu3HSIZKe9FxuL9A8PoEi0OEpqUe4RHowf+TC5XAqGf525/bx9sxClI4BpcLxBzR86eGi2EuRPa Jol/nBFYS2xalw5iV2eufhoV09sQvUHTwaHgzgmmjmTJXC8BUDDbR3HHboGFB/SIQQW3JRq+KXzU YrkOFCFwroXIyE3xPgH0gDaZBcDlTTDKkVI5WxDMR7EyExiSjQ69rg14tRGnJ5VKT1QG7V3XwC9x TTIxC7rXvfAqaXFly9LU2b6X7C7g/vk3A6SSJ/CdgL4BwoM3H6mtpBxoV8oQXZRUOuk5hTLJN0kX fTHA7wKx3Mz2Yd9Szr/h4tGGOTEyUid5RleAD+kfy49oQDjbWkKL7aT+0AdPkf+r+bgy68huUzgL J0zzaSyF2SoZbINf6uuJ8zdtKlrtSy0osDLqc9Qyt1iDziG9+2AJNrsMxd+pJDrMHQJoyxwBP9Jc kr6xo6noW1ZoQP1a6tjZyoD+SFZIsZvUcNym/E4kAHTZuGmJhiAUy7MV6hOhmRddLYb3T3m4VwRE VYnbV0dm5ehHVF+A82QUkjMNpWBfoOUYf952H8BZItAYwUXRJjcOttAP0hdqXF2ddYmM5t2oTeG0 bVJxlU0vXnSkB5ybbNCf+1ahiFRHU8vT6g3MHGafphHdlTo7WDglKAVYsCuq2/WoY77NBhxmSz0r VE8IonMBbBvV33z4ie9fqmyJcwnG2hKJBwZURtMMJZPRVyL6MNIQZjOWLqia1yc1fgTg/OwoMNtq A4Vry/mwdTYs19PEgDJsrIDTSh5nN0Ws6TvEIv8tWt8oTXhQ5yXbzyfysGqF6YH5Nb+WF+KNRd1U V4DYhgllV1svZIBLD3tKqqi5MOWJrHRwayEny99pHDjxu9Y5ltVWsgIsa31tpsnvSYE84A4oYErs RGZ6a3BIqJ3zaig1Dhxn8GuKNb4rZMi9+vgiFqPH1/wU8EdRp4VKtflJpMly/8PvvUwJNdOvQp1w X/9jd0sG82yXXuxfEMqOFIpT2YdoVGXuHwwEtVNzSMjJIcvsPJW0Cs6Sp6mw1Pc0+J16wnVNY3ci yUp2rb4gZZRwmNW2OHEjIK9sD21VTKySSiUsXDUqsQYky0aonPQNpveIuDz965qVvIWVb2Vz/KWl B7Y5/uLJqFwCs4RSUbw/HEWjYkum0v0RDqnCTvEztAtfv3Xtc3A34D+tSY8YhV2d96nFvIAOUf9i wvdSlktEUTvOf3ZBSVQXRc7Vxz1DVlS6lGhGGrIX16lFJ6jqakWJ50MoscWgny19Ubefyjoe68fr qkiE+V4jM+9FfCwFyKL7MwJkjYiJuib5W6P0+HafitCkYczpRmfqxLU4koVGnrvie7kcohr5qwlJ PY/f9o9R15d8nffOOgSWHsUcFyjeFGKIEljiwPjTk1p12lQJEoDG/6IG7cw1zXTmZVeNcMUPOGhv xuv5zcdAPpNMnH2hRWORdUQwsKmQtsPRixeoyYRyAJlHkIxIGPWhm9L+D+pC2K9Af5QVcV4Nh2Gw d84UCvdUXa/dJ4SdqAlnEspi3PZk6PgUBEIi5hXm2r5Up673PXi0wdznYhvWrZNRfQI/YLFUg4KT JolinzZNKe4/lVvUNeZ9E1/88di9qln+njWq6g2upO28LMLn3eb3kYbGuWY6BQmowTUsdCavVP1F oX+dHSZY42ESOUJtOcrcV/55ors3y7ftdLzrjwqto194kYaAUmbOCByC816OOLDdH3KFXmmiFM47 gKdJS7vQMZ4zN+4knlfLY8b2WqH1e5vJia7bI0uhDsUtNn7tUWFnmkdTzSkikz90hZUZpO12r2j7 GfOIWqvidziDGgtzNEgn/EpdcnF6MvheLsS9KSNr+gJj6fbHNp+n9KJdfatM4BO3YGnQvYGwLyfc UNn9sCYk/KS1pdUzKKzZD0ORrsfuGfKjMhunD4O45TTtfkMjL63ZknMHOCdoMoR7zhnp/kFe3hEv /KMk5+6cwZclTgcCDoFPXycg/RiORbfuQJ+HjdVr5jL5TikOl6/eBLGCrTzzRw+yNm17S8kjzx22 5xcJu1ZMvyiHuJJEcaP4f5p1MidXsSGfBT7VK/9MlF0Qoh/RH5q6fEdYitGegUxFmS92x+e5WNzQ 0QcPUCiaw7WUFivYzgOYVNtiCeTV5p8NIwTnUEW0piHxVWqAgIG+lTH06TS1XKvzrOtsHIY4bFpn 4iW/Drogrmd+uZvJPI0PgwXryDMbL2ZUeD1AHPL/eS6KkpUKXwn7369VveRNJOQeUsJPVfFCr79V 6agZnF9WeVknh8Q/2pwDHtiPPRQ1xd6A2LV/oXZTOUqZZhBaPkmye/9hRmYb1zCDkESFvL9QDbgZ RMgBYNBc85CIdKR1Bw0nVtFBuf40XO3Vju/SElNTGTYFpNwnsf5BYue3AgRduL4lSx6ltjVccrkI O2bLmDKq+RH4bT84ZYSQSCfQKmGDCbGfgGC63j/TjixXuzHL8LyBPVUn6Cfz6G8niyv2TXmpO2Ta RvUd3I6PE4rJ0j4Mb/88DlgO4/nUMauvJ3a4p93qgWI6NvXa9NCROJSrZEuEIZL9mDG8k1npBv5B 0ploKv3GS0XJfmIjJ2cO1iBdsBzVe9UuRm/f0hwv6D+eAD9YDCJUQykEc/2RvigB48GP7oAIpCzA iA5IKEZFYA9ESpV3m0cUfqoNoQ5rzpbE80J2kanoURi9HJkcGnGRd7MpBk7T/0A5netUp2jQE6Cw jzHcz6w0BdXkh2adlwwLaA7dMK2/7JvypFGwz6JmFzE/7fqQ9NsCZXSsQG7fcaIWhDzC0sZf9ZWC 8qqrGCzxGQQ0rR+nK3Y9S/3F0RX7o4GyctI75plH/L07BJ29xCp4kHm+OJ1BaoCe5PwuUE48H/Ww R/F9xvG3vtJ2z49SZu+LPVGxE1ZcvdTLvyPmkZJZeQ/GNmSfWhSwN87Fft+sOmZfhfXSJgBm9TKi XNIKVTYSVhVSObJb0rkmAIfEmVeIA+3ZtVohwk/ijdWde/o5b0tXcozpH0Isu7u4sB2S/E+RkpYa sOaerE1lEEh9dZue2vxIGJSj3p4R78mITeKm9K+mYGTlq1zp2kyiiRaXw3o1joBH8TEg+cXdmVTV 1ZrwNvE4XDvhFRrkSqvIPhpZpNT5z9B4CpNq1Bo698RDZVTMVoBlkYbSWbJIBydcD5M6OSWz1oHN 8SzF45aFbUy8VQhSk7He8r6mpQXcsQwoelHisR+LrwAuUEqBZCaXZrJH5/Yz5JUsC4Gch3CGHUBa CqMgG7vMHgsN+LiUPZ+lNivRpt+jbApsxXKz+RLFWuOiPEVTGHvZ9E0PNUmPK8yBlTELrs8KNG0i NDfWinsfrgBIRNYkj6T19fyt/KZ5jYGwH7Koi0Q/mVPlJnLsUiOF05OIJAMXS1D+BFAOJ+dLvXUQ P/JKATqMwC5bi9WB6YlUVRs24AIwlrsTSvf3kUIIRN4bV2PJCrGIBXJDYGujbSOAf5L4+WWU0/aa Vj9hbHPxo/FmomAIxbtwJ87uwHl9z8JP90EjlAs3IYEW5+Xf8Iu9b8yNy8o5L9ctfRb3p3QWAJ2Q O49h/vuUy06qHipk2djMQI4dU/w31p+cH/deMt0Ku+fgfCZeIwws4MnyMnwktr9C+XvfAgVwCeiD nPlbl2XPokD7kUa5PlbJRhEm2HQYMcqJ4SkTgVCbGOcOnWvPIh3wtTnkpGlc+lSifO8RCXXNrcip LkMssqtZEdiRRtd/bSLoNhDr2YllYAL6JCZuZ81DxxNbNWtZ1gWUeoPFoFMwUrbBjAAXeSwpEXX9 3dsV4JacN0Bd6ItQkiDzTsA4C/yS1qJ7+qNdIC404W7KaB3ZfEam2WNCJ7iKLzprOlH4TLfK189G lGrjj8ifEEgI6uBBl7QissIPUztfopVcaLf5GlxK8wAdS0uqe2LeUBrXHVuewJr/X1R0sJpYQ/2r tUJeDxWIu2idF6+7J+UnzD1EA/fT18iZff9dmwQ9S8buheFjxHwm7abibTTb4r5XoUUZiesC6t5P nfZ66hm81md2061UCaMFP2vgLRDMU99rVNEgZCdYsy5tCLCBtipc9gDnyTtnBqW7Fmiz99kqeWBw qHzJAjAa4K1PLnIUDNdyH68TyQ+fZmwP2UOFI05x7OU9t3cB4WCfxgygIb3JBtDVXsug+TlSo7Ne xb33wPkosuZebIrvuvBBgvDHCWsJDTgNqUnTfoXR8Ia+8JzU7nm3JWEfjFVUbDvQpXrSu4l1jBqk j3fNKF2jWYExil+6EvYBD8g+ku3Hq0hmWQ9X971IYNQFWUFT2YgMQcwTliW41KSsn/HxAcSCT3pX CdRdPlna7xzKJoRUc/uoWD74daaEmL/Wf345hoq06MCEYP67birfhKnxpK2q2KucyqMW4BoIduaB vez4LR4HPddGslTc8rhkhE/sFFJygVAJKIjh8SMvQZevj3kXEEvbo6Pu/lV5H5U4EDr9J28fvykZ AKJYYpgtzBxjLxilsfiLLfjRAAE7NxlTx2CixVmLXv8yxBr15nPnt8ysOUm8shbnmRSzUgBvbDe3 35eCuJnKXTc8+9c2KiJlg3Az+aIzpBKm9EpHn42Hsznb2HYJ8FSPM5HLGfaoNWmnNINB+2e4OEpS tHufkJN7cGnEJRvoOnc6sXfU/HemNSDZ6ujXfg2VI+jpQevXUwp/2h8Avsl3998ewI8xsXU9S7/o M39dJTs2MluFRFvHq0fRT49fOCyh4w0gLc5lvFiR/36W4rW7Unpp6WpwF8xtlAzxh7XD5Fv6hdil 0Vzc/sdlHewfxnZYnh8C/ylgQjInEbJfiQWGUnwVyDu2pgv2wyD6O9hEpGtttNJrX2OzeYoL+V+P s0x65kRQgQh2rJvyzgRe51SrX77MvtgBoMxAXrXGr5OS7V1wJBWhCSjSJ8YbtwVaxXGDYwXscQGy mPiUAVQUyopTN9lD4TIYnWrXw3wIN8EDlFZ7WaEIdiPdG3yyv4s8cIbPRD3bxq3sh3EJNY2xinj4 29AdXa33dKpv9j4erXsTHu2VgdPyT/3rAHbkQPRbeusMtVrM1v4Qepr7VuJVhjerJMw56nDkXkeC KwZoqDT7tJhPH+dCqilo47kx+354A4/pc164rujuDgPxyYKFVKY5qt7CYqH57lckwW6Fi+k5bn6a EmO7PmhMWauKC+GOcfzgcZxBdkIgojsWYmWyKraQyYihIqhm94RT1pUQ+jTnRbd6XCmEItMcQ3E3 9Ifq2vUrACvfr0E41YSExxKxdmlf7zDEkeC918WqLooWHsNXM/P/AbJ6ILKYNrP5AouACOCLImyH F3VquuSvn9jnmI2Y1/DBctdPxeMaqjMoRZ+sItc6xOS+LT+fAsprvsZ4A2GckFIi372ad7WuPyjT qjdGNnheggd4I9lWT1538B1Wu8Sr9CMPtDjT6hs6rNjHeYKMnq9R//mv4evDNZjRVTKL22+XIpUT wUMlzotRHYmr5JGl2BI9EdYJJ4s6l5SxB/HBUNmP7UvKKFzADh7rWpS3bTNCSB9AtvFasfzemXI6 oWLr6qfeFwGUPcfaBhjAfP5qTlNxK7z6LBlP15sDNiZ4F30/CLAcEeSNOHz5FuArc2vSAVlEp8ct uDe3OEnFaYKJ6dBVQ4ZekEbU73xFPpau0XJdZAs2WWqfLG7X4tQUoIhkm4zmF8hqkUUEISWON+Oj L5nQUvySG7y1UOLOlEELRLE1G4+9Jxrn5kbvPER55VivHpKWWmjlC1ohTiagbEbQCyZUryvsYJrb WP+LNj6Ais1e2tKWYAwEiIT2GLicjS5mCpQG6BUnNKjJZVn3QiuN+1u9ouGGEgwzWAWDwveufjag tSVq7Rf716m0AEDsJfpXYdDOIH4VzZSPH+t2MiQCyKZ5qAf4D0VO1BdtJcNunjd1xf59slhdA8Wq 8/OZzy7PZajGR6QldTQiq2afY+ykWcXTHhFcn5TS5lbMLoaNk/KIdcTUEhfE+R7ABAa0/3cMJN3Q 1s6i541Ym80b0m9AuiVChCCA+BfALdfCQct4fxYwdhfJ3LyjjT8H9RBUwHlDVzBdGTowQsIRDkhR k2/Fd1dALc+R/A2UYL51KEiIYhB3vgRAw4WMQL+09EL0XvkiNE7OeUQqmDQODliUGPVytPi/KCCO 4zMBmPC7B28E1ep9D0A3vRmI5Ep6mdf1YpnttmCxCe4mGrUfiQxkwR9xOHRfOnrm9FHH5LI92WOJ 1CRlfk9GKLODpdfzzERxQ1HfZcrm2Pnub35uJesMR0TLY20U6eQ862iC/qaTfQsMKWQAzRDuHMat DohvwPIgioDW/RUOMkBUsoAaVHJu9hJgM1NMBPHPCt1mJ96tIrXbD76zdlEio/+B8jU8Jx4q6ryK 5/H9DSGxtYJ8l61tgGNF7gJq94XJubzXhsrbljq105jcp4ktcqNawtCu8aDTW2ACwBFVe5s+kFsD 7YocjhGmBi6iERTGOvdH0vZKThloDkC3J+QAf0DOGUt21xr+IScJElBTFrkg9CmwntI7+Nns6hEA T2EyImTCMEHwPeSbytn1EmFrfbbMwDnxEI/3N/QpQiilkNZ0IAi6d3TKYxxAmmeGXbKbgGUvblpZ cfFMg63RSm+rcLBfbuxkRAhQRcrwmCXXm3k23Egs3Q/xJExJ9UnAdpjHJk4IjASIEo4eHsjtHO7h afY5Z8+ClPFupHrzU/0Ju3Sk7QNLjE1A7J5zCTFCSBk6qw+fbwh+8ePu7w/J53HECJ7mppjDU8ri uAwPXfrX4jqx7B++1u5CW8JYfBkEW9ct5x76rEqJ6VFvTq7Vup0i4BC/jX4Dsf9tbMkZx/JgPlGm 2Xl0mAT2J6cYAAgJ6NwSJsx7E2NpuF3tZ4yjq5pEh1j5psyLyD4kxbo/5QxSY9NYF8qfE5X2nGTR QYZjVQhsrVEzF/ztDbPkpPhUrhCbWPIYqYlwBBAL1MMvc4/u/a05Mi4O1pziLvjRLhnrRQo4kfnw SdzFhrEcXrJM/dTYxvcYcELpOySybN0+m1rDs2nx8FpsrqJ+/Qo/bIZwcViROvwaohN7SYOkhIKd 1EgCtvvAqSOKdu2U0yriUSe3OHyHbLt5F17Xim1V6YJ36YSsXuWgkNy2HyRAI9BYpdB394oD5WTS mG6OOWC6WOHeHgSJI+kUVf8CeKhBRVTcPTpgyN5SCdg2livD7IX4UiVnl5hUnsDKJ83k3yp3Bbvd /aohddeL6jbs7mEeHpOi43jDdzNKvyYL2NegQG2sy7pgZv4p1VCeBK5k4TuOQnCRdhgvClVcIoI8 NnvXGRK6NUB49MRu2u1WjfsXx0aAGGnqOqiHGOBlLkR+dM4kjxLwpEXygpImIkOX0BFSpERQGF7c 6WpCr+1T3HheIGUWtPVCodA2y9Pph4pI0s0ICT4CrwcPGtbRzPNRYOmDisitRp5P4FWm69r7wc7o MLKZJUAV9YBwTCvhq/5AaOkQvAZM9hoLk/4fmgHt7KoEs1t7HF0TTh5AAg5lvXpStbx2MrX7+4RO 2FHap73PNLQRE4FJ2cB1JbA+Ja3PU4pFcovPBeahjm+sBB4uHFaUNY++WfObEVGrFzvrfh8xYCyC hSVHHmBHXfv3+Jdfe6p+aVUmkg6v4bgOTjuj6JJZUWVveJw4+qSbv92d8lX5mpWoYlnyXiwLefyi rRQfSuXV0Y82mEhk5OG0OHq68uRTYdO9Fcht/4c0Nx5xpyJ/8gS1oEdeiOUikM9Y2Q8jrPsreqpi LwKQjr6PxBErj6EgFdguF+ABb+qYDaO3yBsmS0TlWDx0s/a8BAQE8fVOVdhUGZvSx86QuzhJJWdb e1zciVhyv4aKd5lerW1aGKYe7Ek/pP9F53dzyH8kw7X6kaIduWUuJN0DNk9VUEXUTsyax9DxmU9r NGRaipjSjsLXj8yRl2Qu0I85OMJHoDZQlsKENpEiWBljwFB6u/lmXIzip43039PGFJhYsWakEu9z mBmsSzqeIArqSrPcCgw7JAQAemTbSeEQW/0N22mIdkqdsCO3tTqq40M4t5M8T8TI5ZYKgPmke3Vr StUlXhWCMqCtKJWdF0HgOKdZ2eWG7L0lCdvrc5cVwuFoq5qJ6TZL21EtXBnJmimTcai+EjtaVue/ +gPfY+J9I215ZNZtCXR53+Fe4yVbOGPds0lNY5a5+Z8g3hb24x/aEFhW+hTyQGPdIwOdF5xoNdcE OqCm1sBSJ8p1O6mI9x592Yp90Pxu3iPPCQE22INPBOKjZSGv1nLGk1OEpFUXURV0r2y4mI1ydBbi KRZRdbHY4ybDkYdNQjimco6D9gjyPGKdWLaAXDfJ3QkK28yx3ulupx/kdstam2Ujnrk4GfERzPlv KOX2AtgMCiHJcduNFUqc/MqrLNz26EPNXPwDQeBtnE097JVDv2AF7tijYreSvKOoJ808wCsVCs7T LpKQe09P7NeGaBUUNeh2moIJxqHTJty17/TfjzA6qcJApejqU5eB9moVYUqxCODR3Fk7RmbVCxnu /v+w7BGAl0kRXdzu3fGviOYR+LkfyaSYybKpkD0peYceWLUFNI+ojH8IP2hN0ddpT7xnZXoF0ScL mz+Wsdr0Q+cQcsYDcWR4gungWS+wYkxdwGxUljZaHMJvjxEVGnKWo5vM8MSrKWRMoQdgdoIzm41R 4cV1r91FrDmt7BWtx0iSoHohySMJufpFdAkBVzq/zjrm00PbBaNmgxlH0lDjWp0UzZTFgHI+Y29J anHCDmC4locw0HefE3RtwE6+H1jdgyYPMI5hBA/9WwTRYgpbREd6qUyupH/TyBuStmakNhhtaUS7 dlqIktsf2bh0UwaFg/NDIHpy01XwKqgK+fs3j3gCS2l1nw3SOFfhkO8ntXeeK6dVCiE9VRt6ZKp7 UvRPrCKi4TdyFPxoI7TGj3aZrLIgTynzNWG/2W/KI9OQWXLRBN129+hkUEFiAa96tXpI/8DmHjhC VSytrynzJ0/uzLt6tGa0KI+9oB8kjbrzhS4ZUEZswya09hq1+m/diN5RuE4XucsT8Z43kiFddnKo PylUiQLPWiYRvmBDcGilHRMjvIitC2XbMKqMiDs5li+zCD25AtSz5JJ50NOXM5YFESRUutypDpXz yhzjp5UoMMW4OWxcvjwpMVfPhTj6JXJuKeCtkEeA6YGDXipElM2CqOx09GBb7NDF4rB0wlOT1V/Y 6+M5sf2gcGzXVAG/SmOzMYgUmb05sT5jPpDBx7wv3aDz3KgGBVCM9lhLCOZ4x8c3pvpzwBy3K6BP XIRdUfq6/8Vc/CwqPRzUMYvo9RoDg+f2IwVg8oOwdeh1TnbRWhxypxUMmoq0klrL4TsaHzY1C1IK ov5mKRvLZzSVMW3F3fRl7IYS6/v9WfSULwofZWO+3QESof70nW4uNSbXsL6xtFGEsht0oNpzPfM7 mb0UnIxeL2sjB+i09A/WfJx7CukJdLOQoQj7sD60WhdUakAswUDmcNktAk+uVcdgMkL55wq6w4Ph qKjMfVIWAvXKFWiSQ/uiLPexPVl98UxRpNAu2RHzvaO9EqhKctLkDslZni1I71sn8VFnkrYmtdUt J0nHjCqIY4fhcsOiKkagZg3ZaNl3R59De+xrC6kxzDtphqQv+Loc9Adp5o//aKna+Y2O24M5D7px hyvhH/CEO0yecc+Ew3UaULDtg8AISoTmunDpBgamwzxSPPvY2lSbPlo+3Hp0gZVlTSnNUzo8gkF0 UY0ayszg/W40MdG98ssr50COTw8ysOr/4eQ7FKhoG4cGM0k9tzL4/JuKk7z1lPl+nOSwnTPgNwGl wpfIp7xX6AG8N7MPFNpBAJfEHC2OQQkoafxT0ZyQxFAh7c1OzUafReVOXqsHnWpB0Wnz2SfZ25JN oreNQURhPa3BwFriev+5t2R7JVHSAqg99f50r8eR6hWhHc7dqUXQPWL5IullM8+MOyM927ABNwvG twhQUWhCUfv1FeNYEVIIVEk2EsbPQNKUHGPqM0lTNx8+6r0UADlWO0xl5Kb3406fYjfY0zrrblHL zDNPfPOPBb0++G63C3juuB0PPiKNbWXMM2swNP+BgRjHrkQXLX5br9lqTD32DLKrUM+lsmvGtXcT 2GF22YXWC2w8hx0EL+X5ZNbpeuCpZ20ZAG8cIpirdeCvJSqG/cW0aw/FEgZVfZ9E56IJ5c/RWhIV 4Lfdx1pWaXNdU8aPy+T9oCQuHJuVCTaB2CNg056srNxOWbE8+9u3vkdC8ngJ7yxMt8LNTCo1zBrQ IrlzzgtNozoTQS4dneO7kiC0YomR1jcIibrPGLGT6wSt8grSABmtw74rchr1B+6SDJUAnu/PRkQn Nfr6tf5gTTlxz2/m8I5C9lrPbSrFoUpXjYxVXCy3fAhd5uvjC5hMyk2YZTwgWAoXvLBOIfT8c4Vi 69bBUUhyy1nK7GTdpZViKaXvgdwX0uqItL2N75Rpbhs/VUkG84duKSNEz/H9tTsBrvW1Ww6zhvaE CP3WoPPrjxU1D6u04ZAS1TKUz0iGujrW3pXLP5S1RwQ9rDTuWmUdNGyK3OfUFJ5s4KIoEQWUkTOV WXewgeBWclEhAhzebmg7Gv0dAI2rPq88Zf6Lni/LdBFkPRub+kwl6XVyKj0TgS5NyMrolzBPfhVm IxKFoqb9WPwHWAxsz7vawbyA0nUgo1gFL8XYZLHPZC0WHh0Ps9MIMJ/4Jj5elPqqJ+PYCDCEmSSr njxjCEXPLSQZd1rb6WeG1nC0zsgR7LH7RGo/skw9nqnH+ZPEOgZLc5zM5aynNRw/ZH2uJS2Gzqw0 CzkhrskMqR7+239+90kKgtng8oYBVQGUU25ko8MRp7FI1ud3zOEBYZaKUxgXZNiW2kHO+2V0+bVh r+6KqKSk0sZL7B3+e/bQ7WWcnN0XQSFL6ecFKpJAgiju73KZSaeTpeGCB4+IThFQ+otpEYMQuDbG gK+UIAgQnfpz80lhtGvo06x5KT4YSrC1zef9TSVm4tJTvnwdEHAqpURin7xZ7PTfLuwXGN5RsIzR W06PHXlM6s3fkxhk2XCmtPjl7b/VcNiORhcgnoM2dqAffFlMoOATk/SIl5Fu7sfcc+R33+pr2AaH /f+hTGW3FKxIZWtbTEvndfaJg+h0URJ1oorJtBvijyaCc94MieTyld+78Z5zKbGJUnPXXef9RSPz u1p0w164cheMcZk2pRLoRpnr50kGRfGywMoh2DmhvJ6oCVKhlciOxImeFfE1mX+1kALiMeMll5bB +GTrCPQB5Gjz/OM/6WdAK+iHfiuc9zAdnFr5OTsWxBuBoMs0IRxIJ7d3aRC9o5tFVwd7G/fyCdze JzzRWo+dRAWBuMVn3Z09a1mbCLgE6WXnbmCGUE14b/g8LBvDiyxVhSdCP3RIEchi4Pk9z0xNHTaP rtv9zzGR9KydXP6zlsl3TnWMsDWdbhr+h6lXjikYHLB14mxLssfqEH5a2nmk70fxivRHqchKBJoT FvnnVSOHw4bM/120AQ6X19SlgzPBZZbKOp4kthWzG2bpa/MTVwxuGfqHyz08PjshQ+SkOKW3Cbpr 37G2e4WmKFjwoyR30KtabaT9AKDskLAtq3qHM6svZplb8GGenv90g3t2Tj7LMvvm64F74FaP3lCP kpgplvUEDHIVqcSmvSJzKDTLCeqUjidFqhDL83ncYZkEW0GceOpFe51sd+76idRFLtTjcEoVuMnj v905D6xs/HxGBZ1klaoYeozHKXydQxDdjw86pH1aXdGFMCHrVR2vXwfhPI7vABDnzcgjxqCvIuJH VjHdndJis+9us8w6OixkvNR9WRgdqrm7Cg0vRmRX76GwnpS09CtBgzsEwCLBKwfhd4ooMIFaFXmU iQmkgFslTsgHxDszRHI3a42NA/UEmZ4+g/N58iT3UD2WbQYeUWDheAjr+9QxfyxxL1V8rsALk7Q5 NK/1hY55xNO+MW0vxzdd/JHKfol3iJpOKh9xqclopZW1DenYlV5a1SwAiHqjnB5rQks4HceOW94L P79ouqwEM4Jo1Pi7x2vRLIuA1mrLMiI0MSurfss6v9eRZx+GX20aixcEi2k+tW7I320xgQf5Pq6B csQGDguCyS4/ba8Epl6mJOutuUreBbJwpghIVOfe1T1llqf9VQU2N8SIgcweHaa46cHaZajJC6Ul aQjrljVvGXn7+9Ay24zE2vrHYUlsMLrsOG8VHU1oeT4SickWLs9CfdzcZ7AJlckRkj+Hgmnx/bjj x/ACpzOoclQjQ5nsI9iyXRYUV0V5hpDKeXM/u/igz/uiNtcZlAXtVsFMqmwUsdD+0JfCA2wIPQQ6 QkVyKIrj3uWo7l1KEktbB846nwlXyh+RbEI+2FdP2E3iqIQFTYyoWCZG4loJZCnd5EeAncJOx1Yb PBrzz0CYK8a9ee+k+KfzcuBi7pCc3Q8O4OhkSLGScMr1qAFU/m/JtVsCmP3SVAFORXTihImQhhXa Gnd41t6g9F5we8AhP36xF+ELICn9j8ctAi32+uouLKUNN/4bb1rdnf8Gr/F+XKFZ/s6ovdFxUzs/ +cS/M16+EgJzTfc/vj0j/vWUGa+TJNlG08FK+eX0gcuXpi1m+Kqvn4evdYulpg2BAoU19oA8lEZs naqflRDXkZkh83fF1Sd19jWEhoS0lkEZ/BvqyS1IRakYWe8iOq1ae0ly52vNCqz+4rjQHPWtu/25 pxmZfL/12mw0nkk9jeMfyTCgZUYxBe3XFQW4VRyZ9qlh0k1p8VDB2P/9BvbiwdcnDDKm52dMdpfp iGFDKptkM64VtJxM0nnyUUh+RG8SM9FCiU9XgPJ1IzhYxRmDCA9s6Dg8Mnc6mvrZJnQk/q3SAanR 4rZweIR0Fp6T4J6S+sTfPxekP/PkUZIv7SVzd+UDq6o2jB7mgUo4wLWe5vzfTfoXVB8Bzh+rPXtV K9BExoUCoL72VDTo6AJsJkUorvkR+aWLei0be2WxeodfMGD0IT4l52zYiUe2GKGNfiFkeFBDwa2A l+bQa0m5x0ujAJn2sHK50eQZLvSXotENNFumDNWDaePSNndLVj6ovZbJoJQHfUDiUwFolL2m0RPS rJIZOILylOXgqoZNVzzYsOhuRyLDQcgVIyR5qzu5PHskekg+QrR3rOXIqd6g8v/Dpy5YSb5Sr1s8 18kKsGkTtO/R/5PyFyGYhNIfvGLCD+H8RXTfC443cJB2lHByDiR8mElxEj6j8JQFYJ5rfZ9fDHl9 n0qkLF8Es1JAL4j0mfriqAreNg6WvplneC3ZH5HYD8Xu97Rn7faJ5oqblNFTu7k1o0eSRUqR2wxg mDE26PlSy3UxcAkKjY8jBZ6sPTdAs8cOZtS+MLzh3PBeFVc/gRHQ+VUMp29tOZ2HJ9j4YK6ZK5lK gi6gqOhxsOtlrM8h7io7L6m6c0tWkzkZJWaCENR0SVHV8DoX4QGocuqvMdrZ4fqrHt7l0n+Wjqzo twa7kBlABd9sPOuJuCYmPYxBYMEksQU5oAf66yhFM03BN+Yr44whzQGlFRhfm4o/YLN7LOyurf2b 62ib2nmEpxGI4/bLV4/Gm6ReTo/dFD/0PTflk206zoOUxeyqijiEQFyPpuJtScvmN2+6Z+DEVwh3 pOp6OMzkmI+NGM97+P7uaBAtdsEhVrESq+hIqrelpJtb3XzqWirqdyfCv3zNmbu5CIRJz8GgjZsH KSXobtVY2tcCMiqEwmftIPVRBnXn4xqjEZHzEGkzwbctNn2wHCW293w6UQNAgC12Ilo1hY4Iz1KA 2g0qe/FXG15uFzl/RprqtTcC1TWtom1qK1Dv5Hb+Wad+Nh7GVN7MOo+MwLTEbm4PhIKt9OLwjHFY ppdsM3dSo8/NftM2aKzuXKWETPu58YlrbINXICfpEEx2+X24oRYGKFqBw/dBVLxKPxBCk2/sLYh3 uCmRiZEOLFGdgofa7BL0m0JXsn+l2CebPj23OQcCGI0YXIAaCarfXbQoxqK7ZuXMcWBETphfHYWo laG6XkLVyY7KDSAKCbIFRCtb+NXNrJFRqQQGI9ZquEeH3UMbOsoIa1bEYIL+iBEUDRO3BXMBIiyQ +S2KSqLeAsxwclQE6z9wqRafObVIoS/oWEd8jRVPgWX0Gy7oNikoSP/ICV1Te27gGc1IWF/LFzTv i0te4jXRV+ypNdINR+ANR/Ku3RHlXmUiJamVY0gWJu4MBGxK5e2a8Wr1KkJjCjIZSFuqCNckncBM N73BZGSNOCZTvUb5FVhJXc5dD5dZkVEyDHqaJPp+85oRlnOfIxEzClOTMRgA4vFw7piOV6iMjhYi x0RwUDhgFnV4Vdhi8yz8+Cjgg5HNTDRnWeRrhxEJkFIMF1D8v5rZ1bNKaX+PkH0PsAV8FdbX/sEA 1G0xymJnNP9UBQMtILkhNWtUuVjw6R50Bh4DmHnzskV1ymCfhtGuAUYuq8HkoFaxJUtnRFXX067j /6CRV7xWFmJLCdoTYi01OGKP6uBDIq0P1zgOcK2wCQuoKxpgZHU+AAprXoCMSfPh/mAMV2+m4TVn t26Vl81eLkEhHGCE7J5MK0J45CNAqFANMXtmizlDVHf88XVfOqe+YRJngWXMsYMNxv+o4goiplua 04AgpaYxD7Suv4lIIkmRuxzHv20/G66TucF6WVyNuG/2Od91Q+O5Sbbx9E+5R0EKUTFbJfQcs5r/ 51K1bWWcfvbVrYWw85kdRhqY5Dy0UzJS47vM5epq7YzrQi1pB+sFss2T4KsVkm0PH8SU6ei+qauy W/rFCyjk5yG9tXfKaKRBam9zWg1SeMRF7PrG6Ls8LeGbUmjLCO8/0L2HpmR4mXc2um2ks1MdChK9 XdJKypE/5H52Steh9e4WTfDBtN6HiqtH4NcKw4QUikUDoZ0OzsoZJAJDMeucjbJHWMch4+zKfcra 9kpqn+0qCX8CxfjaBai/wrbqIH4dz2sKQrNSBgmovbznfFbBb7XW3VfC3+ZylpkoVMeiSYNHk/OX p/5Oj+th4RtqjZ7/qR7txpe161IufB6Q9GYCoPeSP/tUb18YvTN2zrzsKntPc1vdR9qA8MmbX5de TeqjH9RQdIGGlpeEP5zrnbRjGoShmHZU7SeMZHjQZPjpA/FZ4MqD6s2WhxAMpYcKx8TN1naxNzkO ql6za3U/11Y8ElXqCDOobNORNtRe7OwY3Y2XJGRwtT3kSxqUEFgPJ3CvN41MybLE9mZw0amx3Jui sFZoWG+snyn4HZMWgzTrUwamx4Gi4lOC77ABt2sMVEdOsMzrb7Z+60V3TMx08rrZCnCvz4lDLvK0 6WSa8ghm4KClgchnp857dm2nZlfR+Er2AcNXId2Wx5lR7sVY9jm0mItuCZqrBKYC4fDDosV5/TpC u3PWXgm0frNQTfuZ9vSmQLwdL2mtNnk7WyUOeHL0RTaoBN/+/0Hv75DuJQgfbxdXM5r0iWB90bV1 K/c5XZSoYGExIaV5HyaCCsugudPTS6UsS9wtidIk/gaVkXMvK99SPVrXJiuArI4QzH4Osh/i3xr4 1IWYKNFsvSk9cb0uvmll+3A9iHeDaRqiSXVV2H8Gw0T/UiAv7hyttZB8AqEhtM3Sgs06UllZV8ru Yu5tg+bXZGByQR843m4Ts5bKVmR32lrrYdl98bobgCSY2rWI0PKe57B5jEtpZ1s4wOhbC0Mi6Kxv V6kgb5wV4vYE4o8j19/X9BVvNHy254bBfUXmrCxYK3m5MMn0I2O8DckBlE8AwzZOPW963ozf7WK8 wBJZMLj2oxIwYWwBSuq86+gynsT425T7wpHd2UxUBjRXpfsH7FvEDpfo+shWwNb5dxNAoaauZVaC VRCzXkGm4xzkKgbueRyv0/zvPWPHnfQCD74sB2VUZrxZ6r2OyCv4ptVgb14xQDXsGpY4xAL+vwPC Bdo6hXHEx9rPqkcphD9uEeOiQDFW3qjWc8pQxg11oayDv/HKXKCyBj7lX+GQ3fDU5F4VVIab+YKK E1iY58zxXSExR8HTiHRtBbu24obqM2kiJNmfns7gzQuBztm1bmvm98bdk41axttj+gcfJpCoRwbu WQb7qTuRaQDR5XXPI0O/V4ThzF0Fg0X1MzUAWc989U6jkt8mqJN1s8K8sEHZJsbFS4fV4L1GOAMY 5zhG99o01IPQxpB1vD6fIyDu5q4LNOYJsJuBioqtocDmZvt2/2a3gN+u2k+85ljZnwZtun2UFnqW IWTlnmyPP75Cr825YdUf1Vi1UdAdk4MHo/yVEHlbKV5T1b00K3ToGsVP8F0J00ZtoNalGhs+cgCY 3e3mrXPf8b9dbqPEvob5z85hbLvmOsabhOjLlq6dwxX/yBUGyxaRGG1HAVtSLgtgtrHTbmWrd5M4 T+fUz5mRSNkdmnvlZHPc5s9MdKrxQegZ/bwg1NRq2qFDrsp7l8cmdWrIOGkAZJM7mFFFra0ToHyA 1K0J7mIFafjk80XTXflBU51KISgNMb1aPi//gSRjI41d1Bs8CM30D9qP9EKt7Vprs+G5PcfN2Nds WfXnJpckUn/GKcB6gdy5FNHjEFDlZ+KuLxcVmWjYZos3J4Su+ZKAWzC/qCGIgw5pFv0b3uWVVlRq Muo0DIqlbrhh9mOji76tqqOTNncWG+onx5heoHtvbkwECvM7KVL/frdyrbnAHgOfgu7PNbW5pqKz SUKn/eYRk+2giwOWG1PS7IGOdEgGHLY5vttUB0bc3q0sMGnhQ3bYHg8ztGvozo5rCXUjWVkl4yPu Ff+LqmD4L9cc6h+YWDe67fVF693B8lmKXgrQE9OYUMSx0oo2L4w7KMEu9dOL9ghiYWKVLondkF2V C4dCcwPgUTwMUOJMB9cPbtwhwIFqHyBrYVOcUK7aiq5kdCJLHbCkBDLPD8yJZijQCOhodC0f38OR YgvrsbqnFs6IhmUrWlMKVr2VD924+SxvnCcLXHK3lY25+Llpd9OWEA/nBgX75T4Aq1UZeFBW+lOM iauXsktR2DOIDS/TQMy9l1S9lqvSJvhZQLlL00DVOTz7hSeizVQbIV2gsruH6+PSxsGJBHvTlwpq jBoC4VMLZFLW7g3WHiH+QgkbyLU0GMqd8ppk8bDulk+cGyALWwstnEXrRe6WHxJlhiL43L7lC/5v zKo6sWsoPzXfu2P1tntj4Fp+2OXSC+jMjDHmZcDJbRu8uxVvOxbKp3G2MNfjEhBsv0RjNue3Nqdo zE+ijVGu8nRKxrC5aW8SYZgK9LPPVujeQ56dHHcdfYtmk55Kf/0DXnDy0Y0hHMMQL9F1iAgd2vhW s1VlnKB0GqRrrE4Q2lUdzu42Wy9fLvdcotMVc3NLxUR8O3vApoGxacLf7pWf3h5ZwZ41mt2ntXZw sy/4nMXLHckB6FoxsfEIKwXxqQmrMJncQ34TlOdiaagB8zYUaSo8NU6ttZsPBW2PJnWPJiJdrCsl vWEQ7/JaKtAQB2PdLAZYUCnFdIB0ofXQbsPXNPRlssRCWcQ94ro2/m821zO9XeNufQ12PqRTvjLb SUwT08gIBd3BUzkfkCnTTm/OLvgds+9WNvGUw8UbZMBc4cxVOhWtrD5Ieq11SfefZf/l+5BrQ+PO f2dBp5kLCrrIffaI4JIks6sw4LfsN6F9sxGGUOUepWDnfnFQ9hzDgApcE0ySyREP8yE80zZTje1w fYVbHXfcw22z9z9ZKvx7qwSwnGVPqRsF9E5bG2wyjNx9V31urFmADi2xSHy5rIlCDEvG4Mhy5Cbu Ae0o2skNqCTrVNJooXzAeWBVi8fDeGyxs9+rRm6o1W3rGGofJIuAhMCdFX8jATyO4x3iQEMENScy 6KBvW70VQfCOFArpH9fLpig2l40fCc9uQO1xMmzL3jS85S9+ho07M05y8JRsmnZQJH0/VZdS+iuY aqUGM33+hID1feDYX62LMcQH+hGQlueuTLeLyGPIzIfBejXt/rnft8d3jxlVtXIG/r/ft2lB2rUI C363l3NKufOdhV2vfEJ2Q2N81KLZjuIh1+0B/vpcY+1WvFhncE8hqfbR2PjvWqoa1AmlPeNreHuy On/bAmFyrKMYapNA4B5NKbf9oncMlQ3k9CwZ1/S7xpfgnFjmHTZYX+m9q3rDrF4slBcjPjE74JZA 9A+sY4IRB7/85f02/Fx7eDKhncd1AGrcddZLGDrxidkg8jN0kduSFCY2Ge+5UucMHIGtwQHm6GOf ykMmPhWM1VNPx6m+jpEJHFcnYE0A6j9yF4X/mX04zchLR4hUTTjh2tLBbqcvGkgN0kjqtR5S9tWI D+8p0Z2qSKL/lXtVmHbftAEDUAjjwUWSEzqNehNvmP0mg0cj2g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YqLs8luoVD2LOx7hLHtumeWWjLsgVYZwDzNhcuP9ppuB1zekOAbOVLgm98uBKeQo1HKdKN1Ib1d2 FfyN3T5alg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SHVUJgndtshwUv/pYQ5e5nU3PoYWTAxANZeYDXWQtEfdNrwBd3FxkD0UV37/Hq4Wqjo00SALlJ9O bjlG3fWqCDCJXeemzliXBvXbwc5p3JEPm4Kj64TxKW1ytdbquoCvUqMRtjFC2281qE6bUPV0Yx7N vNYO3Uriyeg1YeXRr7I= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SmIAZg4+ZL3/Q5FBXzxTp+qRw6MWAhXcZUDyiIgoJwLlzQ4jhkw8MZYroUxwmdlUWQTS4gdEjG2U wPsf/C1w2gYUW5KmMGcsMrdIt60AmN+4/pt42er08WOnLAetspyTXiLzOUMPcEYWOctUcNkj3wJt Dz31sxqFu6E8W5zInwFODkt98N/sBb7gr/yKmoLw8pxm4L7IXpwqbboWgn3zZhWAls8LXLjORq9E FwrrgI1V7kH5XgCOMWDjKpi76h463pH1DIb06tIEzOMVezTKimdwjhIGqmxvF5+qFzFMnIy2HLAT ca84by6hFJ/AfmxtjxDplAKw+XGUDfboE2GIfg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VsVagsSS80a+xvWFkXtXcXs+PiK/k5F0A55U307sLelkeGBRQjYsjXGIKCNxHLDCva16Kt1637Sp duxxnmAIDnHPgvNDWi4rmh6C4KhlVEw3oO+GV0QA4wgNgsP2SxFSqL9OinZ5vjHkTo4QQMmMQWyW TRmOG27NUoLnXexpmvk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block I1RqihoX5DNeyTGlmTYdJDyQxTwUVKenNZKneGJgeDEfnaq9pi/V8xuyN4wP1+lb375lYNUlYpnT eyO1JYpe5q2bKlBmQIQs5Er88JwJOp2J3wNn5oZzsIM2wXsIKwWng5xLUFxxxcTHXFlqwFT7mPbe oQ5ZBnm+Aw/ROZMx5JTG8kjvAQeCILXiP4Kdk1GrQ7Rfg6FAHuMty00z9NpAAogmElrLeGHMbb3+ 588Pbm1X5j9q8he2g4LU2Nv+gteagJAUjrxFmFUJ5e4Z0Cw/5IP1cXjBk46iOtQjqoSjyYa3w5gm ouO6vyvoKxv69isxhAclp9J5n6YuC7S+jvamFA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25744) `protect data_block +IfSizmIIiHcz6llzlkv2P+SXMT+eU5tCmVG+s4w3sqA/Izm7LWO5Xp9Luqd22CuuukMfUmpQpXa JqSiBxTxvPwWC0T8ydsswBN9wcRcHGiErJwDDyii/7dZH2xsfT0PzLJVzNpNyWxhA1eW9nwO9otG lNC3CKL0sWcVovlUJOJCAc0BnB74c5Q/4Cl09S4A64F4WAGPxOAVigGcuHNUZk6/zJyXLf9BPMVA +wEOk7IDWc/+L4JscLa3obmt7yI4SJ1BiIhyeqDNW0i6FLww5ygvlw49I7n8UzPGUHV/rb3+rC92 +XUi4l3DzSMC8eZkyA1hw1Ed8LZOKIWNuzQIBHlElNkjSspc4AIdXQIIRnWyK8N/hYcvvgToAASv W0FxiyyJiR1zWVDBKWWEIqXUd3aFCFcQFlQUNK/rRMU30aNWeY4u+q7JkbfPX83XhhcIBprxbAKs /OBLJ3wDfsnFcybWdAXg2cf1umlVTgS6Sm0NA/uq9Jh6VqVRnB5cOd6pnEGb9/pcPb6A5gZjSoDk JOkP1VlCKddnU0RbWiAMLkqJVSuQuFWkJNO5vip4okfz1RwPm1O7+Qi25b8pApfSI47XxfG43eg8 bF9FjFDXmP5L70gS1GlztalJhWlthwd4mSxwhoi+3AmZ2GftDD8qhXSkGolX6z5tKzJRcW66A7u4 NRebdF71Le3cwMSq/h95EIwQ3UomU4UJdv9D9W8dVHEerQOUO1Bq58Sp7w1EQmc0EmE7hrHl2WCE iLgGxFA2jVQz1g+Mai25dorkapBzhmn8mkgJi/CkOB7o5xuN9Kph8+PhPEVMmAvdXomiopR2g4Bb bS2UWDRVBThuRED2ll3I6O+C1cfmAgPlsUN63pvwzVje2ktTc8F5TklgdrEtJKWnY1JdUJ86f3Fm XoZooa4A2MQj7DexGMI8Ws1Zu/ay+YTzytwKmeZtD9iElwVUATz+cNsUqVNZ6ziqBMv0HkWeZJuv /8+tPrJfd2PCHTFGLPtntV7Kb3ah/AHGdao3JaUnW0+ZAgWTh4pEA1iqm4GyVDKYfUhkINpEyG3W h7bQJ1j9gmLwSEuwcYI4RBCcf4GBLSwxblXqJppEVtQOSrSFEbnzRQ7gRTYxvzDuxX5qoI3SPfjc v22QT5o76hsE1EORkJExZ59SwBo6L+uTRRBucKnsChIknvgPVDyJB7l//UnVm/yd4w9Fsgks+h5t 8YpWatvt0DcIEq6Cu0iWcEGsflX9DzF91SNeHHIouWQYegBUBGKBX5iYlLcUgiaqM1ss/xOIwXyt bVCPD6y3wG25o0mBpxnmolNwBTAi/DzzJLALQlyjD/H2DeXjFXVcsv7A/MF7edKEchVAQ5RLGAve ikUjK9IjeVkVwXY3E+c7K88ojSEYso0XT3HFpwpO0j8iSya3FKSOKWIMU+gPPCZ/kwdqiHok+tXA EYU2H95B05CU5JITuE3ebOKd7vkkJfGeAP6gjvtocUlkxSOLxMecTy0AjVk4kxjUIKA0B1ZfYBfe OAGFHy3a9WwyoBK2XaWh+Q9pSbnoMVPZgZgKrYK3bBAhubKMysCGF5ZqgKkU0ohS/A2x4dh1eVac 0rvCVK0koA7o4N4aizS8ngJ4Gn9AL7aWQ4jfyNOyZxn9NCj8VZ2TlwDBO92tL/XC8rw6wOx70nOP ci47/CYz90Br7MGlG2uZgUIbx3zKSu+HdrNgl/EgOaWGgmIdO9gqrZ2CWjo48/B2ZK2FnaB9APDG djb1PsFVUfEEfhwze/Envr1GmbWprgUkn6fmlQNrjzZy9/BF7aoH50Vq6GQqu1tTuhYoqmHQ90sF Yfmgea8w1aAf4j3hOpytmT3oOFyjQeDWyxbkmu0MQkGAKvNf92dDm/Kw6rimfTBEIsu5C/AQ7AcA PiNlOEN8bibj1pdze0YzXAdoJ1GwBBcr3FsEDBe9sx2toix0a69N1LTm4hfVNjSUliZHJ66ZUi12 W8RwN9rFV9/E2mvD8mVfp7CE5mhN+AAyEWPZDq4hSER1TIXnb1XNCNo2zAriWlI6aR1Qo6tgEJy5 tH2WNKUdMw9SHcWFaJ5yMDunMXcQQcBxTa2bbAS4sDLJf3kP0EM29/CysKLHmgEfUlOA/vHjgEb7 tc0rfD0f+cVGShqzPrDtgixDDf7WX0lZPpNgq7ISdnJ07VFDQTzVwCtQj+rc3rR+CHeea29xvjxV v/XllqbHsFaJdfKeEVbYgFzDXt1DbG1hq7uQmULuW01Q259/8AwbP/2IxLIdBqjX4lPB6QwCcFwR mszSKFpbT7Q0wTPgjlO1oOYECB2mE57ZOCeLM5xPzNQod8+weSTWMTU51q8Q3F5vWBeOi1NATS/J MLbgXOcuiPq8qiB6MBUZdSzqFUXEgVDsGppxDdWXAcIeOZZ4GeR40aM4mfY1hKLUA1Qw6ZoJoudV SEDjZ0G+XA3PmH1XVNmi0znwcUokd3SQSEEQNDtXw9OD5gpJVzah2LTa6ovz3LRab9u8jHOPvXFA oneR7SHp4YUvZORSSuX0hWgDBns+ffJnAY1yLWdVWyAnhtiewnAqSoAlctpFlLdYdhrehPDHHHhY 0cXB8kVP/O8xerVo1QgVOInmLtNnFBODy2iJpvr0L5SD/aXhY2RET1PCO+PZwCJXJq/0C98BuiME tpqtG8bCzfxQgo1KOOYxXgWSZAC+L5fAn0itBO95OadyBghwLNziPLEGjJVF47YaoDk/raVY1s6m ionfMa07y0Cuy4bWiTvGzJWh1Rt7HkyjQ4v5X0U5yMD6629xFqzjKJw80qun6/hekY9DiUll5TXJ D7UnOS1HAn3F5Y9xEbTzRqefB3XYwYpJbA+bQwEDAbSIyXy/APUWlqE2ulsQLMi3ks2VlEEw8b7U Su+EKmj0zC3yme6Y6hB9VZICdjVznP/N7chROWXWLECzaCZtBMzKfuqJUEor3NU9d4rXmoEj+ijP SOvJFjjBhKGfSMXQgj3yVviSHiawldnWTFZPCeo3ZxE2YQwKWWJCMDNOel3pO8gpFWkn5YS3zLdK AmPAYSaQYmpr80Gry0gVBiKgwmk7dbUDJU6HRO0K+6SsWEiG91y6Bd7ZRegdoTd8AM6x4mXRBOi3 gCfAnEN3SM+yXpo1LRCESPSpz/HEYC53Y7EwybSe2Cj1TyLs6e58EACij4kCqGRVLn+8toXXzJoq okgcVeYR4pW28UrnjNu6WCZrVXz/1sEDufaX5ZysRxN8ehgqryXr0dKux91ibjCzgmP7ZGajjYS0 dDnakPx8jomlrWptf14fPfoD2pMtiezCeVgm31MYt716Dr0t+F5UZYPW3OfHnXZGkps7LIMHRQJO ZvoUIQF8ca8S7/6BVhj19hfetQV0DXSH008fRBA22Rw9/ZIM86Ra4em/OAWv0oNc4kYUyjS7+0t6 WObx5rLsJKmaN51XskVxt0DghJj+M0Kzi5FB0vivC7T/GpOy2UJIylwwfnD0L3IgrD0sd7vHOHjm 5kO+/L5/kFEXViogF4QypoQn7B81sdumZSjW1Vv1FVu4XGQIaw2JLciQkUqtfINPicNH18mhUx5y jjKPCLm3EQ+AS+az4OUryE4vYsi8R0YoJ6cSaBz+YeKL9h5S1sdZPKZJ8lEjJ3mO5PO28bjwoCbR NoNCkkNUXGn5tghPNxKaQs0jHcYgajVGfSOcTGRe3oZQr2OjJcowbJMfI0SEDunMbhCNgSZPlFrO qQ6+o61zxhVMWjgZuPWJOiUjK1Ju/z+FAzDRwj1/nG0BRx7Y4e084lLTRR86UaBN3n2X00XoRJbd mBp6QCkhSdd9W1+W+4b26Oiqo/Gjwy3TrVRhLCWSZx+EGNXjro2yjd9gZQ4tAfNzTFoVW6Lszb/x 6A1G7nN2w6Urzg5cPOHgQsB4J9SJYrU30e39svjf6uGyo8cuPrQKU7gkjaClD6DZcUWniNUDblQk Tw7K1408SxEZ8G2zdwuzx5683xPn6IoaBPc+zTcrpcFyFlLW7PUYcGyCjBgUaYKsSUYwEgHB0xTJ UEQyNGgH/SMetiTw8itHJkheIEZ0HDYiXGk5cVHEVqreQ614IGT1Cp++CmZHDYj+6Jd+Xt1l+HiJ Ia/pTPcbhaEcNWR8PqyL2EbEzLST9RESB9974q/RYUXCrRlsddgOCkwwWZOJGEEg7umzZialYi+Q WBqXeDjwQwPxNfr/cRUCqu6QZyA8miU62Fpss5QWKpn15FHQdL2SDybRDCrq7/6zgQqioX7rYZpj hp/pW81ByifNf6yCeBHRU/MQ4gNA7cQVZmekt/rPb/DDTU9iyiWkECIfJ9buBEJEejqcdNgCp6Ws lQkMZPyCyKmo6WauK/vxfpFC2PHfiwMgf5yuUR2ydoCTglEWL3u1CyF3QmLJt2b+7VIFVFsEWOLX VGzFsEL1d0b+cTS1ufQr7qQpRcK9cUJqwa1aA2JPS0so348S8/SgzE9UflKULwQVc7ezCHNqRGwl Uqlh9uzqCB4dtfW9m1nGjXJd7LJhm89eTE3TOsvco8r72z41x19VZl1opRJgJWw9snE2ZYWp9VYw n9OTvASVgEuRMKWas5Xt2QfYrpe+jz7/rX2PMUjvNBnaHav9aRMKcwPYKUwBWvjp78odAsIAN9JJ qVYKjVyz93ww8rXMx8Ktusdx5dJijqSJNPBE1DHBYd6OalAi7tsD/So8sGJ7Bsznm04DMbHndMFX 2Lhpcv3xRP0CF1LRfQyXtYfh1iLdxwtYs+RVZcKGUWtC2WxSdNDqMV51+pCpJIvip3iuiJVZFLM7 J1jLRKv1t1iKayRcCQ+yx/qL/99SDC77sBgzI9Y5QooMj99Cz1ZAqv65/bX6n23ZqtO79n+MbyEr 8BVNMEByZHgpWlcySzaUnOcTkt5MSVv4xbVIrf5sQNxOf4YfdKkz7bnCA7YkmOWJTLju8lGfOphU eSD4dGCzRIZqYAOTYwZ58Ebf3G0bQ4RS68AqsQj43hfL+umqz+Hhfel6Zfc4xvNybO9bDOwH9LF3 DNgf3gBXTGR1xKUG6aBC4MzB3SjcNB7hWlBL4aPioNFNLke6Te4l/UuDwtvzD5x5BtbEEbpJLw4+ w5vLcH+GDN5l9KnuPYUS+F1iSLgJAwgPJhAwVRq4ttaDkgDJng1/jB9MRx00zcfTWKRSvc/QR4Bw uzDeBReaGgd4WCFopb4lc8GML62chJBC14QxRNGgDBIZn9E3XmcGtI0CkjpvYdKviESzqfUCeb6/ MSXFYwbUxxnEgTMxrYQnywyMa+UIjlLMaa8JewDcOMc3m3JsgItlSbDdKC0ZRhyGpswNhelsK8Dj qRcEAvxHmVb1mw0/ajLdUvEG2FN95tihDgAfc2L76+BYwS+BrL/XgydNlSQM9OeXqV/ZOsGSAW0q giHsb0PlUL7oijE1PV4MRDVpCymFq8A+EaaWi4lTwKeVpXYr8hB9QUYib+e4qMXHLerNVtU08X4o SHBlXzkinjM6Ux7bNyWdAwmyOUoeEmZEMW5iOMJQXiGQNwPLh/ujcBXUNljspFBxpAtltxUvtYFc 4e2PDyLnTf2z4De3qU+h6xoiXo2uWhujh7R0AVF0tbBWvkqVXJgDxIgkna1SJjtgPdFEO06KdLwk 3ApsG7xaRhz0/n+fAGjrxbmCpAE1PCEJjrpm+eYpXJO7hrZuWuhfDiqWWR5BocRb7F56AcWRE/lu /L3igmP2GCEks4IFX6GjAQP4gQJEfXUAol2QQ6nMuTq/JhY1C7eKLuxu0q7iU402liyaE/07zrdU +v/Ik0gAnV8+ArdzJAlyjchw4BBVJMpfCIiPlzZOjh3JXRR93PdwB0NsLWtkmgHxLTxEDlBaQymp Puxmul1qIgZzOskhy9PHvpPEAC9I/z852ouMgj95oxZYitEeIowpDT3rkb8VoYNV9Y3SGkj0X9Zc 3C/lDyeW9mUgRDSFDPlCSQV3/z4q6C1OKIsjgAkSQs1GjZ4dHEQXSOM/jR/5U6uOmMBDt4tudBXD 1wgnK4yUHlrfXt84IuksiYgsNAI0Rx46yFcGFI+e9D3noI4oZYgizNRtq9Fkg7mxCR0CY4DMo/57 hYeMn9aYe47d83uW07hbOS4IHB/PeXTSm4POsXL2SKfbBbuDPFIjPfafpyG4oL4WGwzLI+ZzhqX3 wX19uQK7lL4CeWOB9OVZjm2s3VU0Q9bWUvavlkyOrGcHfSmpA/uGSR6l5VHrKjCkdH3jNUbFqUJv Kaw/Bnj6uAZanYhuiZSj8Jm6Bx1GUXYfXWziM9iRLs0SJl4096mv5AZQrOX6bFU0p9vbKP2+qebu +V9wYQwtdP6Y3qFA38ukcp6+PNQjXLXy/nuWGj+bA8vlPOHzCrCGVG14n7s+H+E097IcEJ28Jwup KaPcjMJG3+Z8fw27tDpioZXmZIgPvbMmeb34mSg9aXqzUYNr6oOGAg4kdh0rfrPTCNQId/7mEcW9 atwlLjs2dpPd0QEVGAnnpTqXic4VVAifGEo44SZS5kAfbDsVWTbQ+QlQPWqqZJczjKWDXOb0fc13 avYLSm1tfGIT72sqWyuojp2uY3a/J9CicDZnSMdVkE1INfAuIaJViMjRZQGrUtfNpZ8B4zLIPNTp j5thGznqQoa+E7MuZLfwVWXLPaXtaj/4VLZFdqM5xIf+Gs1SbLYSkMvI22WAbjl7joqr5WTOcd7J EpLu+cdp1xYmCQGia7o9MmP39dxben9QLNciPkcho/BFB1FvvkjeZwcpeTUUWqr69tS4wxaEUmpu KQs4uBl8xX8Nhscbiqrq/M72sqaUUkl6FwdcIF9cMOFGhDvBIBNcWzF0d4IIbIJDR/Bv7tGbG8kM SLz74oMHUevI8HYYZhgPQGfVsttynuyLwjDk6QPhr4Dz1lLKH5Hv/V7q1/htea4P3tq2JTexsnSA 9LljacPXzw4i8VrjjHV7+5C2C1hpyklMX+1pSSYIBPGdpLdASdvPtWa1eLEb3M3VyKHqSmU3ClSp A0ZzquP1N7+qmb21xeEAbMZjYHQFO7A9hF6LQcnFD3iLu5I2x0T0Sm55Ft4xK7QSWjxGm/NaST9D /nSFDLnXplG4Ll8xAeGow7gyJ3AOO57VzrVs6U/BIsTOYlD5CJXHBdx/o4lG46vDOST03dxwIYJ0 GZUXt43/6/NjOPCcT0MEumj2CHqmMRs5ATW+07IM8YF1qCRUh5+EQ3Kt7BQ6kAwU2GJdVlcIQEGc 3T2QqXbr2ZOg3JW7H2jTeoyqWxUjfKluYyhKNxlJw80N6HFaa/04tweS6Rkg3yK8X5zYc4RLdnRi diIyWV7XGSFoU8ZGXhQRX9gkbamyQy9KVRPNdZYJMXJRB3zsicDPF+YRjUfcW8AB5WwhvZLBFXJu Qw8CJXLjvOfDYk76Zggj2i2I7RkBusC4texIKKPBoveP/68jiRJdMHrohFWsXqzzErf2zoxoXyi9 eKKVdLk7VRuELyYs0m0BDMCT3j4oIE49zy8lCsywqNoBfE5kUuHrHoHaEOm7cYEFoKacSvCzj93E aTsnuhnuSGnM2kKQRXLbmuZJALwUj9lGMxNHHM4XdaN9YKutCSQ0EOQi56mT+0fczGYDkneKGYe3 pSXqZjUbVOUNNimHTT+b/b/fR+n5vfGRGa/fFI9Oeo2G9drn21KRe3aNCN7MJSRGyXhFovEiCC6w /O1lhsQSkJ8dmbMHAi01p9QxPkdR7XPaFgwHpN04RCwS+omNmrn9EGjggH0xRDF1l9DxWzOTWTOQ ACv0jQlpsTUyetZ2i0XBXS9unDC9e++2qXLgiWEvCHsozj1OrTn6u47gCSNgX7NH3Mc0+Ykbbkkq Xbf+ZHHLUvPihtJhk0lnKvSqXLbdxHKJ7x18X7/jhmTPhC+4O7Tul6VmsG/lspAcaZ7o3otVWiLM nBLgFHRcBIocGLEGIjdIiSkPmq+H6I2/d/YZ1fA2DlQJi1aUdvQHLKMpHdOe2yPORh5iUnnyq+aA DAl6D6EpVaqefhy6JvvbPFPc0ab39HbEjr6ZeuRoQibMkRudu+SsHRINw9xy/aKTvA9k8R+VyN6k S+dlBAvy9sp2Z9zfaIDi/Vt7a8oliA75UwWrnxTVTc9p+5Dpi0ihwn90YOWNt9I4HPOPtJiiUO3L R54GYjgQDGSQ12nQcnPDaisJe9wVSRgBtgRIG1/3F7OnMioOVAPjUD8pyXkAUUMdc6A9cSHjukPi kUnvcRV4Lp4AAAmSZJ89R3fzBfcYpZx42xZmrfdw3dKeJM8slJe2/3TH4Ks34HE1DmMLLaD0yrMZ 7jW9vHGbKvcwPiSu3vumZYm3sJ8OW+U9XOVm05Y4vPh5eSKmlil3kDRM7zMwhLzUp646OzWKZypD XZ6oSIrQuomHPJ2FJVRrXjqNF1TIk0C9Kg2hfyvqraMySPV1VoGii7qk73FwzCiL+pMzRIP3LRgh 2Dq6WlcJPZpyNPHNXv/n/EgWbusjTyi5p8h1EiuSrZDKTp2caAKGovz7mwerob8xP+m7z8ucaFDS 5lezLgKux5fzWlguKqJcAmZ2juMYxHTrB/3ebFGnfTOUrEIqXI7tOVW0zePYltjhz5BcvLnecNsm TplhRsLEjifG9hEWnxQQeULr+sYFy7UaMdghi3XKBzBSl8FvfGj/mrpuCwfk410g6RKRpDQdeHYP wrDtZZ2cpRLFQIQTEnU5+ngb6GIKcRjhcbHTQMHfjhewQJ4//VvU+wuoNtQiJ6vqAVsZk0iDqS+J tDl/C3DNfoNqM3j0BcNCVQp+spgGKQeAok2XJakZiJYH1AgHrwoJfsV166NKYsjIinvo64ewOYLJ 9YPYf7dikf/RQIXwWNm7HAIib5EeNdby2732ZH6CxYeSbzzXwYosty0gPePdzR8/F19HByGrjmq5 8Pw8DUrnZzgfWngBxLSSVuQKcR0mh3QgjOZnNquOlGX8CjMf6DuTbD+6mAJPilwf1F6CoG5zXusr MooY4wuGKzqkGowRnYd1avCypfEdcd+dO/FP0FjUAM1yfyoelJdpi1+Ocel01t1nqrKZBR1iwaNw 9rDh7CvpZwKeFF0mFmkX49KZDbQmOwgsOhD676deNLFjTclf8AS4E7WZEYnkQfh4a7o+3YSs68GK rtKTjGoZoZc5nlRclnyRbIccYbslgMjReaCyet1cJR7HAxq89VSD4esjHLtHqHDo00VkDw1kgp9y Hgx4kpaUyNQu/pWqUxlfSs08zgm67+fowpo9gmoRTjOM5qt5MuVzx3aKOu1Ei6t+JDe+bWVIILo0 6VNPOjMfWaK+Nc7radz5tjeC56eBnuJGaivft7yZ056mMtmn9+xonZEH9l9UmQxZkG96iU6RbG0q ZbUWOBy9llLMWOeagOIa2Eb1MPjT8FTRoKU511sCnmh9pqjXwDUZbNx2UqnCYqU9FZ4YhMo8fMa7 W02SNU+1dgYRcJnQwGHysbnXlhAURzy4Co1qo1ZgIDJ6aKSMfa7JKdMXwwMZXMQatdBotHkCfj// Ek0oAfDHI0xbuVrkRaVwF05VB0b57/m40fKhVvDVZV1DjZQoq0DqlV0vsrLjVDLm7mexcSBLgBr6 /icedv0u9sPV3IXoWJUIpjZnxKf9EUS4bVIVwu6z87ByJZV5XB1VRAW/mABSGOduvdeNEuUEdIlP r9vMI9OFDzJ3GGrREXCUC/T7QaHU5YpIVNUPZuZ/ypXoZGEgZVc8qPXmBHFN2dm5howgIPe5JKoh WzBxlLnAMLSxLh1N9ELcp6Mo4tmADAp69jQTy8hzLhH2b+e7VYUV+XJuSQTnR6ZNk2pyAuRpLlEJ GANYaCaQcDGTJe4jpyirWSujJILIq+Aw/lZBmwp/zFPxT8xjAkNn7+7BX6FsBsRzoBeveZ8akivY DVSSg6Zw8VANKa85pGUDNqexasdxqsg9d/RZAfoIoT9/CQbTHx4Gt4QzhdBaUolAJz6SsiHxv6hm dDAslGYgb5azhLyixgH0B2FH7P+Lz3tjvw8wgeGOl8X1RQ+sRNppBKerNaKlTY7rq9SEUOn9VKPG 0qlVde6VEF633f+uK+Yn0xuKiocAA/B0eNPG+xTkSBDls5TaMYtq7uBTQi3oGYWLljy/5v9ONy2C GdzaS2cCc+QvXvVW9bDb5Yhi6d8+pbLCdE8Jzj9UJhlbQEimy/OjlzjiJXl3Ioqw9FeJXGgCu9WG gsPJE/B9C7aElwXPVGUxtwf/0TQnru12SqiM57DbZ0zeoxU880VvFX83pZg3Uo5ME0BA01JJYj8B QGEeCj9W0ccOi0B/WZG0dbYRDrxo9O3RNBIMO3UD48/xy1yOM0lljzxkbjvu9RGvTw6dMAXJjSDt ECB/tSTaG9F8vhCar3WB7chBMlv/lpC5zj1PHuAGAnnKL9jow3A3gjFQTGqBTVP06R/7bXhH4QoV aZVyJ2vrc67lX3gJymTSXdOei/9+fnx7iSkV+dnDQQ2YyEW/YZpKChoHotjp1shU9RSowlDTrlof PzRY2lVjlbgGwlXXfeQ6/dnwjvXcSFaXidt0RQYkn0EjIi+qsmz2BvKCl2fHAa3M1+JNKczB7Vrh 0AWX/VlWzBvpAHNsWGxje5cLibNKw6+o90I3cHFiALrC1lEqwu2h4OupW94CSV30apBGw7LnfAyz vaIeyrsO3PlIJceZvv+uqzQ+dzrNZ4YgvkVR+1dLhJr5L6fEy/QSE/MSQjAVNo3qp8ZpyLoJUReu iObeDQi3j6Wau9cO2nRQFgRAfmpwEqIwnVOq/9C3K10KisI6Yw00rVKXtFYBOv6kgR4IbXz71/p1 pTz9sE/Sz97S5Hv37Ow8Mbs4PaG+VtP9WTzzLkN2XY8H7wS8E5rX2+cVNvt8TpUpz6uM47S5sB+1 feG9/Yl2HIfRQvVhIMHr6JFmNUCxhC2p/LScTmF+aTZWzjxmkci6byqkB9ksKkfHVV2qqPerHLmq u3q1zQVU3T/8LS5i1dvOzRKdEQNmD4kBrqpCAsZqdpXS16lrxu0aXBgtgNbAOS14Be/7YyvJaxLW 52MIZFfYENEX4TDAy5HehXKXg6wWVYlPNmURFPEorikWR3yfpI+xcitYln8cgyEU9NF2yBW4e4JH l3V0vuujSJxvmm6jDEX1Ouk5mOnV8RiZgv97ftGmbUQZTWuvrjn382v8x897kn8AyTmo/j14JEf1 Bkdf+A1U3Nx5u+qZDinyC5ZCFiTISb9/V3L6/BoFd9Y9DJqP8PKt2S0I+ZK7TKHwNhDEJyOCp4bf Ww4bMARxT4J/7kRaj6J6PsbqPqtoptQY9Aild+eovI0Ckm0ISVLBAvC3R89UlVz0jD+UR0eQrudV ZN1IfCA5ZtTdqPmHlrvfZTUb1Xytguzc1zM4cKLWpr8oBGo/+rvuS83nnB6wnS+85vsPCCMyrTEM Fv62ii22nJfa68IrGn8x7ZT+TpMS5QRC2UmBvTv1cRtSbFHWMKocQM7gwVByk8MFNEZn1D1BuA+Q 5zeLo5lotHsBoM7cEr0Hg1zzbZ22WJvcyMtHVApQs1SOzyhfHeMaO9jwtb8OD44MKYyY2E2MC9BF sAjcljpc45SlRZyx1Ur6TCxDQ9vqvC0/LvEHkiohPelC+UEhCqCeMrV4Lta0IfPD3QarOsRYEEHD bwNzWWUGLyV784hM4BgUAjKefOkADA7WRwJHX3Tm2LEponTwqa0rAUdzs70771+4JnXPIPYmGQ/g S13qC2fVy3kMrHvJ8VLput4wkzkQzfwk6o1Ipw7C126XaaOAadqomD8kbkIy/qlOr1iBflCngp7N F5lChmlX+yILlw4073QoWLHecwiV34u4/TXJDn3ByrqZDVOwguVch4q8Qb0AfFfZLZptdyR5Ygys YlHR9466qG9V5zOdbrmJ1JkcbkOhaNZw40N46vW7o+/+cQSwVTRlrf4of4ISafRXWmWqGHVcCnnC lsI/hSfPnuBRs556WpZIxiHecymrO0VyiNEZV+KeWbzNUKEHgtELVk3HYLQb2aSC/iIY7IHaTmhf tMPjXM4gAwUuBi3Ql9aoDpHuculi9/0Dvk7qa9cXuTGx41MnvjnaaUlfuCgsSIDSSVM1AZTd4fEc 2ol+/4dr0e1WgUUJPiN4mqRarp8YHKLHvYNDeaer/1d/JtKmowyOUu1p2vv0RKqU/NmlVXVIani2 MQLM1C0DlkZqJdou+KgJLjrEY2XWkWY4TzuW2IzhlsFP28LU07cCkB93KYhvyRVuVHVU7cZiEwII iSYGQWP+Ef6xlUTM7Do5mTivXO5HnlGeYm4PFJl+N4M42TCA49pi5cQOQ8CixlJT9/agBja8Aa+P Dh9uFKKmSZoT7EnCK+qCxzEGGkIo9gifcpB2vtRJOJTfiFFh/fOL/DMkjgefREtdtTmTk9W4KJAp /eQQ2Oa+5/PENa4XS8hfiXsDk1pDnBPWCdKH4VK/S24/pwGuQfoPHSBqNy41TOB5SaLo4+nX5gcF wNdLbCM+/j2tx+Vk2ZZAXtgYKtr2xeudh9OSl4s6ZBXIvdKlATO0YGnOKnofNPMwS9XXAIEHumjB pBqKbsgc4XLWLN6lZ9gjn/6PT4bdCwC9S9vLuFXaUOQlSSwhTTFThg2T9ecQHgKIfWsQvub1O6zB KWRnjp8ZlEaEw8MMFWuUoabV4phoKLagmqpvNMfNKYn151CgBXxH0Co4AWrz2oLErYFfi8PiVjRo GnltgkPBLS7x6cLVEpQywAxcgyrQxfaNe0qpSAhitGHwTzKYCs/7qMPAMDENltncUxHF6KzeeiEP RukRPKNl+qOUQV3sMdCIWHZy6I7hJ/q5XuQPWRQNlx19iEH8oMMkU3XnNxub6UVzofdLQC3WxQ0Q GYO58ulrKXT2FgKHXxM0sjeTRDA2NjqOO3LmhV5/xg+LLuJ35anS3gnoraR/Uyx+yMu8W2kr7TzW +gE/JNP2IOitwVqT/CaaVG32cDWpHN2pUwWfh0FtQ3o4rdlk4KHo8bxsdIchv+4ArZbqt+GjPTnQ B85T8sNppXKH8QLiBV8fewyWpP5jUS53pLEN/gwyueMhyGExvZrrJau4XzkDA3gZ3gzilpLB9CNL UQCycVOYlPGLg8oZGENyt78pXzZg1ITObsvR37tDrEKVnftUavUzaxsRoL8v6fR9f3rsbSPfVhwF ITwMMa3AY2tDIc5KFFg+wVvNCz08emPPcUqScEQKx38+8Gvzf4SWRIZHm+RToGCZHZoLUNOxZOaU h5katMA8Skv4FipNckUupgJa2LiT6ULZ8stlGGlmXMTu2YuzN+D0dxgZYEcepBK921YJgMqO/BgS nC6mpJGCm8hzJqLzx38qBGOa9XufPqu3QS9EeWObKTDxUNyyHkTOMZUqkhy+u5nY8IbCcILEUk8v 1nhEMCNuQrwIX4QNO3N6iw8dGMC3+6XBQQfP7BVsbw0NEA5HT3mR4pR99vLUxkj81gnAi6jnVCPg TrkEzlVeuJLoHA+rrFjWzxlU51nwb4rcIeK1Z9NkJtbdpXPyPEOq9dCrwF3573ZfIAdDn7ulVzG+ 1WNQpAxTgeNpzTGsXx9QY3KLSsWjLK08aFFsIi8j/pG9XPIhG9Hb0FuETlDQuRIcarxdh6EZhabW pfyBOBDdWC3Ea0YMeMqsm9O5+fil+s+rHda/XJk8L4OxjHc0XG/m0rohW7xO+MB2sD7ROzYYbYmm eMuyN6z+O3c1ICuRjGVeAZkV+Wszi+z2H1HKFf/4C1LUaGEaqU3HBYtQKVRBJgRa2ZVVl6fgPnVq wBOUg/Isi2QAh2heRaLknN1jESxk26ikvCPVnnUBgu4NjYCUUQzQ0zbqGfU2150KconMrRzzLIoL z6lQOJaYyHg47w7k/xkxhUUKFLhGus5h2mL/7/L4483HeCqe+ur9q/wxKAt2+mzmmfiU3vkd6au1 vGavmfFiYKp4n4PnhS80O3zVBYwQ1o4yjYrF+05SjmCfMkQBP+dhYJ1cTe7qBzIZIBhwylCb/9V2 c3zamPRDGtWf0bbK3iezPAitSX33aiRkwOZ4SZQ7jJocX/mxAHjmjctk1wr5QUxifsopNdPRtPtw 4xEr6zSrxLAngJYL0ixIuOpgbG8UpzpEltiJfXkQEK+kxTvVAdZ0bea2yVOXeb+icOmbcjkZ70fn viDF4nhL6j284hbfdDNT0pQ4RNf9JLraHUVMc+PJvMJDyXVuLHIZ9Aerc8krCh+Vo2DbcSEZ8YH7 TGzafXy2Mi/fmbUBr5atDnMrQRRlkgm+qMPiqQ/QXj1aPCiXX04WADBom+5m0r8Bn6m3MDleF3Qc /hcSBAlDQnaN+g+mZojYy2379yagWhmp+FN4VTcPxiQm5DWIfh9OxHxz2Gj3HUOsc0F2rCQU51l/ 7QnQa9fK1tpITTg4sGR5lp5utvvey3kRU/Rqu+0JApcyE4NwmOPvYlfUOy5FvVWO+GE6Nch5gXmG KseFbyPXq6OAyfG6A1AcejosjRty7efyzr0amuRnbyIEOCvl8KvaBK8xKtc34iOSrsrKNnZgzcbf FmiqQX/l1I4kE4Gr5z8vO2ZiI/6O0cG0jKN3+FpreCdATvS9xCcOjAmWrAwMajWAm//4BVDCTFLB peCpIePFvc7YxHse70fk3GJ+BCP3F//ziXdWhHy2v3/sh890Xcl9K/wAvSDkYwtv4X7+oUIuiXTV lxTuJe5GROeDrqJk/RPYXFulDjC5sog4UgayijrBNMlESzaf1N3La3DSK4DgFqr8HjXOVdGRcoDV FX8YcG73IrUFZRi2smWtPXwYbFNTXCf/5L/pnS3oy46LjZ74koH6+VQRnXGGDprjOJVoQGBzDUSB UMx6ycaQN0rnfaNFiJllEjbH9ncHxirDttChT8kck2Bp3x+MT+ZjdlBofY/3CYNaDVTlITNiHELW UKkpr/v0zFFYWEFbbto5fwLXFTxxaEoZ8Buqh4AuTZbGmDNcb66XoLnhatG0VEYGzkVwC3R2uYn+ 0laGKNb3VhJSZ24tkx4hsT6kMmEHUJFY1arNko32+3EPHxwE9bY28W4bb8Os+z/LO1ISCPS4+RNu yzDf+ca+JikfUcgf9MbqGGodbnjGNe5CUswQX5o7cGZbb0Wqyvl+0J9jcSDg1Aj1lwZ19VpmPIWk 17AKIX3OBbk2TuRIss0JjkT6/SFQJqMGifQaPuNVUH9wst5RcTNqtBq2/HMIU24O0x5YqeO0FPIN QudTURtVOtcsBzooGE+/sK2JISEFXhrqi0d7Wegbn7/BKngtO+Oy8YZ8347miZ77qvvrfVq9xJlS 2zp2dqG+U5iC1sHeFqJFYtB9DeR+/QEmRJkFSFYF5HYTT5Dip1+4D7JgDmqEBFFBYR2VnYfeKZPu 1qXkK7KMaI1urwnH2cK8OKY/hr4DdgbQT/bM8ppMqLxtRF3YmYHyBeX6bgEcwd67CgxrOBDOZQBb VdW647653IiK+IGYxkpnpiMWrjtkK2ydYZpzRpXyJVGfmqxmmxBy006Kvk8yPgGZH4hV2zXh0jcX DzU592tFocYMz5w579UPKodTVJCtJtyziM/ZEPSPC6yGnl4tsaXBghypiT0VBrkWSfWsMjNhwZwM 5cJiQmhwqShPlVXptM3GVD9iyKNhAPG/y74iQArZZxmRAVKWvyswwk7uXC26KQHAoU6eBnVeKilJ 3DsATJZtfqpBbO9bh9jUcRKeO7A5KRjwd/K7+9OyQwQonolViqiRfaD5Dd9JAMA7GfSG+djPepK6 pvqwsU0W74eIUXjXcKL7Pp/3QL7+pofKm+3S9kmxGrfUBixJ3zd4fF88sUfJSAiL6WW6tzCxM5ko Q1fN8bIXAwblCcaPCwQCI7knh1/ySYS5KhrF29iJaNF+LGbsVeHVEXVhd5AmnJMMFV6szEykc4Cr gP3X+0FrputMj4Qr9JL5GMeSf/W0JouS88rlHqfoMeP8sxA+Nibv3YegfIIl7dXzn+M12/XdfEjY jrQDDk6IHUB9OiUDfhJ6M0gFFtO1xIe1XzJuDyDQs0j9xmaGPeUQKMqCm2wIL5JGG0nrT4+diefY DNu3HSIZKe9FxuL9A8PoEi0OEpqUe4RHowf+TC5XAqGf525/bx9sxClI4BpcLxBzR86eGi2EuRPa Jol/nBFYS2xalw5iV2eufhoV09sQvUHTwaHgzgmmjmTJXC8BUDDbR3HHboGFB/SIQQW3JRq+KXzU YrkOFCFwroXIyE3xPgH0gDaZBcDlTTDKkVI5WxDMR7EyExiSjQ69rg14tRGnJ5VKT1QG7V3XwC9x TTIxC7rXvfAqaXFly9LU2b6X7C7g/vk3A6SSJ/CdgL4BwoM3H6mtpBxoV8oQXZRUOuk5hTLJN0kX fTHA7wKx3Mz2Yd9Szr/h4tGGOTEyUid5RleAD+kfy49oQDjbWkKL7aT+0AdPkf+r+bgy68huUzgL J0zzaSyF2SoZbINf6uuJ8zdtKlrtSy0osDLqc9Qyt1iDziG9+2AJNrsMxd+pJDrMHQJoyxwBP9Jc kr6xo6noW1ZoQP1a6tjZyoD+SFZIsZvUcNym/E4kAHTZuGmJhiAUy7MV6hOhmRddLYb3T3m4VwRE VYnbV0dm5ehHVF+A82QUkjMNpWBfoOUYf952H8BZItAYwUXRJjcOttAP0hdqXF2ddYmM5t2oTeG0 bVJxlU0vXnSkB5ybbNCf+1ahiFRHU8vT6g3MHGafphHdlTo7WDglKAVYsCuq2/WoY77NBhxmSz0r VE8IonMBbBvV33z4ie9fqmyJcwnG2hKJBwZURtMMJZPRVyL6MNIQZjOWLqia1yc1fgTg/OwoMNtq A4Vry/mwdTYs19PEgDJsrIDTSh5nN0Ws6TvEIv8tWt8oTXhQ5yXbzyfysGqF6YH5Nb+WF+KNRd1U V4DYhgllV1svZIBLD3tKqqi5MOWJrHRwayEny99pHDjxu9Y5ltVWsgIsa31tpsnvSYE84A4oYErs RGZ6a3BIqJ3zaig1Dhxn8GuKNb4rZMi9+vgiFqPH1/wU8EdRp4VKtflJpMly/8PvvUwJNdOvQp1w X/9jd0sG82yXXuxfEMqOFIpT2YdoVGXuHwwEtVNzSMjJIcvsPJW0Cs6Sp6mw1Pc0+J16wnVNY3ci yUp2rb4gZZRwmNW2OHEjIK9sD21VTKySSiUsXDUqsQYky0aonPQNpveIuDz965qVvIWVb2Vz/KWl B7Y5/uLJqFwCs4RSUbw/HEWjYkum0v0RDqnCTvEztAtfv3Xtc3A34D+tSY8YhV2d96nFvIAOUf9i wvdSlktEUTvOf3ZBSVQXRc7Vxz1DVlS6lGhGGrIX16lFJ6jqakWJ50MoscWgny19Ubefyjoe68fr qkiE+V4jM+9FfCwFyKL7MwJkjYiJuib5W6P0+HafitCkYczpRmfqxLU4koVGnrvie7kcohr5qwlJ PY/f9o9R15d8nffOOgSWHsUcFyjeFGKIEljiwPjTk1p12lQJEoDG/6IG7cw1zXTmZVeNcMUPOGhv xuv5zcdAPpNMnH2hRWORdUQwsKmQtsPRixeoyYRyAJlHkIxIGPWhm9L+D+pC2K9Af5QVcV4Nh2Gw d84UCvdUXa/dJ4SdqAlnEspi3PZk6PgUBEIi5hXm2r5Up673PXi0wdznYhvWrZNRfQI/YLFUg4KT JolinzZNKe4/lVvUNeZ9E1/88di9qln+njWq6g2upO28LMLn3eb3kYbGuWY6BQmowTUsdCavVP1F oX+dHSZY42ESOUJtOcrcV/55ors3y7ftdLzrjwqto194kYaAUmbOCByC816OOLDdH3KFXmmiFM47 gKdJS7vQMZ4zN+4knlfLY8b2WqH1e5vJia7bI0uhDsUtNn7tUWFnmkdTzSkikz90hZUZpO12r2j7 GfOIWqvidziDGgtzNEgn/EpdcnF6MvheLsS9KSNr+gJj6fbHNp+n9KJdfatM4BO3YGnQvYGwLyfc UNn9sCYk/KS1pdUzKKzZD0ORrsfuGfKjMhunD4O45TTtfkMjL63ZknMHOCdoMoR7zhnp/kFe3hEv /KMk5+6cwZclTgcCDoFPXycg/RiORbfuQJ+HjdVr5jL5TikOl6/eBLGCrTzzRw+yNm17S8kjzx22 5xcJu1ZMvyiHuJJEcaP4f5p1MidXsSGfBT7VK/9MlF0Qoh/RH5q6fEdYitGegUxFmS92x+e5WNzQ 0QcPUCiaw7WUFivYzgOYVNtiCeTV5p8NIwTnUEW0piHxVWqAgIG+lTH06TS1XKvzrOtsHIY4bFpn 4iW/Drogrmd+uZvJPI0PgwXryDMbL2ZUeD1AHPL/eS6KkpUKXwn7369VveRNJOQeUsJPVfFCr79V 6agZnF9WeVknh8Q/2pwDHtiPPRQ1xd6A2LV/oXZTOUqZZhBaPkmye/9hRmYb1zCDkESFvL9QDbgZ RMgBYNBc85CIdKR1Bw0nVtFBuf40XO3Vju/SElNTGTYFpNwnsf5BYue3AgRduL4lSx6ltjVccrkI O2bLmDKq+RH4bT84ZYSQSCfQKmGDCbGfgGC63j/TjixXuzHL8LyBPVUn6Cfz6G8niyv2TXmpO2Ta RvUd3I6PE4rJ0j4Mb/88DlgO4/nUMauvJ3a4p93qgWI6NvXa9NCROJSrZEuEIZL9mDG8k1npBv5B 0ploKv3GS0XJfmIjJ2cO1iBdsBzVe9UuRm/f0hwv6D+eAD9YDCJUQykEc/2RvigB48GP7oAIpCzA iA5IKEZFYA9ESpV3m0cUfqoNoQ5rzpbE80J2kanoURi9HJkcGnGRd7MpBk7T/0A5netUp2jQE6Cw jzHcz6w0BdXkh2adlwwLaA7dMK2/7JvypFGwz6JmFzE/7fqQ9NsCZXSsQG7fcaIWhDzC0sZf9ZWC 8qqrGCzxGQQ0rR+nK3Y9S/3F0RX7o4GyctI75plH/L07BJ29xCp4kHm+OJ1BaoCe5PwuUE48H/Ww R/F9xvG3vtJ2z49SZu+LPVGxE1ZcvdTLvyPmkZJZeQ/GNmSfWhSwN87Fft+sOmZfhfXSJgBm9TKi XNIKVTYSVhVSObJb0rkmAIfEmVeIA+3ZtVohwk/ijdWde/o5b0tXcozpH0Isu7u4sB2S/E+RkpYa sOaerE1lEEh9dZue2vxIGJSj3p4R78mITeKm9K+mYGTlq1zp2kyiiRaXw3o1joBH8TEg+cXdmVTV 1ZrwNvE4XDvhFRrkSqvIPhpZpNT5z9B4CpNq1Bo698RDZVTMVoBlkYbSWbJIBydcD5M6OSWz1oHN 8SzF45aFbUy8VQhSk7He8r6mpQXcsQwoelHisR+LrwAuUEqBZCaXZrJH5/Yz5JUsC4Gch3CGHUBa CqMgG7vMHgsN+LiUPZ+lNivRpt+jbApsxXKz+RLFWuOiPEVTGHvZ9E0PNUmPK8yBlTELrs8KNG0i NDfWinsfrgBIRNYkj6T19fyt/KZ5jYGwH7Koi0Q/mVPlJnLsUiOF05OIJAMXS1D+BFAOJ+dLvXUQ P/JKATqMwC5bi9WB6YlUVRs24AIwlrsTSvf3kUIIRN4bV2PJCrGIBXJDYGujbSOAf5L4+WWU0/aa Vj9hbHPxo/FmomAIxbtwJ87uwHl9z8JP90EjlAs3IYEW5+Xf8Iu9b8yNy8o5L9ctfRb3p3QWAJ2Q O49h/vuUy06qHipk2djMQI4dU/w31p+cH/deMt0Ku+fgfCZeIwws4MnyMnwktr9C+XvfAgVwCeiD nPlbl2XPokD7kUa5PlbJRhEm2HQYMcqJ4SkTgVCbGOcOnWvPIh3wtTnkpGlc+lSifO8RCXXNrcip LkMssqtZEdiRRtd/bSLoNhDr2YllYAL6JCZuZ81DxxNbNWtZ1gWUeoPFoFMwUrbBjAAXeSwpEXX9 3dsV4JacN0Bd6ItQkiDzTsA4C/yS1qJ7+qNdIC404W7KaB3ZfEam2WNCJ7iKLzprOlH4TLfK189G lGrjj8ifEEgI6uBBl7QissIPUztfopVcaLf5GlxK8wAdS0uqe2LeUBrXHVuewJr/X1R0sJpYQ/2r tUJeDxWIu2idF6+7J+UnzD1EA/fT18iZff9dmwQ9S8buheFjxHwm7abibTTb4r5XoUUZiesC6t5P nfZ66hm81md2061UCaMFP2vgLRDMU99rVNEgZCdYsy5tCLCBtipc9gDnyTtnBqW7Fmiz99kqeWBw qHzJAjAa4K1PLnIUDNdyH68TyQ+fZmwP2UOFI05x7OU9t3cB4WCfxgygIb3JBtDVXsug+TlSo7Ne xb33wPkosuZebIrvuvBBgvDHCWsJDTgNqUnTfoXR8Ia+8JzU7nm3JWEfjFVUbDvQpXrSu4l1jBqk j3fNKF2jWYExil+6EvYBD8g+ku3Hq0hmWQ9X971IYNQFWUFT2YgMQcwTliW41KSsn/HxAcSCT3pX CdRdPlna7xzKJoRUc/uoWD74daaEmL/Wf345hoq06MCEYP67birfhKnxpK2q2KucyqMW4BoIduaB vez4LR4HPddGslTc8rhkhE/sFFJygVAJKIjh8SMvQZevj3kXEEvbo6Pu/lV5H5U4EDr9J28fvykZ AKJYYpgtzBxjLxilsfiLLfjRAAE7NxlTx2CixVmLXv8yxBr15nPnt8ysOUm8shbnmRSzUgBvbDe3 35eCuJnKXTc8+9c2KiJlg3Az+aIzpBKm9EpHn42Hsznb2HYJ8FSPM5HLGfaoNWmnNINB+2e4OEpS tHufkJN7cGnEJRvoOnc6sXfU/HemNSDZ6ujXfg2VI+jpQevXUwp/2h8Avsl3998ewI8xsXU9S7/o M39dJTs2MluFRFvHq0fRT49fOCyh4w0gLc5lvFiR/36W4rW7Unpp6WpwF8xtlAzxh7XD5Fv6hdil 0Vzc/sdlHewfxnZYnh8C/ylgQjInEbJfiQWGUnwVyDu2pgv2wyD6O9hEpGtttNJrX2OzeYoL+V+P s0x65kRQgQh2rJvyzgRe51SrX77MvtgBoMxAXrXGr5OS7V1wJBWhCSjSJ8YbtwVaxXGDYwXscQGy mPiUAVQUyopTN9lD4TIYnWrXw3wIN8EDlFZ7WaEIdiPdG3yyv4s8cIbPRD3bxq3sh3EJNY2xinj4 29AdXa33dKpv9j4erXsTHu2VgdPyT/3rAHbkQPRbeusMtVrM1v4Qepr7VuJVhjerJMw56nDkXkeC KwZoqDT7tJhPH+dCqilo47kx+354A4/pc164rujuDgPxyYKFVKY5qt7CYqH57lckwW6Fi+k5bn6a EmO7PmhMWauKC+GOcfzgcZxBdkIgojsWYmWyKraQyYihIqhm94RT1pUQ+jTnRbd6XCmEItMcQ3E3 9Ifq2vUrACvfr0E41YSExxKxdmlf7zDEkeC918WqLooWHsNXM/P/AbJ6ILKYNrP5AouACOCLImyH F3VquuSvn9jnmI2Y1/DBctdPxeMaqjMoRZ+sItc6xOS+LT+fAsprvsZ4A2GckFIi372ad7WuPyjT qjdGNnheggd4I9lWT1538B1Wu8Sr9CMPtDjT6hs6rNjHeYKMnq9R//mv4evDNZjRVTKL22+XIpUT wUMlzotRHYmr5JGl2BI9EdYJJ4s6l5SxB/HBUNmP7UvKKFzADh7rWpS3bTNCSB9AtvFasfzemXI6 oWLr6qfeFwGUPcfaBhjAfP5qTlNxK7z6LBlP15sDNiZ4F30/CLAcEeSNOHz5FuArc2vSAVlEp8ct uDe3OEnFaYKJ6dBVQ4ZekEbU73xFPpau0XJdZAs2WWqfLG7X4tQUoIhkm4zmF8hqkUUEISWON+Oj L5nQUvySG7y1UOLOlEELRLE1G4+9Jxrn5kbvPER55VivHpKWWmjlC1ohTiagbEbQCyZUryvsYJrb WP+LNj6Ais1e2tKWYAwEiIT2GLicjS5mCpQG6BUnNKjJZVn3QiuN+1u9ouGGEgwzWAWDwveufjag tSVq7Rf716m0AEDsJfpXYdDOIH4VzZSPH+t2MiQCyKZ5qAf4D0VO1BdtJcNunjd1xf59slhdA8Wq 8/OZzy7PZajGR6QldTQiq2afY+ykWcXTHhFcn5TS5lbMLoaNk/KIdcTUEhfE+R7ABAa0/3cMJN3Q 1s6i541Ym80b0m9AuiVChCCA+BfALdfCQct4fxYwdhfJ3LyjjT8H9RBUwHlDVzBdGTowQsIRDkhR k2/Fd1dALc+R/A2UYL51KEiIYhB3vgRAw4WMQL+09EL0XvkiNE7OeUQqmDQODliUGPVytPi/KCCO 4zMBmPC7B28E1ep9D0A3vRmI5Ep6mdf1YpnttmCxCe4mGrUfiQxkwR9xOHRfOnrm9FHH5LI92WOJ 1CRlfk9GKLODpdfzzERxQ1HfZcrm2Pnub35uJesMR0TLY20U6eQ862iC/qaTfQsMKWQAzRDuHMat DohvwPIgioDW/RUOMkBUsoAaVHJu9hJgM1NMBPHPCt1mJ96tIrXbD76zdlEio/+B8jU8Jx4q6ryK 5/H9DSGxtYJ8l61tgGNF7gJq94XJubzXhsrbljq105jcp4ktcqNawtCu8aDTW2ACwBFVe5s+kFsD 7YocjhGmBi6iERTGOvdH0vZKThloDkC3J+QAf0DOGUt21xr+IScJElBTFrkg9CmwntI7+Nns6hEA T2EyImTCMEHwPeSbytn1EmFrfbbMwDnxEI/3N/QpQiilkNZ0IAi6d3TKYxxAmmeGXbKbgGUvblpZ cfFMg63RSm+rcLBfbuxkRAhQRcrwmCXXm3k23Egs3Q/xJExJ9UnAdpjHJk4IjASIEo4eHsjtHO7h afY5Z8+ClPFupHrzU/0Ju3Sk7QNLjE1A7J5zCTFCSBk6qw+fbwh+8ePu7w/J53HECJ7mppjDU8ri uAwPXfrX4jqx7B++1u5CW8JYfBkEW9ct5x76rEqJ6VFvTq7Vup0i4BC/jX4Dsf9tbMkZx/JgPlGm 2Xl0mAT2J6cYAAgJ6NwSJsx7E2NpuF3tZ4yjq5pEh1j5psyLyD4kxbo/5QxSY9NYF8qfE5X2nGTR QYZjVQhsrVEzF/ztDbPkpPhUrhCbWPIYqYlwBBAL1MMvc4/u/a05Mi4O1pziLvjRLhnrRQo4kfnw SdzFhrEcXrJM/dTYxvcYcELpOySybN0+m1rDs2nx8FpsrqJ+/Qo/bIZwcViROvwaohN7SYOkhIKd 1EgCtvvAqSOKdu2U0yriUSe3OHyHbLt5F17Xim1V6YJ36YSsXuWgkNy2HyRAI9BYpdB394oD5WTS mG6OOWC6WOHeHgSJI+kUVf8CeKhBRVTcPTpgyN5SCdg2livD7IX4UiVnl5hUnsDKJ83k3yp3Bbvd /aohddeL6jbs7mEeHpOi43jDdzNKvyYL2NegQG2sy7pgZv4p1VCeBK5k4TuOQnCRdhgvClVcIoI8 NnvXGRK6NUB49MRu2u1WjfsXx0aAGGnqOqiHGOBlLkR+dM4kjxLwpEXygpImIkOX0BFSpERQGF7c 6WpCr+1T3HheIGUWtPVCodA2y9Pph4pI0s0ICT4CrwcPGtbRzPNRYOmDisitRp5P4FWm69r7wc7o MLKZJUAV9YBwTCvhq/5AaOkQvAZM9hoLk/4fmgHt7KoEs1t7HF0TTh5AAg5lvXpStbx2MrX7+4RO 2FHap73PNLQRE4FJ2cB1JbA+Ja3PU4pFcovPBeahjm+sBB4uHFaUNY++WfObEVGrFzvrfh8xYCyC hSVHHmBHXfv3+Jdfe6p+aVUmkg6v4bgOTjuj6JJZUWVveJw4+qSbv92d8lX5mpWoYlnyXiwLefyi rRQfSuXV0Y82mEhk5OG0OHq68uRTYdO9Fcht/4c0Nx5xpyJ/8gS1oEdeiOUikM9Y2Q8jrPsreqpi LwKQjr6PxBErj6EgFdguF+ABb+qYDaO3yBsmS0TlWDx0s/a8BAQE8fVOVdhUGZvSx86QuzhJJWdb e1zciVhyv4aKd5lerW1aGKYe7Ek/pP9F53dzyH8kw7X6kaIduWUuJN0DNk9VUEXUTsyax9DxmU9r NGRaipjSjsLXj8yRl2Qu0I85OMJHoDZQlsKENpEiWBljwFB6u/lmXIzip43039PGFJhYsWakEu9z mBmsSzqeIArqSrPcCgw7JAQAemTbSeEQW/0N22mIdkqdsCO3tTqq40M4t5M8T8TI5ZYKgPmke3Vr StUlXhWCMqCtKJWdF0HgOKdZ2eWG7L0lCdvrc5cVwuFoq5qJ6TZL21EtXBnJmimTcai+EjtaVue/ +gPfY+J9I215ZNZtCXR53+Fe4yVbOGPds0lNY5a5+Z8g3hb24x/aEFhW+hTyQGPdIwOdF5xoNdcE OqCm1sBSJ8p1O6mI9x592Yp90Pxu3iPPCQE22INPBOKjZSGv1nLGk1OEpFUXURV0r2y4mI1ydBbi KRZRdbHY4ybDkYdNQjimco6D9gjyPGKdWLaAXDfJ3QkK28yx3ulupx/kdstam2Ujnrk4GfERzPlv KOX2AtgMCiHJcduNFUqc/MqrLNz26EPNXPwDQeBtnE097JVDv2AF7tijYreSvKOoJ808wCsVCs7T LpKQe09P7NeGaBUUNeh2moIJxqHTJty17/TfjzA6qcJApejqU5eB9moVYUqxCODR3Fk7RmbVCxnu /v+w7BGAl0kRXdzu3fGviOYR+LkfyaSYybKpkD0peYceWLUFNI+ojH8IP2hN0ddpT7xnZXoF0ScL mz+Wsdr0Q+cQcsYDcWR4gungWS+wYkxdwGxUljZaHMJvjxEVGnKWo5vM8MSrKWRMoQdgdoIzm41R 4cV1r91FrDmt7BWtx0iSoHohySMJufpFdAkBVzq/zjrm00PbBaNmgxlH0lDjWp0UzZTFgHI+Y29J anHCDmC4locw0HefE3RtwE6+H1jdgyYPMI5hBA/9WwTRYgpbREd6qUyupH/TyBuStmakNhhtaUS7 dlqIktsf2bh0UwaFg/NDIHpy01XwKqgK+fs3j3gCS2l1nw3SOFfhkO8ntXeeK6dVCiE9VRt6ZKp7 UvRPrCKi4TdyFPxoI7TGj3aZrLIgTynzNWG/2W/KI9OQWXLRBN129+hkUEFiAa96tXpI/8DmHjhC VSytrynzJ0/uzLt6tGa0KI+9oB8kjbrzhS4ZUEZswya09hq1+m/diN5RuE4XucsT8Z43kiFddnKo PylUiQLPWiYRvmBDcGilHRMjvIitC2XbMKqMiDs5li+zCD25AtSz5JJ50NOXM5YFESRUutypDpXz yhzjp5UoMMW4OWxcvjwpMVfPhTj6JXJuKeCtkEeA6YGDXipElM2CqOx09GBb7NDF4rB0wlOT1V/Y 6+M5sf2gcGzXVAG/SmOzMYgUmb05sT5jPpDBx7wv3aDz3KgGBVCM9lhLCOZ4x8c3pvpzwBy3K6BP XIRdUfq6/8Vc/CwqPRzUMYvo9RoDg+f2IwVg8oOwdeh1TnbRWhxypxUMmoq0klrL4TsaHzY1C1IK ov5mKRvLZzSVMW3F3fRl7IYS6/v9WfSULwofZWO+3QESof70nW4uNSbXsL6xtFGEsht0oNpzPfM7 mb0UnIxeL2sjB+i09A/WfJx7CukJdLOQoQj7sD60WhdUakAswUDmcNktAk+uVcdgMkL55wq6w4Ph qKjMfVIWAvXKFWiSQ/uiLPexPVl98UxRpNAu2RHzvaO9EqhKctLkDslZni1I71sn8VFnkrYmtdUt J0nHjCqIY4fhcsOiKkagZg3ZaNl3R59De+xrC6kxzDtphqQv+Loc9Adp5o//aKna+Y2O24M5D7px hyvhH/CEO0yecc+Ew3UaULDtg8AISoTmunDpBgamwzxSPPvY2lSbPlo+3Hp0gZVlTSnNUzo8gkF0 UY0ayszg/W40MdG98ssr50COTw8ysOr/4eQ7FKhoG4cGM0k9tzL4/JuKk7z1lPl+nOSwnTPgNwGl wpfIp7xX6AG8N7MPFNpBAJfEHC2OQQkoafxT0ZyQxFAh7c1OzUafReVOXqsHnWpB0Wnz2SfZ25JN oreNQURhPa3BwFriev+5t2R7JVHSAqg99f50r8eR6hWhHc7dqUXQPWL5IullM8+MOyM927ABNwvG twhQUWhCUfv1FeNYEVIIVEk2EsbPQNKUHGPqM0lTNx8+6r0UADlWO0xl5Kb3406fYjfY0zrrblHL zDNPfPOPBb0++G63C3juuB0PPiKNbWXMM2swNP+BgRjHrkQXLX5br9lqTD32DLKrUM+lsmvGtXcT 2GF22YXWC2w8hx0EL+X5ZNbpeuCpZ20ZAG8cIpirdeCvJSqG/cW0aw/FEgZVfZ9E56IJ5c/RWhIV 4Lfdx1pWaXNdU8aPy+T9oCQuHJuVCTaB2CNg056srNxOWbE8+9u3vkdC8ngJ7yxMt8LNTCo1zBrQ IrlzzgtNozoTQS4dneO7kiC0YomR1jcIibrPGLGT6wSt8grSABmtw74rchr1B+6SDJUAnu/PRkQn Nfr6tf5gTTlxz2/m8I5C9lrPbSrFoUpXjYxVXCy3fAhd5uvjC5hMyk2YZTwgWAoXvLBOIfT8c4Vi 69bBUUhyy1nK7GTdpZViKaXvgdwX0uqItL2N75Rpbhs/VUkG84duKSNEz/H9tTsBrvW1Ww6zhvaE CP3WoPPrjxU1D6u04ZAS1TKUz0iGujrW3pXLP5S1RwQ9rDTuWmUdNGyK3OfUFJ5s4KIoEQWUkTOV WXewgeBWclEhAhzebmg7Gv0dAI2rPq88Zf6Lni/LdBFkPRub+kwl6XVyKj0TgS5NyMrolzBPfhVm IxKFoqb9WPwHWAxsz7vawbyA0nUgo1gFL8XYZLHPZC0WHh0Ps9MIMJ/4Jj5elPqqJ+PYCDCEmSSr njxjCEXPLSQZd1rb6WeG1nC0zsgR7LH7RGo/skw9nqnH+ZPEOgZLc5zM5aynNRw/ZH2uJS2Gzqw0 CzkhrskMqR7+239+90kKgtng8oYBVQGUU25ko8MRp7FI1ud3zOEBYZaKUxgXZNiW2kHO+2V0+bVh r+6KqKSk0sZL7B3+e/bQ7WWcnN0XQSFL6ecFKpJAgiju73KZSaeTpeGCB4+IThFQ+otpEYMQuDbG gK+UIAgQnfpz80lhtGvo06x5KT4YSrC1zef9TSVm4tJTvnwdEHAqpURin7xZ7PTfLuwXGN5RsIzR W06PHXlM6s3fkxhk2XCmtPjl7b/VcNiORhcgnoM2dqAffFlMoOATk/SIl5Fu7sfcc+R33+pr2AaH /f+hTGW3FKxIZWtbTEvndfaJg+h0URJ1oorJtBvijyaCc94MieTyld+78Z5zKbGJUnPXXef9RSPz u1p0w164cheMcZk2pRLoRpnr50kGRfGywMoh2DmhvJ6oCVKhlciOxImeFfE1mX+1kALiMeMll5bB +GTrCPQB5Gjz/OM/6WdAK+iHfiuc9zAdnFr5OTsWxBuBoMs0IRxIJ7d3aRC9o5tFVwd7G/fyCdze JzzRWo+dRAWBuMVn3Z09a1mbCLgE6WXnbmCGUE14b/g8LBvDiyxVhSdCP3RIEchi4Pk9z0xNHTaP rtv9zzGR9KydXP6zlsl3TnWMsDWdbhr+h6lXjikYHLB14mxLssfqEH5a2nmk70fxivRHqchKBJoT FvnnVSOHw4bM/120AQ6X19SlgzPBZZbKOp4kthWzG2bpa/MTVwxuGfqHyz08PjshQ+SkOKW3Cbpr 37G2e4WmKFjwoyR30KtabaT9AKDskLAtq3qHM6svZplb8GGenv90g3t2Tj7LMvvm64F74FaP3lCP kpgplvUEDHIVqcSmvSJzKDTLCeqUjidFqhDL83ncYZkEW0GceOpFe51sd+76idRFLtTjcEoVuMnj v905D6xs/HxGBZ1klaoYeozHKXydQxDdjw86pH1aXdGFMCHrVR2vXwfhPI7vABDnzcgjxqCvIuJH VjHdndJis+9us8w6OixkvNR9WRgdqrm7Cg0vRmRX76GwnpS09CtBgzsEwCLBKwfhd4ooMIFaFXmU iQmkgFslTsgHxDszRHI3a42NA/UEmZ4+g/N58iT3UD2WbQYeUWDheAjr+9QxfyxxL1V8rsALk7Q5 NK/1hY55xNO+MW0vxzdd/JHKfol3iJpOKh9xqclopZW1DenYlV5a1SwAiHqjnB5rQks4HceOW94L P79ouqwEM4Jo1Pi7x2vRLIuA1mrLMiI0MSurfss6v9eRZx+GX20aixcEi2k+tW7I320xgQf5Pq6B csQGDguCyS4/ba8Epl6mJOutuUreBbJwpghIVOfe1T1llqf9VQU2N8SIgcweHaa46cHaZajJC6Ul aQjrljVvGXn7+9Ay24zE2vrHYUlsMLrsOG8VHU1oeT4SickWLs9CfdzcZ7AJlckRkj+Hgmnx/bjj x/ACpzOoclQjQ5nsI9iyXRYUV0V5hpDKeXM/u/igz/uiNtcZlAXtVsFMqmwUsdD+0JfCA2wIPQQ6 QkVyKIrj3uWo7l1KEktbB846nwlXyh+RbEI+2FdP2E3iqIQFTYyoWCZG4loJZCnd5EeAncJOx1Yb PBrzz0CYK8a9ee+k+KfzcuBi7pCc3Q8O4OhkSLGScMr1qAFU/m/JtVsCmP3SVAFORXTihImQhhXa Gnd41t6g9F5we8AhP36xF+ELICn9j8ctAi32+uouLKUNN/4bb1rdnf8Gr/F+XKFZ/s6ovdFxUzs/ +cS/M16+EgJzTfc/vj0j/vWUGa+TJNlG08FK+eX0gcuXpi1m+Kqvn4evdYulpg2BAoU19oA8lEZs naqflRDXkZkh83fF1Sd19jWEhoS0lkEZ/BvqyS1IRakYWe8iOq1ae0ly52vNCqz+4rjQHPWtu/25 pxmZfL/12mw0nkk9jeMfyTCgZUYxBe3XFQW4VRyZ9qlh0k1p8VDB2P/9BvbiwdcnDDKm52dMdpfp iGFDKptkM64VtJxM0nnyUUh+RG8SM9FCiU9XgPJ1IzhYxRmDCA9s6Dg8Mnc6mvrZJnQk/q3SAanR 4rZweIR0Fp6T4J6S+sTfPxekP/PkUZIv7SVzd+UDq6o2jB7mgUo4wLWe5vzfTfoXVB8Bzh+rPXtV K9BExoUCoL72VDTo6AJsJkUorvkR+aWLei0be2WxeodfMGD0IT4l52zYiUe2GKGNfiFkeFBDwa2A l+bQa0m5x0ujAJn2sHK50eQZLvSXotENNFumDNWDaePSNndLVj6ovZbJoJQHfUDiUwFolL2m0RPS rJIZOILylOXgqoZNVzzYsOhuRyLDQcgVIyR5qzu5PHskekg+QrR3rOXIqd6g8v/Dpy5YSb5Sr1s8 18kKsGkTtO/R/5PyFyGYhNIfvGLCD+H8RXTfC443cJB2lHByDiR8mElxEj6j8JQFYJ5rfZ9fDHl9 n0qkLF8Es1JAL4j0mfriqAreNg6WvplneC3ZH5HYD8Xu97Rn7faJ5oqblNFTu7k1o0eSRUqR2wxg mDE26PlSy3UxcAkKjY8jBZ6sPTdAs8cOZtS+MLzh3PBeFVc/gRHQ+VUMp29tOZ2HJ9j4YK6ZK5lK gi6gqOhxsOtlrM8h7io7L6m6c0tWkzkZJWaCENR0SVHV8DoX4QGocuqvMdrZ4fqrHt7l0n+Wjqzo twa7kBlABd9sPOuJuCYmPYxBYMEksQU5oAf66yhFM03BN+Yr44whzQGlFRhfm4o/YLN7LOyurf2b 62ib2nmEpxGI4/bLV4/Gm6ReTo/dFD/0PTflk206zoOUxeyqijiEQFyPpuJtScvmN2+6Z+DEVwh3 pOp6OMzkmI+NGM97+P7uaBAtdsEhVrESq+hIqrelpJtb3XzqWirqdyfCv3zNmbu5CIRJz8GgjZsH KSXobtVY2tcCMiqEwmftIPVRBnXn4xqjEZHzEGkzwbctNn2wHCW293w6UQNAgC12Ilo1hY4Iz1KA 2g0qe/FXG15uFzl/RprqtTcC1TWtom1qK1Dv5Hb+Wad+Nh7GVN7MOo+MwLTEbm4PhIKt9OLwjHFY ppdsM3dSo8/NftM2aKzuXKWETPu58YlrbINXICfpEEx2+X24oRYGKFqBw/dBVLxKPxBCk2/sLYh3 uCmRiZEOLFGdgofa7BL0m0JXsn+l2CebPj23OQcCGI0YXIAaCarfXbQoxqK7ZuXMcWBETphfHYWo laG6XkLVyY7KDSAKCbIFRCtb+NXNrJFRqQQGI9ZquEeH3UMbOsoIa1bEYIL+iBEUDRO3BXMBIiyQ +S2KSqLeAsxwclQE6z9wqRafObVIoS/oWEd8jRVPgWX0Gy7oNikoSP/ICV1Te27gGc1IWF/LFzTv i0te4jXRV+ypNdINR+ANR/Ku3RHlXmUiJamVY0gWJu4MBGxK5e2a8Wr1KkJjCjIZSFuqCNckncBM N73BZGSNOCZTvUb5FVhJXc5dD5dZkVEyDHqaJPp+85oRlnOfIxEzClOTMRgA4vFw7piOV6iMjhYi x0RwUDhgFnV4Vdhi8yz8+Cjgg5HNTDRnWeRrhxEJkFIMF1D8v5rZ1bNKaX+PkH0PsAV8FdbX/sEA 1G0xymJnNP9UBQMtILkhNWtUuVjw6R50Bh4DmHnzskV1ymCfhtGuAUYuq8HkoFaxJUtnRFXX067j /6CRV7xWFmJLCdoTYi01OGKP6uBDIq0P1zgOcK2wCQuoKxpgZHU+AAprXoCMSfPh/mAMV2+m4TVn t26Vl81eLkEhHGCE7J5MK0J45CNAqFANMXtmizlDVHf88XVfOqe+YRJngWXMsYMNxv+o4goiplua 04AgpaYxD7Suv4lIIkmRuxzHv20/G66TucF6WVyNuG/2Od91Q+O5Sbbx9E+5R0EKUTFbJfQcs5r/ 51K1bWWcfvbVrYWw85kdRhqY5Dy0UzJS47vM5epq7YzrQi1pB+sFss2T4KsVkm0PH8SU6ei+qauy W/rFCyjk5yG9tXfKaKRBam9zWg1SeMRF7PrG6Ls8LeGbUmjLCO8/0L2HpmR4mXc2um2ks1MdChK9 XdJKypE/5H52Steh9e4WTfDBtN6HiqtH4NcKw4QUikUDoZ0OzsoZJAJDMeucjbJHWMch4+zKfcra 9kpqn+0qCX8CxfjaBai/wrbqIH4dz2sKQrNSBgmovbznfFbBb7XW3VfC3+ZylpkoVMeiSYNHk/OX p/5Oj+th4RtqjZ7/qR7txpe161IufB6Q9GYCoPeSP/tUb18YvTN2zrzsKntPc1vdR9qA8MmbX5de TeqjH9RQdIGGlpeEP5zrnbRjGoShmHZU7SeMZHjQZPjpA/FZ4MqD6s2WhxAMpYcKx8TN1naxNzkO ql6za3U/11Y8ElXqCDOobNORNtRe7OwY3Y2XJGRwtT3kSxqUEFgPJ3CvN41MybLE9mZw0amx3Jui sFZoWG+snyn4HZMWgzTrUwamx4Gi4lOC77ABt2sMVEdOsMzrb7Z+60V3TMx08rrZCnCvz4lDLvK0 6WSa8ghm4KClgchnp857dm2nZlfR+Er2AcNXId2Wx5lR7sVY9jm0mItuCZqrBKYC4fDDosV5/TpC u3PWXgm0frNQTfuZ9vSmQLwdL2mtNnk7WyUOeHL0RTaoBN/+/0Hv75DuJQgfbxdXM5r0iWB90bV1 K/c5XZSoYGExIaV5HyaCCsugudPTS6UsS9wtidIk/gaVkXMvK99SPVrXJiuArI4QzH4Osh/i3xr4 1IWYKNFsvSk9cb0uvmll+3A9iHeDaRqiSXVV2H8Gw0T/UiAv7hyttZB8AqEhtM3Sgs06UllZV8ru Yu5tg+bXZGByQR843m4Ts5bKVmR32lrrYdl98bobgCSY2rWI0PKe57B5jEtpZ1s4wOhbC0Mi6Kxv V6kgb5wV4vYE4o8j19/X9BVvNHy254bBfUXmrCxYK3m5MMn0I2O8DckBlE8AwzZOPW963ozf7WK8 wBJZMLj2oxIwYWwBSuq86+gynsT425T7wpHd2UxUBjRXpfsH7FvEDpfo+shWwNb5dxNAoaauZVaC VRCzXkGm4xzkKgbueRyv0/zvPWPHnfQCD74sB2VUZrxZ6r2OyCv4ptVgb14xQDXsGpY4xAL+vwPC Bdo6hXHEx9rPqkcphD9uEeOiQDFW3qjWc8pQxg11oayDv/HKXKCyBj7lX+GQ3fDU5F4VVIab+YKK E1iY58zxXSExR8HTiHRtBbu24obqM2kiJNmfns7gzQuBztm1bmvm98bdk41axttj+gcfJpCoRwbu WQb7qTuRaQDR5XXPI0O/V4ThzF0Fg0X1MzUAWc989U6jkt8mqJN1s8K8sEHZJsbFS4fV4L1GOAMY 5zhG99o01IPQxpB1vD6fIyDu5q4LNOYJsJuBioqtocDmZvt2/2a3gN+u2k+85ljZnwZtun2UFnqW IWTlnmyPP75Cr825YdUf1Vi1UdAdk4MHo/yVEHlbKV5T1b00K3ToGsVP8F0J00ZtoNalGhs+cgCY 3e3mrXPf8b9dbqPEvob5z85hbLvmOsabhOjLlq6dwxX/yBUGyxaRGG1HAVtSLgtgtrHTbmWrd5M4 T+fUz5mRSNkdmnvlZHPc5s9MdKrxQegZ/bwg1NRq2qFDrsp7l8cmdWrIOGkAZJM7mFFFra0ToHyA 1K0J7mIFafjk80XTXflBU51KISgNMb1aPi//gSRjI41d1Bs8CM30D9qP9EKt7Vprs+G5PcfN2Nds WfXnJpckUn/GKcB6gdy5FNHjEFDlZ+KuLxcVmWjYZos3J4Su+ZKAWzC/qCGIgw5pFv0b3uWVVlRq Muo0DIqlbrhh9mOji76tqqOTNncWG+onx5heoHtvbkwECvM7KVL/frdyrbnAHgOfgu7PNbW5pqKz SUKn/eYRk+2giwOWG1PS7IGOdEgGHLY5vttUB0bc3q0sMGnhQ3bYHg8ztGvozo5rCXUjWVkl4yPu Ff+LqmD4L9cc6h+YWDe67fVF693B8lmKXgrQE9OYUMSx0oo2L4w7KMEu9dOL9ghiYWKVLondkF2V C4dCcwPgUTwMUOJMB9cPbtwhwIFqHyBrYVOcUK7aiq5kdCJLHbCkBDLPD8yJZijQCOhodC0f38OR YgvrsbqnFs6IhmUrWlMKVr2VD924+SxvnCcLXHK3lY25+Llpd9OWEA/nBgX75T4Aq1UZeFBW+lOM iauXsktR2DOIDS/TQMy9l1S9lqvSJvhZQLlL00DVOTz7hSeizVQbIV2gsruH6+PSxsGJBHvTlwpq jBoC4VMLZFLW7g3WHiH+QgkbyLU0GMqd8ppk8bDulk+cGyALWwstnEXrRe6WHxJlhiL43L7lC/5v zKo6sWsoPzXfu2P1tntj4Fp+2OXSC+jMjDHmZcDJbRu8uxVvOxbKp3G2MNfjEhBsv0RjNue3Nqdo zE+ijVGu8nRKxrC5aW8SYZgK9LPPVujeQ56dHHcdfYtmk55Kf/0DXnDy0Y0hHMMQL9F1iAgd2vhW s1VlnKB0GqRrrE4Q2lUdzu42Wy9fLvdcotMVc3NLxUR8O3vApoGxacLf7pWf3h5ZwZ41mt2ntXZw sy/4nMXLHckB6FoxsfEIKwXxqQmrMJncQ34TlOdiaagB8zYUaSo8NU6ttZsPBW2PJnWPJiJdrCsl vWEQ7/JaKtAQB2PdLAZYUCnFdIB0ofXQbsPXNPRlssRCWcQ94ro2/m821zO9XeNufQ12PqRTvjLb SUwT08gIBd3BUzkfkCnTTm/OLvgds+9WNvGUw8UbZMBc4cxVOhWtrD5Ieq11SfefZf/l+5BrQ+PO f2dBp5kLCrrIffaI4JIks6sw4LfsN6F9sxGGUOUepWDnfnFQ9hzDgApcE0ySyREP8yE80zZTje1w fYVbHXfcw22z9z9ZKvx7qwSwnGVPqRsF9E5bG2wyjNx9V31urFmADi2xSHy5rIlCDEvG4Mhy5Cbu Ae0o2skNqCTrVNJooXzAeWBVi8fDeGyxs9+rRm6o1W3rGGofJIuAhMCdFX8jATyO4x3iQEMENScy 6KBvW70VQfCOFArpH9fLpig2l40fCc9uQO1xMmzL3jS85S9+ho07M05y8JRsmnZQJH0/VZdS+iuY aqUGM33+hID1feDYX62LMcQH+hGQlueuTLeLyGPIzIfBejXt/rnft8d3jxlVtXIG/r/ft2lB2rUI C363l3NKufOdhV2vfEJ2Q2N81KLZjuIh1+0B/vpcY+1WvFhncE8hqfbR2PjvWqoa1AmlPeNreHuy On/bAmFyrKMYapNA4B5NKbf9oncMlQ3k9CwZ1/S7xpfgnFjmHTZYX+m9q3rDrF4slBcjPjE74JZA 9A+sY4IRB7/85f02/Fx7eDKhncd1AGrcddZLGDrxidkg8jN0kduSFCY2Ge+5UucMHIGtwQHm6GOf ykMmPhWM1VNPx6m+jpEJHFcnYE0A6j9yF4X/mX04zchLR4hUTTjh2tLBbqcvGkgN0kjqtR5S9tWI D+8p0Z2qSKL/lXtVmHbftAEDUAjjwUWSEzqNehNvmP0mg0cj2g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YqLs8luoVD2LOx7hLHtumeWWjLsgVYZwDzNhcuP9ppuB1zekOAbOVLgm98uBKeQo1HKdKN1Ib1d2 FfyN3T5alg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SHVUJgndtshwUv/pYQ5e5nU3PoYWTAxANZeYDXWQtEfdNrwBd3FxkD0UV37/Hq4Wqjo00SALlJ9O bjlG3fWqCDCJXeemzliXBvXbwc5p3JEPm4Kj64TxKW1ytdbquoCvUqMRtjFC2281qE6bUPV0Yx7N vNYO3Uriyeg1YeXRr7I= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SmIAZg4+ZL3/Q5FBXzxTp+qRw6MWAhXcZUDyiIgoJwLlzQ4jhkw8MZYroUxwmdlUWQTS4gdEjG2U wPsf/C1w2gYUW5KmMGcsMrdIt60AmN+4/pt42er08WOnLAetspyTXiLzOUMPcEYWOctUcNkj3wJt Dz31sxqFu6E8W5zInwFODkt98N/sBb7gr/yKmoLw8pxm4L7IXpwqbboWgn3zZhWAls8LXLjORq9E FwrrgI1V7kH5XgCOMWDjKpi76h463pH1DIb06tIEzOMVezTKimdwjhIGqmxvF5+qFzFMnIy2HLAT ca84by6hFJ/AfmxtjxDplAKw+XGUDfboE2GIfg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VsVagsSS80a+xvWFkXtXcXs+PiK/k5F0A55U307sLelkeGBRQjYsjXGIKCNxHLDCva16Kt1637Sp duxxnmAIDnHPgvNDWi4rmh6C4KhlVEw3oO+GV0QA4wgNgsP2SxFSqL9OinZ5vjHkTo4QQMmMQWyW TRmOG27NUoLnXexpmvk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block I1RqihoX5DNeyTGlmTYdJDyQxTwUVKenNZKneGJgeDEfnaq9pi/V8xuyN4wP1+lb375lYNUlYpnT eyO1JYpe5q2bKlBmQIQs5Er88JwJOp2J3wNn5oZzsIM2wXsIKwWng5xLUFxxxcTHXFlqwFT7mPbe oQ5ZBnm+Aw/ROZMx5JTG8kjvAQeCILXiP4Kdk1GrQ7Rfg6FAHuMty00z9NpAAogmElrLeGHMbb3+ 588Pbm1X5j9q8he2g4LU2Nv+gteagJAUjrxFmFUJ5e4Z0Cw/5IP1cXjBk46iOtQjqoSjyYa3w5gm ouO6vyvoKxv69isxhAclp9J5n6YuC7S+jvamFA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25744) `protect data_block +IfSizmIIiHcz6llzlkv2P+SXMT+eU5tCmVG+s4w3sqA/Izm7LWO5Xp9Luqd22CuuukMfUmpQpXa JqSiBxTxvPwWC0T8ydsswBN9wcRcHGiErJwDDyii/7dZH2xsfT0PzLJVzNpNyWxhA1eW9nwO9otG lNC3CKL0sWcVovlUJOJCAc0BnB74c5Q/4Cl09S4A64F4WAGPxOAVigGcuHNUZk6/zJyXLf9BPMVA +wEOk7IDWc/+L4JscLa3obmt7yI4SJ1BiIhyeqDNW0i6FLww5ygvlw49I7n8UzPGUHV/rb3+rC92 +XUi4l3DzSMC8eZkyA1hw1Ed8LZOKIWNuzQIBHlElNkjSspc4AIdXQIIRnWyK8N/hYcvvgToAASv W0FxiyyJiR1zWVDBKWWEIqXUd3aFCFcQFlQUNK/rRMU30aNWeY4u+q7JkbfPX83XhhcIBprxbAKs /OBLJ3wDfsnFcybWdAXg2cf1umlVTgS6Sm0NA/uq9Jh6VqVRnB5cOd6pnEGb9/pcPb6A5gZjSoDk JOkP1VlCKddnU0RbWiAMLkqJVSuQuFWkJNO5vip4okfz1RwPm1O7+Qi25b8pApfSI47XxfG43eg8 bF9FjFDXmP5L70gS1GlztalJhWlthwd4mSxwhoi+3AmZ2GftDD8qhXSkGolX6z5tKzJRcW66A7u4 NRebdF71Le3cwMSq/h95EIwQ3UomU4UJdv9D9W8dVHEerQOUO1Bq58Sp7w1EQmc0EmE7hrHl2WCE iLgGxFA2jVQz1g+Mai25dorkapBzhmn8mkgJi/CkOB7o5xuN9Kph8+PhPEVMmAvdXomiopR2g4Bb bS2UWDRVBThuRED2ll3I6O+C1cfmAgPlsUN63pvwzVje2ktTc8F5TklgdrEtJKWnY1JdUJ86f3Fm XoZooa4A2MQj7DexGMI8Ws1Zu/ay+YTzytwKmeZtD9iElwVUATz+cNsUqVNZ6ziqBMv0HkWeZJuv /8+tPrJfd2PCHTFGLPtntV7Kb3ah/AHGdao3JaUnW0+ZAgWTh4pEA1iqm4GyVDKYfUhkINpEyG3W h7bQJ1j9gmLwSEuwcYI4RBCcf4GBLSwxblXqJppEVtQOSrSFEbnzRQ7gRTYxvzDuxX5qoI3SPfjc v22QT5o76hsE1EORkJExZ59SwBo6L+uTRRBucKnsChIknvgPVDyJB7l//UnVm/yd4w9Fsgks+h5t 8YpWatvt0DcIEq6Cu0iWcEGsflX9DzF91SNeHHIouWQYegBUBGKBX5iYlLcUgiaqM1ss/xOIwXyt bVCPD6y3wG25o0mBpxnmolNwBTAi/DzzJLALQlyjD/H2DeXjFXVcsv7A/MF7edKEchVAQ5RLGAve ikUjK9IjeVkVwXY3E+c7K88ojSEYso0XT3HFpwpO0j8iSya3FKSOKWIMU+gPPCZ/kwdqiHok+tXA EYU2H95B05CU5JITuE3ebOKd7vkkJfGeAP6gjvtocUlkxSOLxMecTy0AjVk4kxjUIKA0B1ZfYBfe OAGFHy3a9WwyoBK2XaWh+Q9pSbnoMVPZgZgKrYK3bBAhubKMysCGF5ZqgKkU0ohS/A2x4dh1eVac 0rvCVK0koA7o4N4aizS8ngJ4Gn9AL7aWQ4jfyNOyZxn9NCj8VZ2TlwDBO92tL/XC8rw6wOx70nOP ci47/CYz90Br7MGlG2uZgUIbx3zKSu+HdrNgl/EgOaWGgmIdO9gqrZ2CWjo48/B2ZK2FnaB9APDG djb1PsFVUfEEfhwze/Envr1GmbWprgUkn6fmlQNrjzZy9/BF7aoH50Vq6GQqu1tTuhYoqmHQ90sF Yfmgea8w1aAf4j3hOpytmT3oOFyjQeDWyxbkmu0MQkGAKvNf92dDm/Kw6rimfTBEIsu5C/AQ7AcA PiNlOEN8bibj1pdze0YzXAdoJ1GwBBcr3FsEDBe9sx2toix0a69N1LTm4hfVNjSUliZHJ66ZUi12 W8RwN9rFV9/E2mvD8mVfp7CE5mhN+AAyEWPZDq4hSER1TIXnb1XNCNo2zAriWlI6aR1Qo6tgEJy5 tH2WNKUdMw9SHcWFaJ5yMDunMXcQQcBxTa2bbAS4sDLJf3kP0EM29/CysKLHmgEfUlOA/vHjgEb7 tc0rfD0f+cVGShqzPrDtgixDDf7WX0lZPpNgq7ISdnJ07VFDQTzVwCtQj+rc3rR+CHeea29xvjxV v/XllqbHsFaJdfKeEVbYgFzDXt1DbG1hq7uQmULuW01Q259/8AwbP/2IxLIdBqjX4lPB6QwCcFwR mszSKFpbT7Q0wTPgjlO1oOYECB2mE57ZOCeLM5xPzNQod8+weSTWMTU51q8Q3F5vWBeOi1NATS/J MLbgXOcuiPq8qiB6MBUZdSzqFUXEgVDsGppxDdWXAcIeOZZ4GeR40aM4mfY1hKLUA1Qw6ZoJoudV SEDjZ0G+XA3PmH1XVNmi0znwcUokd3SQSEEQNDtXw9OD5gpJVzah2LTa6ovz3LRab9u8jHOPvXFA oneR7SHp4YUvZORSSuX0hWgDBns+ffJnAY1yLWdVWyAnhtiewnAqSoAlctpFlLdYdhrehPDHHHhY 0cXB8kVP/O8xerVo1QgVOInmLtNnFBODy2iJpvr0L5SD/aXhY2RET1PCO+PZwCJXJq/0C98BuiME tpqtG8bCzfxQgo1KOOYxXgWSZAC+L5fAn0itBO95OadyBghwLNziPLEGjJVF47YaoDk/raVY1s6m ionfMa07y0Cuy4bWiTvGzJWh1Rt7HkyjQ4v5X0U5yMD6629xFqzjKJw80qun6/hekY9DiUll5TXJ D7UnOS1HAn3F5Y9xEbTzRqefB3XYwYpJbA+bQwEDAbSIyXy/APUWlqE2ulsQLMi3ks2VlEEw8b7U Su+EKmj0zC3yme6Y6hB9VZICdjVznP/N7chROWXWLECzaCZtBMzKfuqJUEor3NU9d4rXmoEj+ijP SOvJFjjBhKGfSMXQgj3yVviSHiawldnWTFZPCeo3ZxE2YQwKWWJCMDNOel3pO8gpFWkn5YS3zLdK AmPAYSaQYmpr80Gry0gVBiKgwmk7dbUDJU6HRO0K+6SsWEiG91y6Bd7ZRegdoTd8AM6x4mXRBOi3 gCfAnEN3SM+yXpo1LRCESPSpz/HEYC53Y7EwybSe2Cj1TyLs6e58EACij4kCqGRVLn+8toXXzJoq okgcVeYR4pW28UrnjNu6WCZrVXz/1sEDufaX5ZysRxN8ehgqryXr0dKux91ibjCzgmP7ZGajjYS0 dDnakPx8jomlrWptf14fPfoD2pMtiezCeVgm31MYt716Dr0t+F5UZYPW3OfHnXZGkps7LIMHRQJO ZvoUIQF8ca8S7/6BVhj19hfetQV0DXSH008fRBA22Rw9/ZIM86Ra4em/OAWv0oNc4kYUyjS7+0t6 WObx5rLsJKmaN51XskVxt0DghJj+M0Kzi5FB0vivC7T/GpOy2UJIylwwfnD0L3IgrD0sd7vHOHjm 5kO+/L5/kFEXViogF4QypoQn7B81sdumZSjW1Vv1FVu4XGQIaw2JLciQkUqtfINPicNH18mhUx5y jjKPCLm3EQ+AS+az4OUryE4vYsi8R0YoJ6cSaBz+YeKL9h5S1sdZPKZJ8lEjJ3mO5PO28bjwoCbR NoNCkkNUXGn5tghPNxKaQs0jHcYgajVGfSOcTGRe3oZQr2OjJcowbJMfI0SEDunMbhCNgSZPlFrO qQ6+o61zxhVMWjgZuPWJOiUjK1Ju/z+FAzDRwj1/nG0BRx7Y4e084lLTRR86UaBN3n2X00XoRJbd mBp6QCkhSdd9W1+W+4b26Oiqo/Gjwy3TrVRhLCWSZx+EGNXjro2yjd9gZQ4tAfNzTFoVW6Lszb/x 6A1G7nN2w6Urzg5cPOHgQsB4J9SJYrU30e39svjf6uGyo8cuPrQKU7gkjaClD6DZcUWniNUDblQk Tw7K1408SxEZ8G2zdwuzx5683xPn6IoaBPc+zTcrpcFyFlLW7PUYcGyCjBgUaYKsSUYwEgHB0xTJ UEQyNGgH/SMetiTw8itHJkheIEZ0HDYiXGk5cVHEVqreQ614IGT1Cp++CmZHDYj+6Jd+Xt1l+HiJ Ia/pTPcbhaEcNWR8PqyL2EbEzLST9RESB9974q/RYUXCrRlsddgOCkwwWZOJGEEg7umzZialYi+Q WBqXeDjwQwPxNfr/cRUCqu6QZyA8miU62Fpss5QWKpn15FHQdL2SDybRDCrq7/6zgQqioX7rYZpj hp/pW81ByifNf6yCeBHRU/MQ4gNA7cQVZmekt/rPb/DDTU9iyiWkECIfJ9buBEJEejqcdNgCp6Ws lQkMZPyCyKmo6WauK/vxfpFC2PHfiwMgf5yuUR2ydoCTglEWL3u1CyF3QmLJt2b+7VIFVFsEWOLX VGzFsEL1d0b+cTS1ufQr7qQpRcK9cUJqwa1aA2JPS0so348S8/SgzE9UflKULwQVc7ezCHNqRGwl Uqlh9uzqCB4dtfW9m1nGjXJd7LJhm89eTE3TOsvco8r72z41x19VZl1opRJgJWw9snE2ZYWp9VYw n9OTvASVgEuRMKWas5Xt2QfYrpe+jz7/rX2PMUjvNBnaHav9aRMKcwPYKUwBWvjp78odAsIAN9JJ qVYKjVyz93ww8rXMx8Ktusdx5dJijqSJNPBE1DHBYd6OalAi7tsD/So8sGJ7Bsznm04DMbHndMFX 2Lhpcv3xRP0CF1LRfQyXtYfh1iLdxwtYs+RVZcKGUWtC2WxSdNDqMV51+pCpJIvip3iuiJVZFLM7 J1jLRKv1t1iKayRcCQ+yx/qL/99SDC77sBgzI9Y5QooMj99Cz1ZAqv65/bX6n23ZqtO79n+MbyEr 8BVNMEByZHgpWlcySzaUnOcTkt5MSVv4xbVIrf5sQNxOf4YfdKkz7bnCA7YkmOWJTLju8lGfOphU eSD4dGCzRIZqYAOTYwZ58Ebf3G0bQ4RS68AqsQj43hfL+umqz+Hhfel6Zfc4xvNybO9bDOwH9LF3 DNgf3gBXTGR1xKUG6aBC4MzB3SjcNB7hWlBL4aPioNFNLke6Te4l/UuDwtvzD5x5BtbEEbpJLw4+ w5vLcH+GDN5l9KnuPYUS+F1iSLgJAwgPJhAwVRq4ttaDkgDJng1/jB9MRx00zcfTWKRSvc/QR4Bw uzDeBReaGgd4WCFopb4lc8GML62chJBC14QxRNGgDBIZn9E3XmcGtI0CkjpvYdKviESzqfUCeb6/ MSXFYwbUxxnEgTMxrYQnywyMa+UIjlLMaa8JewDcOMc3m3JsgItlSbDdKC0ZRhyGpswNhelsK8Dj qRcEAvxHmVb1mw0/ajLdUvEG2FN95tihDgAfc2L76+BYwS+BrL/XgydNlSQM9OeXqV/ZOsGSAW0q giHsb0PlUL7oijE1PV4MRDVpCymFq8A+EaaWi4lTwKeVpXYr8hB9QUYib+e4qMXHLerNVtU08X4o SHBlXzkinjM6Ux7bNyWdAwmyOUoeEmZEMW5iOMJQXiGQNwPLh/ujcBXUNljspFBxpAtltxUvtYFc 4e2PDyLnTf2z4De3qU+h6xoiXo2uWhujh7R0AVF0tbBWvkqVXJgDxIgkna1SJjtgPdFEO06KdLwk 3ApsG7xaRhz0/n+fAGjrxbmCpAE1PCEJjrpm+eYpXJO7hrZuWuhfDiqWWR5BocRb7F56AcWRE/lu /L3igmP2GCEks4IFX6GjAQP4gQJEfXUAol2QQ6nMuTq/JhY1C7eKLuxu0q7iU402liyaE/07zrdU +v/Ik0gAnV8+ArdzJAlyjchw4BBVJMpfCIiPlzZOjh3JXRR93PdwB0NsLWtkmgHxLTxEDlBaQymp Puxmul1qIgZzOskhy9PHvpPEAC9I/z852ouMgj95oxZYitEeIowpDT3rkb8VoYNV9Y3SGkj0X9Zc 3C/lDyeW9mUgRDSFDPlCSQV3/z4q6C1OKIsjgAkSQs1GjZ4dHEQXSOM/jR/5U6uOmMBDt4tudBXD 1wgnK4yUHlrfXt84IuksiYgsNAI0Rx46yFcGFI+e9D3noI4oZYgizNRtq9Fkg7mxCR0CY4DMo/57 hYeMn9aYe47d83uW07hbOS4IHB/PeXTSm4POsXL2SKfbBbuDPFIjPfafpyG4oL4WGwzLI+ZzhqX3 wX19uQK7lL4CeWOB9OVZjm2s3VU0Q9bWUvavlkyOrGcHfSmpA/uGSR6l5VHrKjCkdH3jNUbFqUJv Kaw/Bnj6uAZanYhuiZSj8Jm6Bx1GUXYfXWziM9iRLs0SJl4096mv5AZQrOX6bFU0p9vbKP2+qebu +V9wYQwtdP6Y3qFA38ukcp6+PNQjXLXy/nuWGj+bA8vlPOHzCrCGVG14n7s+H+E097IcEJ28Jwup KaPcjMJG3+Z8fw27tDpioZXmZIgPvbMmeb34mSg9aXqzUYNr6oOGAg4kdh0rfrPTCNQId/7mEcW9 atwlLjs2dpPd0QEVGAnnpTqXic4VVAifGEo44SZS5kAfbDsVWTbQ+QlQPWqqZJczjKWDXOb0fc13 avYLSm1tfGIT72sqWyuojp2uY3a/J9CicDZnSMdVkE1INfAuIaJViMjRZQGrUtfNpZ8B4zLIPNTp j5thGznqQoa+E7MuZLfwVWXLPaXtaj/4VLZFdqM5xIf+Gs1SbLYSkMvI22WAbjl7joqr5WTOcd7J EpLu+cdp1xYmCQGia7o9MmP39dxben9QLNciPkcho/BFB1FvvkjeZwcpeTUUWqr69tS4wxaEUmpu KQs4uBl8xX8Nhscbiqrq/M72sqaUUkl6FwdcIF9cMOFGhDvBIBNcWzF0d4IIbIJDR/Bv7tGbG8kM SLz74oMHUevI8HYYZhgPQGfVsttynuyLwjDk6QPhr4Dz1lLKH5Hv/V7q1/htea4P3tq2JTexsnSA 9LljacPXzw4i8VrjjHV7+5C2C1hpyklMX+1pSSYIBPGdpLdASdvPtWa1eLEb3M3VyKHqSmU3ClSp A0ZzquP1N7+qmb21xeEAbMZjYHQFO7A9hF6LQcnFD3iLu5I2x0T0Sm55Ft4xK7QSWjxGm/NaST9D /nSFDLnXplG4Ll8xAeGow7gyJ3AOO57VzrVs6U/BIsTOYlD5CJXHBdx/o4lG46vDOST03dxwIYJ0 GZUXt43/6/NjOPCcT0MEumj2CHqmMRs5ATW+07IM8YF1qCRUh5+EQ3Kt7BQ6kAwU2GJdVlcIQEGc 3T2QqXbr2ZOg3JW7H2jTeoyqWxUjfKluYyhKNxlJw80N6HFaa/04tweS6Rkg3yK8X5zYc4RLdnRi diIyWV7XGSFoU8ZGXhQRX9gkbamyQy9KVRPNdZYJMXJRB3zsicDPF+YRjUfcW8AB5WwhvZLBFXJu Qw8CJXLjvOfDYk76Zggj2i2I7RkBusC4texIKKPBoveP/68jiRJdMHrohFWsXqzzErf2zoxoXyi9 eKKVdLk7VRuELyYs0m0BDMCT3j4oIE49zy8lCsywqNoBfE5kUuHrHoHaEOm7cYEFoKacSvCzj93E aTsnuhnuSGnM2kKQRXLbmuZJALwUj9lGMxNHHM4XdaN9YKutCSQ0EOQi56mT+0fczGYDkneKGYe3 pSXqZjUbVOUNNimHTT+b/b/fR+n5vfGRGa/fFI9Oeo2G9drn21KRe3aNCN7MJSRGyXhFovEiCC6w /O1lhsQSkJ8dmbMHAi01p9QxPkdR7XPaFgwHpN04RCwS+omNmrn9EGjggH0xRDF1l9DxWzOTWTOQ ACv0jQlpsTUyetZ2i0XBXS9unDC9e++2qXLgiWEvCHsozj1OrTn6u47gCSNgX7NH3Mc0+Ykbbkkq Xbf+ZHHLUvPihtJhk0lnKvSqXLbdxHKJ7x18X7/jhmTPhC+4O7Tul6VmsG/lspAcaZ7o3otVWiLM nBLgFHRcBIocGLEGIjdIiSkPmq+H6I2/d/YZ1fA2DlQJi1aUdvQHLKMpHdOe2yPORh5iUnnyq+aA DAl6D6EpVaqefhy6JvvbPFPc0ab39HbEjr6ZeuRoQibMkRudu+SsHRINw9xy/aKTvA9k8R+VyN6k S+dlBAvy9sp2Z9zfaIDi/Vt7a8oliA75UwWrnxTVTc9p+5Dpi0ihwn90YOWNt9I4HPOPtJiiUO3L R54GYjgQDGSQ12nQcnPDaisJe9wVSRgBtgRIG1/3F7OnMioOVAPjUD8pyXkAUUMdc6A9cSHjukPi kUnvcRV4Lp4AAAmSZJ89R3fzBfcYpZx42xZmrfdw3dKeJM8slJe2/3TH4Ks34HE1DmMLLaD0yrMZ 7jW9vHGbKvcwPiSu3vumZYm3sJ8OW+U9XOVm05Y4vPh5eSKmlil3kDRM7zMwhLzUp646OzWKZypD XZ6oSIrQuomHPJ2FJVRrXjqNF1TIk0C9Kg2hfyvqraMySPV1VoGii7qk73FwzCiL+pMzRIP3LRgh 2Dq6WlcJPZpyNPHNXv/n/EgWbusjTyi5p8h1EiuSrZDKTp2caAKGovz7mwerob8xP+m7z8ucaFDS 5lezLgKux5fzWlguKqJcAmZ2juMYxHTrB/3ebFGnfTOUrEIqXI7tOVW0zePYltjhz5BcvLnecNsm TplhRsLEjifG9hEWnxQQeULr+sYFy7UaMdghi3XKBzBSl8FvfGj/mrpuCwfk410g6RKRpDQdeHYP wrDtZZ2cpRLFQIQTEnU5+ngb6GIKcRjhcbHTQMHfjhewQJ4//VvU+wuoNtQiJ6vqAVsZk0iDqS+J tDl/C3DNfoNqM3j0BcNCVQp+spgGKQeAok2XJakZiJYH1AgHrwoJfsV166NKYsjIinvo64ewOYLJ 9YPYf7dikf/RQIXwWNm7HAIib5EeNdby2732ZH6CxYeSbzzXwYosty0gPePdzR8/F19HByGrjmq5 8Pw8DUrnZzgfWngBxLSSVuQKcR0mh3QgjOZnNquOlGX8CjMf6DuTbD+6mAJPilwf1F6CoG5zXusr MooY4wuGKzqkGowRnYd1avCypfEdcd+dO/FP0FjUAM1yfyoelJdpi1+Ocel01t1nqrKZBR1iwaNw 9rDh7CvpZwKeFF0mFmkX49KZDbQmOwgsOhD676deNLFjTclf8AS4E7WZEYnkQfh4a7o+3YSs68GK rtKTjGoZoZc5nlRclnyRbIccYbslgMjReaCyet1cJR7HAxq89VSD4esjHLtHqHDo00VkDw1kgp9y Hgx4kpaUyNQu/pWqUxlfSs08zgm67+fowpo9gmoRTjOM5qt5MuVzx3aKOu1Ei6t+JDe+bWVIILo0 6VNPOjMfWaK+Nc7radz5tjeC56eBnuJGaivft7yZ056mMtmn9+xonZEH9l9UmQxZkG96iU6RbG0q ZbUWOBy9llLMWOeagOIa2Eb1MPjT8FTRoKU511sCnmh9pqjXwDUZbNx2UqnCYqU9FZ4YhMo8fMa7 W02SNU+1dgYRcJnQwGHysbnXlhAURzy4Co1qo1ZgIDJ6aKSMfa7JKdMXwwMZXMQatdBotHkCfj// Ek0oAfDHI0xbuVrkRaVwF05VB0b57/m40fKhVvDVZV1DjZQoq0DqlV0vsrLjVDLm7mexcSBLgBr6 /icedv0u9sPV3IXoWJUIpjZnxKf9EUS4bVIVwu6z87ByJZV5XB1VRAW/mABSGOduvdeNEuUEdIlP r9vMI9OFDzJ3GGrREXCUC/T7QaHU5YpIVNUPZuZ/ypXoZGEgZVc8qPXmBHFN2dm5howgIPe5JKoh WzBxlLnAMLSxLh1N9ELcp6Mo4tmADAp69jQTy8hzLhH2b+e7VYUV+XJuSQTnR6ZNk2pyAuRpLlEJ GANYaCaQcDGTJe4jpyirWSujJILIq+Aw/lZBmwp/zFPxT8xjAkNn7+7BX6FsBsRzoBeveZ8akivY DVSSg6Zw8VANKa85pGUDNqexasdxqsg9d/RZAfoIoT9/CQbTHx4Gt4QzhdBaUolAJz6SsiHxv6hm dDAslGYgb5azhLyixgH0B2FH7P+Lz3tjvw8wgeGOl8X1RQ+sRNppBKerNaKlTY7rq9SEUOn9VKPG 0qlVde6VEF633f+uK+Yn0xuKiocAA/B0eNPG+xTkSBDls5TaMYtq7uBTQi3oGYWLljy/5v9ONy2C GdzaS2cCc+QvXvVW9bDb5Yhi6d8+pbLCdE8Jzj9UJhlbQEimy/OjlzjiJXl3Ioqw9FeJXGgCu9WG gsPJE/B9C7aElwXPVGUxtwf/0TQnru12SqiM57DbZ0zeoxU880VvFX83pZg3Uo5ME0BA01JJYj8B QGEeCj9W0ccOi0B/WZG0dbYRDrxo9O3RNBIMO3UD48/xy1yOM0lljzxkbjvu9RGvTw6dMAXJjSDt ECB/tSTaG9F8vhCar3WB7chBMlv/lpC5zj1PHuAGAnnKL9jow3A3gjFQTGqBTVP06R/7bXhH4QoV aZVyJ2vrc67lX3gJymTSXdOei/9+fnx7iSkV+dnDQQ2YyEW/YZpKChoHotjp1shU9RSowlDTrlof PzRY2lVjlbgGwlXXfeQ6/dnwjvXcSFaXidt0RQYkn0EjIi+qsmz2BvKCl2fHAa3M1+JNKczB7Vrh 0AWX/VlWzBvpAHNsWGxje5cLibNKw6+o90I3cHFiALrC1lEqwu2h4OupW94CSV30apBGw7LnfAyz vaIeyrsO3PlIJceZvv+uqzQ+dzrNZ4YgvkVR+1dLhJr5L6fEy/QSE/MSQjAVNo3qp8ZpyLoJUReu iObeDQi3j6Wau9cO2nRQFgRAfmpwEqIwnVOq/9C3K10KisI6Yw00rVKXtFYBOv6kgR4IbXz71/p1 pTz9sE/Sz97S5Hv37Ow8Mbs4PaG+VtP9WTzzLkN2XY8H7wS8E5rX2+cVNvt8TpUpz6uM47S5sB+1 feG9/Yl2HIfRQvVhIMHr6JFmNUCxhC2p/LScTmF+aTZWzjxmkci6byqkB9ksKkfHVV2qqPerHLmq u3q1zQVU3T/8LS5i1dvOzRKdEQNmD4kBrqpCAsZqdpXS16lrxu0aXBgtgNbAOS14Be/7YyvJaxLW 52MIZFfYENEX4TDAy5HehXKXg6wWVYlPNmURFPEorikWR3yfpI+xcitYln8cgyEU9NF2yBW4e4JH l3V0vuujSJxvmm6jDEX1Ouk5mOnV8RiZgv97ftGmbUQZTWuvrjn382v8x897kn8AyTmo/j14JEf1 Bkdf+A1U3Nx5u+qZDinyC5ZCFiTISb9/V3L6/BoFd9Y9DJqP8PKt2S0I+ZK7TKHwNhDEJyOCp4bf Ww4bMARxT4J/7kRaj6J6PsbqPqtoptQY9Aild+eovI0Ckm0ISVLBAvC3R89UlVz0jD+UR0eQrudV ZN1IfCA5ZtTdqPmHlrvfZTUb1Xytguzc1zM4cKLWpr8oBGo/+rvuS83nnB6wnS+85vsPCCMyrTEM Fv62ii22nJfa68IrGn8x7ZT+TpMS5QRC2UmBvTv1cRtSbFHWMKocQM7gwVByk8MFNEZn1D1BuA+Q 5zeLo5lotHsBoM7cEr0Hg1zzbZ22WJvcyMtHVApQs1SOzyhfHeMaO9jwtb8OD44MKYyY2E2MC9BF sAjcljpc45SlRZyx1Ur6TCxDQ9vqvC0/LvEHkiohPelC+UEhCqCeMrV4Lta0IfPD3QarOsRYEEHD bwNzWWUGLyV784hM4BgUAjKefOkADA7WRwJHX3Tm2LEponTwqa0rAUdzs70771+4JnXPIPYmGQ/g S13qC2fVy3kMrHvJ8VLput4wkzkQzfwk6o1Ipw7C126XaaOAadqomD8kbkIy/qlOr1iBflCngp7N F5lChmlX+yILlw4073QoWLHecwiV34u4/TXJDn3ByrqZDVOwguVch4q8Qb0AfFfZLZptdyR5Ygys YlHR9466qG9V5zOdbrmJ1JkcbkOhaNZw40N46vW7o+/+cQSwVTRlrf4of4ISafRXWmWqGHVcCnnC lsI/hSfPnuBRs556WpZIxiHecymrO0VyiNEZV+KeWbzNUKEHgtELVk3HYLQb2aSC/iIY7IHaTmhf tMPjXM4gAwUuBi3Ql9aoDpHuculi9/0Dvk7qa9cXuTGx41MnvjnaaUlfuCgsSIDSSVM1AZTd4fEc 2ol+/4dr0e1WgUUJPiN4mqRarp8YHKLHvYNDeaer/1d/JtKmowyOUu1p2vv0RKqU/NmlVXVIani2 MQLM1C0DlkZqJdou+KgJLjrEY2XWkWY4TzuW2IzhlsFP28LU07cCkB93KYhvyRVuVHVU7cZiEwII iSYGQWP+Ef6xlUTM7Do5mTivXO5HnlGeYm4PFJl+N4M42TCA49pi5cQOQ8CixlJT9/agBja8Aa+P Dh9uFKKmSZoT7EnCK+qCxzEGGkIo9gifcpB2vtRJOJTfiFFh/fOL/DMkjgefREtdtTmTk9W4KJAp /eQQ2Oa+5/PENa4XS8hfiXsDk1pDnBPWCdKH4VK/S24/pwGuQfoPHSBqNy41TOB5SaLo4+nX5gcF wNdLbCM+/j2tx+Vk2ZZAXtgYKtr2xeudh9OSl4s6ZBXIvdKlATO0YGnOKnofNPMwS9XXAIEHumjB pBqKbsgc4XLWLN6lZ9gjn/6PT4bdCwC9S9vLuFXaUOQlSSwhTTFThg2T9ecQHgKIfWsQvub1O6zB KWRnjp8ZlEaEw8MMFWuUoabV4phoKLagmqpvNMfNKYn151CgBXxH0Co4AWrz2oLErYFfi8PiVjRo GnltgkPBLS7x6cLVEpQywAxcgyrQxfaNe0qpSAhitGHwTzKYCs/7qMPAMDENltncUxHF6KzeeiEP RukRPKNl+qOUQV3sMdCIWHZy6I7hJ/q5XuQPWRQNlx19iEH8oMMkU3XnNxub6UVzofdLQC3WxQ0Q GYO58ulrKXT2FgKHXxM0sjeTRDA2NjqOO3LmhV5/xg+LLuJ35anS3gnoraR/Uyx+yMu8W2kr7TzW +gE/JNP2IOitwVqT/CaaVG32cDWpHN2pUwWfh0FtQ3o4rdlk4KHo8bxsdIchv+4ArZbqt+GjPTnQ B85T8sNppXKH8QLiBV8fewyWpP5jUS53pLEN/gwyueMhyGExvZrrJau4XzkDA3gZ3gzilpLB9CNL UQCycVOYlPGLg8oZGENyt78pXzZg1ITObsvR37tDrEKVnftUavUzaxsRoL8v6fR9f3rsbSPfVhwF ITwMMa3AY2tDIc5KFFg+wVvNCz08emPPcUqScEQKx38+8Gvzf4SWRIZHm+RToGCZHZoLUNOxZOaU h5katMA8Skv4FipNckUupgJa2LiT6ULZ8stlGGlmXMTu2YuzN+D0dxgZYEcepBK921YJgMqO/BgS nC6mpJGCm8hzJqLzx38qBGOa9XufPqu3QS9EeWObKTDxUNyyHkTOMZUqkhy+u5nY8IbCcILEUk8v 1nhEMCNuQrwIX4QNO3N6iw8dGMC3+6XBQQfP7BVsbw0NEA5HT3mR4pR99vLUxkj81gnAi6jnVCPg TrkEzlVeuJLoHA+rrFjWzxlU51nwb4rcIeK1Z9NkJtbdpXPyPEOq9dCrwF3573ZfIAdDn7ulVzG+ 1WNQpAxTgeNpzTGsXx9QY3KLSsWjLK08aFFsIi8j/pG9XPIhG9Hb0FuETlDQuRIcarxdh6EZhabW pfyBOBDdWC3Ea0YMeMqsm9O5+fil+s+rHda/XJk8L4OxjHc0XG/m0rohW7xO+MB2sD7ROzYYbYmm eMuyN6z+O3c1ICuRjGVeAZkV+Wszi+z2H1HKFf/4C1LUaGEaqU3HBYtQKVRBJgRa2ZVVl6fgPnVq wBOUg/Isi2QAh2heRaLknN1jESxk26ikvCPVnnUBgu4NjYCUUQzQ0zbqGfU2150KconMrRzzLIoL z6lQOJaYyHg47w7k/xkxhUUKFLhGus5h2mL/7/L4483HeCqe+ur9q/wxKAt2+mzmmfiU3vkd6au1 vGavmfFiYKp4n4PnhS80O3zVBYwQ1o4yjYrF+05SjmCfMkQBP+dhYJ1cTe7qBzIZIBhwylCb/9V2 c3zamPRDGtWf0bbK3iezPAitSX33aiRkwOZ4SZQ7jJocX/mxAHjmjctk1wr5QUxifsopNdPRtPtw 4xEr6zSrxLAngJYL0ixIuOpgbG8UpzpEltiJfXkQEK+kxTvVAdZ0bea2yVOXeb+icOmbcjkZ70fn viDF4nhL6j284hbfdDNT0pQ4RNf9JLraHUVMc+PJvMJDyXVuLHIZ9Aerc8krCh+Vo2DbcSEZ8YH7 TGzafXy2Mi/fmbUBr5atDnMrQRRlkgm+qMPiqQ/QXj1aPCiXX04WADBom+5m0r8Bn6m3MDleF3Qc /hcSBAlDQnaN+g+mZojYy2379yagWhmp+FN4VTcPxiQm5DWIfh9OxHxz2Gj3HUOsc0F2rCQU51l/ 7QnQa9fK1tpITTg4sGR5lp5utvvey3kRU/Rqu+0JApcyE4NwmOPvYlfUOy5FvVWO+GE6Nch5gXmG KseFbyPXq6OAyfG6A1AcejosjRty7efyzr0amuRnbyIEOCvl8KvaBK8xKtc34iOSrsrKNnZgzcbf FmiqQX/l1I4kE4Gr5z8vO2ZiI/6O0cG0jKN3+FpreCdATvS9xCcOjAmWrAwMajWAm//4BVDCTFLB peCpIePFvc7YxHse70fk3GJ+BCP3F//ziXdWhHy2v3/sh890Xcl9K/wAvSDkYwtv4X7+oUIuiXTV lxTuJe5GROeDrqJk/RPYXFulDjC5sog4UgayijrBNMlESzaf1N3La3DSK4DgFqr8HjXOVdGRcoDV FX8YcG73IrUFZRi2smWtPXwYbFNTXCf/5L/pnS3oy46LjZ74koH6+VQRnXGGDprjOJVoQGBzDUSB UMx6ycaQN0rnfaNFiJllEjbH9ncHxirDttChT8kck2Bp3x+MT+ZjdlBofY/3CYNaDVTlITNiHELW UKkpr/v0zFFYWEFbbto5fwLXFTxxaEoZ8Buqh4AuTZbGmDNcb66XoLnhatG0VEYGzkVwC3R2uYn+ 0laGKNb3VhJSZ24tkx4hsT6kMmEHUJFY1arNko32+3EPHxwE9bY28W4bb8Os+z/LO1ISCPS4+RNu yzDf+ca+JikfUcgf9MbqGGodbnjGNe5CUswQX5o7cGZbb0Wqyvl+0J9jcSDg1Aj1lwZ19VpmPIWk 17AKIX3OBbk2TuRIss0JjkT6/SFQJqMGifQaPuNVUH9wst5RcTNqtBq2/HMIU24O0x5YqeO0FPIN QudTURtVOtcsBzooGE+/sK2JISEFXhrqi0d7Wegbn7/BKngtO+Oy8YZ8347miZ77qvvrfVq9xJlS 2zp2dqG+U5iC1sHeFqJFYtB9DeR+/QEmRJkFSFYF5HYTT5Dip1+4D7JgDmqEBFFBYR2VnYfeKZPu 1qXkK7KMaI1urwnH2cK8OKY/hr4DdgbQT/bM8ppMqLxtRF3YmYHyBeX6bgEcwd67CgxrOBDOZQBb VdW647653IiK+IGYxkpnpiMWrjtkK2ydYZpzRpXyJVGfmqxmmxBy006Kvk8yPgGZH4hV2zXh0jcX DzU592tFocYMz5w579UPKodTVJCtJtyziM/ZEPSPC6yGnl4tsaXBghypiT0VBrkWSfWsMjNhwZwM 5cJiQmhwqShPlVXptM3GVD9iyKNhAPG/y74iQArZZxmRAVKWvyswwk7uXC26KQHAoU6eBnVeKilJ 3DsATJZtfqpBbO9bh9jUcRKeO7A5KRjwd/K7+9OyQwQonolViqiRfaD5Dd9JAMA7GfSG+djPepK6 pvqwsU0W74eIUXjXcKL7Pp/3QL7+pofKm+3S9kmxGrfUBixJ3zd4fF88sUfJSAiL6WW6tzCxM5ko Q1fN8bIXAwblCcaPCwQCI7knh1/ySYS5KhrF29iJaNF+LGbsVeHVEXVhd5AmnJMMFV6szEykc4Cr gP3X+0FrputMj4Qr9JL5GMeSf/W0JouS88rlHqfoMeP8sxA+Nibv3YegfIIl7dXzn+M12/XdfEjY jrQDDk6IHUB9OiUDfhJ6M0gFFtO1xIe1XzJuDyDQs0j9xmaGPeUQKMqCm2wIL5JGG0nrT4+diefY DNu3HSIZKe9FxuL9A8PoEi0OEpqUe4RHowf+TC5XAqGf525/bx9sxClI4BpcLxBzR86eGi2EuRPa Jol/nBFYS2xalw5iV2eufhoV09sQvUHTwaHgzgmmjmTJXC8BUDDbR3HHboGFB/SIQQW3JRq+KXzU YrkOFCFwroXIyE3xPgH0gDaZBcDlTTDKkVI5WxDMR7EyExiSjQ69rg14tRGnJ5VKT1QG7V3XwC9x TTIxC7rXvfAqaXFly9LU2b6X7C7g/vk3A6SSJ/CdgL4BwoM3H6mtpBxoV8oQXZRUOuk5hTLJN0kX fTHA7wKx3Mz2Yd9Szr/h4tGGOTEyUid5RleAD+kfy49oQDjbWkKL7aT+0AdPkf+r+bgy68huUzgL J0zzaSyF2SoZbINf6uuJ8zdtKlrtSy0osDLqc9Qyt1iDziG9+2AJNrsMxd+pJDrMHQJoyxwBP9Jc kr6xo6noW1ZoQP1a6tjZyoD+SFZIsZvUcNym/E4kAHTZuGmJhiAUy7MV6hOhmRddLYb3T3m4VwRE VYnbV0dm5ehHVF+A82QUkjMNpWBfoOUYf952H8BZItAYwUXRJjcOttAP0hdqXF2ddYmM5t2oTeG0 bVJxlU0vXnSkB5ybbNCf+1ahiFRHU8vT6g3MHGafphHdlTo7WDglKAVYsCuq2/WoY77NBhxmSz0r VE8IonMBbBvV33z4ie9fqmyJcwnG2hKJBwZURtMMJZPRVyL6MNIQZjOWLqia1yc1fgTg/OwoMNtq A4Vry/mwdTYs19PEgDJsrIDTSh5nN0Ws6TvEIv8tWt8oTXhQ5yXbzyfysGqF6YH5Nb+WF+KNRd1U V4DYhgllV1svZIBLD3tKqqi5MOWJrHRwayEny99pHDjxu9Y5ltVWsgIsa31tpsnvSYE84A4oYErs RGZ6a3BIqJ3zaig1Dhxn8GuKNb4rZMi9+vgiFqPH1/wU8EdRp4VKtflJpMly/8PvvUwJNdOvQp1w X/9jd0sG82yXXuxfEMqOFIpT2YdoVGXuHwwEtVNzSMjJIcvsPJW0Cs6Sp6mw1Pc0+J16wnVNY3ci yUp2rb4gZZRwmNW2OHEjIK9sD21VTKySSiUsXDUqsQYky0aonPQNpveIuDz965qVvIWVb2Vz/KWl B7Y5/uLJqFwCs4RSUbw/HEWjYkum0v0RDqnCTvEztAtfv3Xtc3A34D+tSY8YhV2d96nFvIAOUf9i wvdSlktEUTvOf3ZBSVQXRc7Vxz1DVlS6lGhGGrIX16lFJ6jqakWJ50MoscWgny19Ubefyjoe68fr qkiE+V4jM+9FfCwFyKL7MwJkjYiJuib5W6P0+HafitCkYczpRmfqxLU4koVGnrvie7kcohr5qwlJ PY/f9o9R15d8nffOOgSWHsUcFyjeFGKIEljiwPjTk1p12lQJEoDG/6IG7cw1zXTmZVeNcMUPOGhv xuv5zcdAPpNMnH2hRWORdUQwsKmQtsPRixeoyYRyAJlHkIxIGPWhm9L+D+pC2K9Af5QVcV4Nh2Gw d84UCvdUXa/dJ4SdqAlnEspi3PZk6PgUBEIi5hXm2r5Up673PXi0wdznYhvWrZNRfQI/YLFUg4KT JolinzZNKe4/lVvUNeZ9E1/88di9qln+njWq6g2upO28LMLn3eb3kYbGuWY6BQmowTUsdCavVP1F oX+dHSZY42ESOUJtOcrcV/55ors3y7ftdLzrjwqto194kYaAUmbOCByC816OOLDdH3KFXmmiFM47 gKdJS7vQMZ4zN+4knlfLY8b2WqH1e5vJia7bI0uhDsUtNn7tUWFnmkdTzSkikz90hZUZpO12r2j7 GfOIWqvidziDGgtzNEgn/EpdcnF6MvheLsS9KSNr+gJj6fbHNp+n9KJdfatM4BO3YGnQvYGwLyfc UNn9sCYk/KS1pdUzKKzZD0ORrsfuGfKjMhunD4O45TTtfkMjL63ZknMHOCdoMoR7zhnp/kFe3hEv /KMk5+6cwZclTgcCDoFPXycg/RiORbfuQJ+HjdVr5jL5TikOl6/eBLGCrTzzRw+yNm17S8kjzx22 5xcJu1ZMvyiHuJJEcaP4f5p1MidXsSGfBT7VK/9MlF0Qoh/RH5q6fEdYitGegUxFmS92x+e5WNzQ 0QcPUCiaw7WUFivYzgOYVNtiCeTV5p8NIwTnUEW0piHxVWqAgIG+lTH06TS1XKvzrOtsHIY4bFpn 4iW/Drogrmd+uZvJPI0PgwXryDMbL2ZUeD1AHPL/eS6KkpUKXwn7369VveRNJOQeUsJPVfFCr79V 6agZnF9WeVknh8Q/2pwDHtiPPRQ1xd6A2LV/oXZTOUqZZhBaPkmye/9hRmYb1zCDkESFvL9QDbgZ RMgBYNBc85CIdKR1Bw0nVtFBuf40XO3Vju/SElNTGTYFpNwnsf5BYue3AgRduL4lSx6ltjVccrkI O2bLmDKq+RH4bT84ZYSQSCfQKmGDCbGfgGC63j/TjixXuzHL8LyBPVUn6Cfz6G8niyv2TXmpO2Ta RvUd3I6PE4rJ0j4Mb/88DlgO4/nUMauvJ3a4p93qgWI6NvXa9NCROJSrZEuEIZL9mDG8k1npBv5B 0ploKv3GS0XJfmIjJ2cO1iBdsBzVe9UuRm/f0hwv6D+eAD9YDCJUQykEc/2RvigB48GP7oAIpCzA iA5IKEZFYA9ESpV3m0cUfqoNoQ5rzpbE80J2kanoURi9HJkcGnGRd7MpBk7T/0A5netUp2jQE6Cw jzHcz6w0BdXkh2adlwwLaA7dMK2/7JvypFGwz6JmFzE/7fqQ9NsCZXSsQG7fcaIWhDzC0sZf9ZWC 8qqrGCzxGQQ0rR+nK3Y9S/3F0RX7o4GyctI75plH/L07BJ29xCp4kHm+OJ1BaoCe5PwuUE48H/Ww R/F9xvG3vtJ2z49SZu+LPVGxE1ZcvdTLvyPmkZJZeQ/GNmSfWhSwN87Fft+sOmZfhfXSJgBm9TKi XNIKVTYSVhVSObJb0rkmAIfEmVeIA+3ZtVohwk/ijdWde/o5b0tXcozpH0Isu7u4sB2S/E+RkpYa sOaerE1lEEh9dZue2vxIGJSj3p4R78mITeKm9K+mYGTlq1zp2kyiiRaXw3o1joBH8TEg+cXdmVTV 1ZrwNvE4XDvhFRrkSqvIPhpZpNT5z9B4CpNq1Bo698RDZVTMVoBlkYbSWbJIBydcD5M6OSWz1oHN 8SzF45aFbUy8VQhSk7He8r6mpQXcsQwoelHisR+LrwAuUEqBZCaXZrJH5/Yz5JUsC4Gch3CGHUBa CqMgG7vMHgsN+LiUPZ+lNivRpt+jbApsxXKz+RLFWuOiPEVTGHvZ9E0PNUmPK8yBlTELrs8KNG0i NDfWinsfrgBIRNYkj6T19fyt/KZ5jYGwH7Koi0Q/mVPlJnLsUiOF05OIJAMXS1D+BFAOJ+dLvXUQ P/JKATqMwC5bi9WB6YlUVRs24AIwlrsTSvf3kUIIRN4bV2PJCrGIBXJDYGujbSOAf5L4+WWU0/aa Vj9hbHPxo/FmomAIxbtwJ87uwHl9z8JP90EjlAs3IYEW5+Xf8Iu9b8yNy8o5L9ctfRb3p3QWAJ2Q O49h/vuUy06qHipk2djMQI4dU/w31p+cH/deMt0Ku+fgfCZeIwws4MnyMnwktr9C+XvfAgVwCeiD nPlbl2XPokD7kUa5PlbJRhEm2HQYMcqJ4SkTgVCbGOcOnWvPIh3wtTnkpGlc+lSifO8RCXXNrcip LkMssqtZEdiRRtd/bSLoNhDr2YllYAL6JCZuZ81DxxNbNWtZ1gWUeoPFoFMwUrbBjAAXeSwpEXX9 3dsV4JacN0Bd6ItQkiDzTsA4C/yS1qJ7+qNdIC404W7KaB3ZfEam2WNCJ7iKLzprOlH4TLfK189G lGrjj8ifEEgI6uBBl7QissIPUztfopVcaLf5GlxK8wAdS0uqe2LeUBrXHVuewJr/X1R0sJpYQ/2r tUJeDxWIu2idF6+7J+UnzD1EA/fT18iZff9dmwQ9S8buheFjxHwm7abibTTb4r5XoUUZiesC6t5P nfZ66hm81md2061UCaMFP2vgLRDMU99rVNEgZCdYsy5tCLCBtipc9gDnyTtnBqW7Fmiz99kqeWBw qHzJAjAa4K1PLnIUDNdyH68TyQ+fZmwP2UOFI05x7OU9t3cB4WCfxgygIb3JBtDVXsug+TlSo7Ne xb33wPkosuZebIrvuvBBgvDHCWsJDTgNqUnTfoXR8Ia+8JzU7nm3JWEfjFVUbDvQpXrSu4l1jBqk j3fNKF2jWYExil+6EvYBD8g+ku3Hq0hmWQ9X971IYNQFWUFT2YgMQcwTliW41KSsn/HxAcSCT3pX CdRdPlna7xzKJoRUc/uoWD74daaEmL/Wf345hoq06MCEYP67birfhKnxpK2q2KucyqMW4BoIduaB vez4LR4HPddGslTc8rhkhE/sFFJygVAJKIjh8SMvQZevj3kXEEvbo6Pu/lV5H5U4EDr9J28fvykZ AKJYYpgtzBxjLxilsfiLLfjRAAE7NxlTx2CixVmLXv8yxBr15nPnt8ysOUm8shbnmRSzUgBvbDe3 35eCuJnKXTc8+9c2KiJlg3Az+aIzpBKm9EpHn42Hsznb2HYJ8FSPM5HLGfaoNWmnNINB+2e4OEpS tHufkJN7cGnEJRvoOnc6sXfU/HemNSDZ6ujXfg2VI+jpQevXUwp/2h8Avsl3998ewI8xsXU9S7/o M39dJTs2MluFRFvHq0fRT49fOCyh4w0gLc5lvFiR/36W4rW7Unpp6WpwF8xtlAzxh7XD5Fv6hdil 0Vzc/sdlHewfxnZYnh8C/ylgQjInEbJfiQWGUnwVyDu2pgv2wyD6O9hEpGtttNJrX2OzeYoL+V+P s0x65kRQgQh2rJvyzgRe51SrX77MvtgBoMxAXrXGr5OS7V1wJBWhCSjSJ8YbtwVaxXGDYwXscQGy mPiUAVQUyopTN9lD4TIYnWrXw3wIN8EDlFZ7WaEIdiPdG3yyv4s8cIbPRD3bxq3sh3EJNY2xinj4 29AdXa33dKpv9j4erXsTHu2VgdPyT/3rAHbkQPRbeusMtVrM1v4Qepr7VuJVhjerJMw56nDkXkeC KwZoqDT7tJhPH+dCqilo47kx+354A4/pc164rujuDgPxyYKFVKY5qt7CYqH57lckwW6Fi+k5bn6a EmO7PmhMWauKC+GOcfzgcZxBdkIgojsWYmWyKraQyYihIqhm94RT1pUQ+jTnRbd6XCmEItMcQ3E3 9Ifq2vUrACvfr0E41YSExxKxdmlf7zDEkeC918WqLooWHsNXM/P/AbJ6ILKYNrP5AouACOCLImyH F3VquuSvn9jnmI2Y1/DBctdPxeMaqjMoRZ+sItc6xOS+LT+fAsprvsZ4A2GckFIi372ad7WuPyjT qjdGNnheggd4I9lWT1538B1Wu8Sr9CMPtDjT6hs6rNjHeYKMnq9R//mv4evDNZjRVTKL22+XIpUT wUMlzotRHYmr5JGl2BI9EdYJJ4s6l5SxB/HBUNmP7UvKKFzADh7rWpS3bTNCSB9AtvFasfzemXI6 oWLr6qfeFwGUPcfaBhjAfP5qTlNxK7z6LBlP15sDNiZ4F30/CLAcEeSNOHz5FuArc2vSAVlEp8ct uDe3OEnFaYKJ6dBVQ4ZekEbU73xFPpau0XJdZAs2WWqfLG7X4tQUoIhkm4zmF8hqkUUEISWON+Oj L5nQUvySG7y1UOLOlEELRLE1G4+9Jxrn5kbvPER55VivHpKWWmjlC1ohTiagbEbQCyZUryvsYJrb WP+LNj6Ais1e2tKWYAwEiIT2GLicjS5mCpQG6BUnNKjJZVn3QiuN+1u9ouGGEgwzWAWDwveufjag tSVq7Rf716m0AEDsJfpXYdDOIH4VzZSPH+t2MiQCyKZ5qAf4D0VO1BdtJcNunjd1xf59slhdA8Wq 8/OZzy7PZajGR6QldTQiq2afY+ykWcXTHhFcn5TS5lbMLoaNk/KIdcTUEhfE+R7ABAa0/3cMJN3Q 1s6i541Ym80b0m9AuiVChCCA+BfALdfCQct4fxYwdhfJ3LyjjT8H9RBUwHlDVzBdGTowQsIRDkhR k2/Fd1dALc+R/A2UYL51KEiIYhB3vgRAw4WMQL+09EL0XvkiNE7OeUQqmDQODliUGPVytPi/KCCO 4zMBmPC7B28E1ep9D0A3vRmI5Ep6mdf1YpnttmCxCe4mGrUfiQxkwR9xOHRfOnrm9FHH5LI92WOJ 1CRlfk9GKLODpdfzzERxQ1HfZcrm2Pnub35uJesMR0TLY20U6eQ862iC/qaTfQsMKWQAzRDuHMat DohvwPIgioDW/RUOMkBUsoAaVHJu9hJgM1NMBPHPCt1mJ96tIrXbD76zdlEio/+B8jU8Jx4q6ryK 5/H9DSGxtYJ8l61tgGNF7gJq94XJubzXhsrbljq105jcp4ktcqNawtCu8aDTW2ACwBFVe5s+kFsD 7YocjhGmBi6iERTGOvdH0vZKThloDkC3J+QAf0DOGUt21xr+IScJElBTFrkg9CmwntI7+Nns6hEA T2EyImTCMEHwPeSbytn1EmFrfbbMwDnxEI/3N/QpQiilkNZ0IAi6d3TKYxxAmmeGXbKbgGUvblpZ cfFMg63RSm+rcLBfbuxkRAhQRcrwmCXXm3k23Egs3Q/xJExJ9UnAdpjHJk4IjASIEo4eHsjtHO7h afY5Z8+ClPFupHrzU/0Ju3Sk7QNLjE1A7J5zCTFCSBk6qw+fbwh+8ePu7w/J53HECJ7mppjDU8ri uAwPXfrX4jqx7B++1u5CW8JYfBkEW9ct5x76rEqJ6VFvTq7Vup0i4BC/jX4Dsf9tbMkZx/JgPlGm 2Xl0mAT2J6cYAAgJ6NwSJsx7E2NpuF3tZ4yjq5pEh1j5psyLyD4kxbo/5QxSY9NYF8qfE5X2nGTR QYZjVQhsrVEzF/ztDbPkpPhUrhCbWPIYqYlwBBAL1MMvc4/u/a05Mi4O1pziLvjRLhnrRQo4kfnw SdzFhrEcXrJM/dTYxvcYcELpOySybN0+m1rDs2nx8FpsrqJ+/Qo/bIZwcViROvwaohN7SYOkhIKd 1EgCtvvAqSOKdu2U0yriUSe3OHyHbLt5F17Xim1V6YJ36YSsXuWgkNy2HyRAI9BYpdB394oD5WTS mG6OOWC6WOHeHgSJI+kUVf8CeKhBRVTcPTpgyN5SCdg2livD7IX4UiVnl5hUnsDKJ83k3yp3Bbvd /aohddeL6jbs7mEeHpOi43jDdzNKvyYL2NegQG2sy7pgZv4p1VCeBK5k4TuOQnCRdhgvClVcIoI8 NnvXGRK6NUB49MRu2u1WjfsXx0aAGGnqOqiHGOBlLkR+dM4kjxLwpEXygpImIkOX0BFSpERQGF7c 6WpCr+1T3HheIGUWtPVCodA2y9Pph4pI0s0ICT4CrwcPGtbRzPNRYOmDisitRp5P4FWm69r7wc7o MLKZJUAV9YBwTCvhq/5AaOkQvAZM9hoLk/4fmgHt7KoEs1t7HF0TTh5AAg5lvXpStbx2MrX7+4RO 2FHap73PNLQRE4FJ2cB1JbA+Ja3PU4pFcovPBeahjm+sBB4uHFaUNY++WfObEVGrFzvrfh8xYCyC hSVHHmBHXfv3+Jdfe6p+aVUmkg6v4bgOTjuj6JJZUWVveJw4+qSbv92d8lX5mpWoYlnyXiwLefyi rRQfSuXV0Y82mEhk5OG0OHq68uRTYdO9Fcht/4c0Nx5xpyJ/8gS1oEdeiOUikM9Y2Q8jrPsreqpi LwKQjr6PxBErj6EgFdguF+ABb+qYDaO3yBsmS0TlWDx0s/a8BAQE8fVOVdhUGZvSx86QuzhJJWdb e1zciVhyv4aKd5lerW1aGKYe7Ek/pP9F53dzyH8kw7X6kaIduWUuJN0DNk9VUEXUTsyax9DxmU9r NGRaipjSjsLXj8yRl2Qu0I85OMJHoDZQlsKENpEiWBljwFB6u/lmXIzip43039PGFJhYsWakEu9z mBmsSzqeIArqSrPcCgw7JAQAemTbSeEQW/0N22mIdkqdsCO3tTqq40M4t5M8T8TI5ZYKgPmke3Vr StUlXhWCMqCtKJWdF0HgOKdZ2eWG7L0lCdvrc5cVwuFoq5qJ6TZL21EtXBnJmimTcai+EjtaVue/ +gPfY+J9I215ZNZtCXR53+Fe4yVbOGPds0lNY5a5+Z8g3hb24x/aEFhW+hTyQGPdIwOdF5xoNdcE OqCm1sBSJ8p1O6mI9x592Yp90Pxu3iPPCQE22INPBOKjZSGv1nLGk1OEpFUXURV0r2y4mI1ydBbi KRZRdbHY4ybDkYdNQjimco6D9gjyPGKdWLaAXDfJ3QkK28yx3ulupx/kdstam2Ujnrk4GfERzPlv KOX2AtgMCiHJcduNFUqc/MqrLNz26EPNXPwDQeBtnE097JVDv2AF7tijYreSvKOoJ808wCsVCs7T LpKQe09P7NeGaBUUNeh2moIJxqHTJty17/TfjzA6qcJApejqU5eB9moVYUqxCODR3Fk7RmbVCxnu /v+w7BGAl0kRXdzu3fGviOYR+LkfyaSYybKpkD0peYceWLUFNI+ojH8IP2hN0ddpT7xnZXoF0ScL mz+Wsdr0Q+cQcsYDcWR4gungWS+wYkxdwGxUljZaHMJvjxEVGnKWo5vM8MSrKWRMoQdgdoIzm41R 4cV1r91FrDmt7BWtx0iSoHohySMJufpFdAkBVzq/zjrm00PbBaNmgxlH0lDjWp0UzZTFgHI+Y29J anHCDmC4locw0HefE3RtwE6+H1jdgyYPMI5hBA/9WwTRYgpbREd6qUyupH/TyBuStmakNhhtaUS7 dlqIktsf2bh0UwaFg/NDIHpy01XwKqgK+fs3j3gCS2l1nw3SOFfhkO8ntXeeK6dVCiE9VRt6ZKp7 UvRPrCKi4TdyFPxoI7TGj3aZrLIgTynzNWG/2W/KI9OQWXLRBN129+hkUEFiAa96tXpI/8DmHjhC VSytrynzJ0/uzLt6tGa0KI+9oB8kjbrzhS4ZUEZswya09hq1+m/diN5RuE4XucsT8Z43kiFddnKo PylUiQLPWiYRvmBDcGilHRMjvIitC2XbMKqMiDs5li+zCD25AtSz5JJ50NOXM5YFESRUutypDpXz yhzjp5UoMMW4OWxcvjwpMVfPhTj6JXJuKeCtkEeA6YGDXipElM2CqOx09GBb7NDF4rB0wlOT1V/Y 6+M5sf2gcGzXVAG/SmOzMYgUmb05sT5jPpDBx7wv3aDz3KgGBVCM9lhLCOZ4x8c3pvpzwBy3K6BP XIRdUfq6/8Vc/CwqPRzUMYvo9RoDg+f2IwVg8oOwdeh1TnbRWhxypxUMmoq0klrL4TsaHzY1C1IK ov5mKRvLZzSVMW3F3fRl7IYS6/v9WfSULwofZWO+3QESof70nW4uNSbXsL6xtFGEsht0oNpzPfM7 mb0UnIxeL2sjB+i09A/WfJx7CukJdLOQoQj7sD60WhdUakAswUDmcNktAk+uVcdgMkL55wq6w4Ph qKjMfVIWAvXKFWiSQ/uiLPexPVl98UxRpNAu2RHzvaO9EqhKctLkDslZni1I71sn8VFnkrYmtdUt J0nHjCqIY4fhcsOiKkagZg3ZaNl3R59De+xrC6kxzDtphqQv+Loc9Adp5o//aKna+Y2O24M5D7px hyvhH/CEO0yecc+Ew3UaULDtg8AISoTmunDpBgamwzxSPPvY2lSbPlo+3Hp0gZVlTSnNUzo8gkF0 UY0ayszg/W40MdG98ssr50COTw8ysOr/4eQ7FKhoG4cGM0k9tzL4/JuKk7z1lPl+nOSwnTPgNwGl wpfIp7xX6AG8N7MPFNpBAJfEHC2OQQkoafxT0ZyQxFAh7c1OzUafReVOXqsHnWpB0Wnz2SfZ25JN oreNQURhPa3BwFriev+5t2R7JVHSAqg99f50r8eR6hWhHc7dqUXQPWL5IullM8+MOyM927ABNwvG twhQUWhCUfv1FeNYEVIIVEk2EsbPQNKUHGPqM0lTNx8+6r0UADlWO0xl5Kb3406fYjfY0zrrblHL zDNPfPOPBb0++G63C3juuB0PPiKNbWXMM2swNP+BgRjHrkQXLX5br9lqTD32DLKrUM+lsmvGtXcT 2GF22YXWC2w8hx0EL+X5ZNbpeuCpZ20ZAG8cIpirdeCvJSqG/cW0aw/FEgZVfZ9E56IJ5c/RWhIV 4Lfdx1pWaXNdU8aPy+T9oCQuHJuVCTaB2CNg056srNxOWbE8+9u3vkdC8ngJ7yxMt8LNTCo1zBrQ IrlzzgtNozoTQS4dneO7kiC0YomR1jcIibrPGLGT6wSt8grSABmtw74rchr1B+6SDJUAnu/PRkQn Nfr6tf5gTTlxz2/m8I5C9lrPbSrFoUpXjYxVXCy3fAhd5uvjC5hMyk2YZTwgWAoXvLBOIfT8c4Vi 69bBUUhyy1nK7GTdpZViKaXvgdwX0uqItL2N75Rpbhs/VUkG84duKSNEz/H9tTsBrvW1Ww6zhvaE CP3WoPPrjxU1D6u04ZAS1TKUz0iGujrW3pXLP5S1RwQ9rDTuWmUdNGyK3OfUFJ5s4KIoEQWUkTOV WXewgeBWclEhAhzebmg7Gv0dAI2rPq88Zf6Lni/LdBFkPRub+kwl6XVyKj0TgS5NyMrolzBPfhVm IxKFoqb9WPwHWAxsz7vawbyA0nUgo1gFL8XYZLHPZC0WHh0Ps9MIMJ/4Jj5elPqqJ+PYCDCEmSSr njxjCEXPLSQZd1rb6WeG1nC0zsgR7LH7RGo/skw9nqnH+ZPEOgZLc5zM5aynNRw/ZH2uJS2Gzqw0 CzkhrskMqR7+239+90kKgtng8oYBVQGUU25ko8MRp7FI1ud3zOEBYZaKUxgXZNiW2kHO+2V0+bVh r+6KqKSk0sZL7B3+e/bQ7WWcnN0XQSFL6ecFKpJAgiju73KZSaeTpeGCB4+IThFQ+otpEYMQuDbG gK+UIAgQnfpz80lhtGvo06x5KT4YSrC1zef9TSVm4tJTvnwdEHAqpURin7xZ7PTfLuwXGN5RsIzR W06PHXlM6s3fkxhk2XCmtPjl7b/VcNiORhcgnoM2dqAffFlMoOATk/SIl5Fu7sfcc+R33+pr2AaH /f+hTGW3FKxIZWtbTEvndfaJg+h0URJ1oorJtBvijyaCc94MieTyld+78Z5zKbGJUnPXXef9RSPz u1p0w164cheMcZk2pRLoRpnr50kGRfGywMoh2DmhvJ6oCVKhlciOxImeFfE1mX+1kALiMeMll5bB +GTrCPQB5Gjz/OM/6WdAK+iHfiuc9zAdnFr5OTsWxBuBoMs0IRxIJ7d3aRC9o5tFVwd7G/fyCdze JzzRWo+dRAWBuMVn3Z09a1mbCLgE6WXnbmCGUE14b/g8LBvDiyxVhSdCP3RIEchi4Pk9z0xNHTaP rtv9zzGR9KydXP6zlsl3TnWMsDWdbhr+h6lXjikYHLB14mxLssfqEH5a2nmk70fxivRHqchKBJoT FvnnVSOHw4bM/120AQ6X19SlgzPBZZbKOp4kthWzG2bpa/MTVwxuGfqHyz08PjshQ+SkOKW3Cbpr 37G2e4WmKFjwoyR30KtabaT9AKDskLAtq3qHM6svZplb8GGenv90g3t2Tj7LMvvm64F74FaP3lCP kpgplvUEDHIVqcSmvSJzKDTLCeqUjidFqhDL83ncYZkEW0GceOpFe51sd+76idRFLtTjcEoVuMnj v905D6xs/HxGBZ1klaoYeozHKXydQxDdjw86pH1aXdGFMCHrVR2vXwfhPI7vABDnzcgjxqCvIuJH VjHdndJis+9us8w6OixkvNR9WRgdqrm7Cg0vRmRX76GwnpS09CtBgzsEwCLBKwfhd4ooMIFaFXmU iQmkgFslTsgHxDszRHI3a42NA/UEmZ4+g/N58iT3UD2WbQYeUWDheAjr+9QxfyxxL1V8rsALk7Q5 NK/1hY55xNO+MW0vxzdd/JHKfol3iJpOKh9xqclopZW1DenYlV5a1SwAiHqjnB5rQks4HceOW94L P79ouqwEM4Jo1Pi7x2vRLIuA1mrLMiI0MSurfss6v9eRZx+GX20aixcEi2k+tW7I320xgQf5Pq6B csQGDguCyS4/ba8Epl6mJOutuUreBbJwpghIVOfe1T1llqf9VQU2N8SIgcweHaa46cHaZajJC6Ul aQjrljVvGXn7+9Ay24zE2vrHYUlsMLrsOG8VHU1oeT4SickWLs9CfdzcZ7AJlckRkj+Hgmnx/bjj x/ACpzOoclQjQ5nsI9iyXRYUV0V5hpDKeXM/u/igz/uiNtcZlAXtVsFMqmwUsdD+0JfCA2wIPQQ6 QkVyKIrj3uWo7l1KEktbB846nwlXyh+RbEI+2FdP2E3iqIQFTYyoWCZG4loJZCnd5EeAncJOx1Yb PBrzz0CYK8a9ee+k+KfzcuBi7pCc3Q8O4OhkSLGScMr1qAFU/m/JtVsCmP3SVAFORXTihImQhhXa Gnd41t6g9F5we8AhP36xF+ELICn9j8ctAi32+uouLKUNN/4bb1rdnf8Gr/F+XKFZ/s6ovdFxUzs/ +cS/M16+EgJzTfc/vj0j/vWUGa+TJNlG08FK+eX0gcuXpi1m+Kqvn4evdYulpg2BAoU19oA8lEZs naqflRDXkZkh83fF1Sd19jWEhoS0lkEZ/BvqyS1IRakYWe8iOq1ae0ly52vNCqz+4rjQHPWtu/25 pxmZfL/12mw0nkk9jeMfyTCgZUYxBe3XFQW4VRyZ9qlh0k1p8VDB2P/9BvbiwdcnDDKm52dMdpfp iGFDKptkM64VtJxM0nnyUUh+RG8SM9FCiU9XgPJ1IzhYxRmDCA9s6Dg8Mnc6mvrZJnQk/q3SAanR 4rZweIR0Fp6T4J6S+sTfPxekP/PkUZIv7SVzd+UDq6o2jB7mgUo4wLWe5vzfTfoXVB8Bzh+rPXtV K9BExoUCoL72VDTo6AJsJkUorvkR+aWLei0be2WxeodfMGD0IT4l52zYiUe2GKGNfiFkeFBDwa2A l+bQa0m5x0ujAJn2sHK50eQZLvSXotENNFumDNWDaePSNndLVj6ovZbJoJQHfUDiUwFolL2m0RPS rJIZOILylOXgqoZNVzzYsOhuRyLDQcgVIyR5qzu5PHskekg+QrR3rOXIqd6g8v/Dpy5YSb5Sr1s8 18kKsGkTtO/R/5PyFyGYhNIfvGLCD+H8RXTfC443cJB2lHByDiR8mElxEj6j8JQFYJ5rfZ9fDHl9 n0qkLF8Es1JAL4j0mfriqAreNg6WvplneC3ZH5HYD8Xu97Rn7faJ5oqblNFTu7k1o0eSRUqR2wxg mDE26PlSy3UxcAkKjY8jBZ6sPTdAs8cOZtS+MLzh3PBeFVc/gRHQ+VUMp29tOZ2HJ9j4YK6ZK5lK gi6gqOhxsOtlrM8h7io7L6m6c0tWkzkZJWaCENR0SVHV8DoX4QGocuqvMdrZ4fqrHt7l0n+Wjqzo twa7kBlABd9sPOuJuCYmPYxBYMEksQU5oAf66yhFM03BN+Yr44whzQGlFRhfm4o/YLN7LOyurf2b 62ib2nmEpxGI4/bLV4/Gm6ReTo/dFD/0PTflk206zoOUxeyqijiEQFyPpuJtScvmN2+6Z+DEVwh3 pOp6OMzkmI+NGM97+P7uaBAtdsEhVrESq+hIqrelpJtb3XzqWirqdyfCv3zNmbu5CIRJz8GgjZsH KSXobtVY2tcCMiqEwmftIPVRBnXn4xqjEZHzEGkzwbctNn2wHCW293w6UQNAgC12Ilo1hY4Iz1KA 2g0qe/FXG15uFzl/RprqtTcC1TWtom1qK1Dv5Hb+Wad+Nh7GVN7MOo+MwLTEbm4PhIKt9OLwjHFY ppdsM3dSo8/NftM2aKzuXKWETPu58YlrbINXICfpEEx2+X24oRYGKFqBw/dBVLxKPxBCk2/sLYh3 uCmRiZEOLFGdgofa7BL0m0JXsn+l2CebPj23OQcCGI0YXIAaCarfXbQoxqK7ZuXMcWBETphfHYWo laG6XkLVyY7KDSAKCbIFRCtb+NXNrJFRqQQGI9ZquEeH3UMbOsoIa1bEYIL+iBEUDRO3BXMBIiyQ +S2KSqLeAsxwclQE6z9wqRafObVIoS/oWEd8jRVPgWX0Gy7oNikoSP/ICV1Te27gGc1IWF/LFzTv i0te4jXRV+ypNdINR+ANR/Ku3RHlXmUiJamVY0gWJu4MBGxK5e2a8Wr1KkJjCjIZSFuqCNckncBM N73BZGSNOCZTvUb5FVhJXc5dD5dZkVEyDHqaJPp+85oRlnOfIxEzClOTMRgA4vFw7piOV6iMjhYi x0RwUDhgFnV4Vdhi8yz8+Cjgg5HNTDRnWeRrhxEJkFIMF1D8v5rZ1bNKaX+PkH0PsAV8FdbX/sEA 1G0xymJnNP9UBQMtILkhNWtUuVjw6R50Bh4DmHnzskV1ymCfhtGuAUYuq8HkoFaxJUtnRFXX067j /6CRV7xWFmJLCdoTYi01OGKP6uBDIq0P1zgOcK2wCQuoKxpgZHU+AAprXoCMSfPh/mAMV2+m4TVn t26Vl81eLkEhHGCE7J5MK0J45CNAqFANMXtmizlDVHf88XVfOqe+YRJngWXMsYMNxv+o4goiplua 04AgpaYxD7Suv4lIIkmRuxzHv20/G66TucF6WVyNuG/2Od91Q+O5Sbbx9E+5R0EKUTFbJfQcs5r/ 51K1bWWcfvbVrYWw85kdRhqY5Dy0UzJS47vM5epq7YzrQi1pB+sFss2T4KsVkm0PH8SU6ei+qauy W/rFCyjk5yG9tXfKaKRBam9zWg1SeMRF7PrG6Ls8LeGbUmjLCO8/0L2HpmR4mXc2um2ks1MdChK9 XdJKypE/5H52Steh9e4WTfDBtN6HiqtH4NcKw4QUikUDoZ0OzsoZJAJDMeucjbJHWMch4+zKfcra 9kpqn+0qCX8CxfjaBai/wrbqIH4dz2sKQrNSBgmovbznfFbBb7XW3VfC3+ZylpkoVMeiSYNHk/OX p/5Oj+th4RtqjZ7/qR7txpe161IufB6Q9GYCoPeSP/tUb18YvTN2zrzsKntPc1vdR9qA8MmbX5de TeqjH9RQdIGGlpeEP5zrnbRjGoShmHZU7SeMZHjQZPjpA/FZ4MqD6s2WhxAMpYcKx8TN1naxNzkO ql6za3U/11Y8ElXqCDOobNORNtRe7OwY3Y2XJGRwtT3kSxqUEFgPJ3CvN41MybLE9mZw0amx3Jui sFZoWG+snyn4HZMWgzTrUwamx4Gi4lOC77ABt2sMVEdOsMzrb7Z+60V3TMx08rrZCnCvz4lDLvK0 6WSa8ghm4KClgchnp857dm2nZlfR+Er2AcNXId2Wx5lR7sVY9jm0mItuCZqrBKYC4fDDosV5/TpC u3PWXgm0frNQTfuZ9vSmQLwdL2mtNnk7WyUOeHL0RTaoBN/+/0Hv75DuJQgfbxdXM5r0iWB90bV1 K/c5XZSoYGExIaV5HyaCCsugudPTS6UsS9wtidIk/gaVkXMvK99SPVrXJiuArI4QzH4Osh/i3xr4 1IWYKNFsvSk9cb0uvmll+3A9iHeDaRqiSXVV2H8Gw0T/UiAv7hyttZB8AqEhtM3Sgs06UllZV8ru Yu5tg+bXZGByQR843m4Ts5bKVmR32lrrYdl98bobgCSY2rWI0PKe57B5jEtpZ1s4wOhbC0Mi6Kxv V6kgb5wV4vYE4o8j19/X9BVvNHy254bBfUXmrCxYK3m5MMn0I2O8DckBlE8AwzZOPW963ozf7WK8 wBJZMLj2oxIwYWwBSuq86+gynsT425T7wpHd2UxUBjRXpfsH7FvEDpfo+shWwNb5dxNAoaauZVaC VRCzXkGm4xzkKgbueRyv0/zvPWPHnfQCD74sB2VUZrxZ6r2OyCv4ptVgb14xQDXsGpY4xAL+vwPC Bdo6hXHEx9rPqkcphD9uEeOiQDFW3qjWc8pQxg11oayDv/HKXKCyBj7lX+GQ3fDU5F4VVIab+YKK E1iY58zxXSExR8HTiHRtBbu24obqM2kiJNmfns7gzQuBztm1bmvm98bdk41axttj+gcfJpCoRwbu WQb7qTuRaQDR5XXPI0O/V4ThzF0Fg0X1MzUAWc989U6jkt8mqJN1s8K8sEHZJsbFS4fV4L1GOAMY 5zhG99o01IPQxpB1vD6fIyDu5q4LNOYJsJuBioqtocDmZvt2/2a3gN+u2k+85ljZnwZtun2UFnqW IWTlnmyPP75Cr825YdUf1Vi1UdAdk4MHo/yVEHlbKV5T1b00K3ToGsVP8F0J00ZtoNalGhs+cgCY 3e3mrXPf8b9dbqPEvob5z85hbLvmOsabhOjLlq6dwxX/yBUGyxaRGG1HAVtSLgtgtrHTbmWrd5M4 T+fUz5mRSNkdmnvlZHPc5s9MdKrxQegZ/bwg1NRq2qFDrsp7l8cmdWrIOGkAZJM7mFFFra0ToHyA 1K0J7mIFafjk80XTXflBU51KISgNMb1aPi//gSRjI41d1Bs8CM30D9qP9EKt7Vprs+G5PcfN2Nds WfXnJpckUn/GKcB6gdy5FNHjEFDlZ+KuLxcVmWjYZos3J4Su+ZKAWzC/qCGIgw5pFv0b3uWVVlRq Muo0DIqlbrhh9mOji76tqqOTNncWG+onx5heoHtvbkwECvM7KVL/frdyrbnAHgOfgu7PNbW5pqKz SUKn/eYRk+2giwOWG1PS7IGOdEgGHLY5vttUB0bc3q0sMGnhQ3bYHg8ztGvozo5rCXUjWVkl4yPu Ff+LqmD4L9cc6h+YWDe67fVF693B8lmKXgrQE9OYUMSx0oo2L4w7KMEu9dOL9ghiYWKVLondkF2V C4dCcwPgUTwMUOJMB9cPbtwhwIFqHyBrYVOcUK7aiq5kdCJLHbCkBDLPD8yJZijQCOhodC0f38OR YgvrsbqnFs6IhmUrWlMKVr2VD924+SxvnCcLXHK3lY25+Llpd9OWEA/nBgX75T4Aq1UZeFBW+lOM iauXsktR2DOIDS/TQMy9l1S9lqvSJvhZQLlL00DVOTz7hSeizVQbIV2gsruH6+PSxsGJBHvTlwpq jBoC4VMLZFLW7g3WHiH+QgkbyLU0GMqd8ppk8bDulk+cGyALWwstnEXrRe6WHxJlhiL43L7lC/5v zKo6sWsoPzXfu2P1tntj4Fp+2OXSC+jMjDHmZcDJbRu8uxVvOxbKp3G2MNfjEhBsv0RjNue3Nqdo zE+ijVGu8nRKxrC5aW8SYZgK9LPPVujeQ56dHHcdfYtmk55Kf/0DXnDy0Y0hHMMQL9F1iAgd2vhW s1VlnKB0GqRrrE4Q2lUdzu42Wy9fLvdcotMVc3NLxUR8O3vApoGxacLf7pWf3h5ZwZ41mt2ntXZw sy/4nMXLHckB6FoxsfEIKwXxqQmrMJncQ34TlOdiaagB8zYUaSo8NU6ttZsPBW2PJnWPJiJdrCsl vWEQ7/JaKtAQB2PdLAZYUCnFdIB0ofXQbsPXNPRlssRCWcQ94ro2/m821zO9XeNufQ12PqRTvjLb SUwT08gIBd3BUzkfkCnTTm/OLvgds+9WNvGUw8UbZMBc4cxVOhWtrD5Ieq11SfefZf/l+5BrQ+PO f2dBp5kLCrrIffaI4JIks6sw4LfsN6F9sxGGUOUepWDnfnFQ9hzDgApcE0ySyREP8yE80zZTje1w fYVbHXfcw22z9z9ZKvx7qwSwnGVPqRsF9E5bG2wyjNx9V31urFmADi2xSHy5rIlCDEvG4Mhy5Cbu Ae0o2skNqCTrVNJooXzAeWBVi8fDeGyxs9+rRm6o1W3rGGofJIuAhMCdFX8jATyO4x3iQEMENScy 6KBvW70VQfCOFArpH9fLpig2l40fCc9uQO1xMmzL3jS85S9+ho07M05y8JRsmnZQJH0/VZdS+iuY aqUGM33+hID1feDYX62LMcQH+hGQlueuTLeLyGPIzIfBejXt/rnft8d3jxlVtXIG/r/ft2lB2rUI C363l3NKufOdhV2vfEJ2Q2N81KLZjuIh1+0B/vpcY+1WvFhncE8hqfbR2PjvWqoa1AmlPeNreHuy On/bAmFyrKMYapNA4B5NKbf9oncMlQ3k9CwZ1/S7xpfgnFjmHTZYX+m9q3rDrF4slBcjPjE74JZA 9A+sY4IRB7/85f02/Fx7eDKhncd1AGrcddZLGDrxidkg8jN0kduSFCY2Ge+5UucMHIGtwQHm6GOf ykMmPhWM1VNPx6m+jpEJHFcnYE0A6j9yF4X/mX04zchLR4hUTTjh2tLBbqcvGkgN0kjqtR5S9tWI D+8p0Z2qSKL/lXtVmHbftAEDUAjjwUWSEzqNehNvmP0mg0cj2g== `protect end_protected
------------------------------------------------------------------------------- -- _________ _____ _____ ____ _____ ___ ____ -- -- |_ ___ | |_ _| |_ _| |_ \|_ _| |_ ||_ _| -- -- | |_ \_| | | | | | \ | | | |_/ / -- -- | _| | | _ | | | |\ \| | | __'. -- -- _| |_ _| |__/ | _| |_ _| |_\ |_ _| | \ \_ -- -- |_____| |________| |_____| |_____|\____| |____||____| -- -- -- ------------------------------------------------------------------------------- -- -- -- Adjustable PWM Signal Generator -- -- -- ------------------------------------------------------------------------------- -- Copyright 2014 NTB University of Applied Sciences in Technology -- -- -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- -- you may not use this file except in compliance with the License. -- -- You may obtain a copy of the License at -- -- -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- -- -- Unless required by applicable law or agreed to in writing, software -- -- distributed under the License is distributed on an "AS IS" BASIS, -- -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- -- See the License for the specific language governing permissions and -- -- limitations under the License. -- ------------------------------------------------------------------------------- -- Based on the PWM block of Marco Tinner from the AirBotOne project LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; PACKAGE adjustable_pwm_pkg IS COMPONENT adjustable_pwm IS GENERIC(frequency_resolution : INTEGER := 32); PORT ( sl_clk : IN STD_LOGIC; sl_reset_n : IN STD_LOGIC; slv_frequency_divider : IN UNSIGNED(frequency_resolution-1 DOWNTO 0); slv_ratio : IN UNSIGNED(frequency_resolution-1 DOWNTO 0); sl_pwm : OUT STD_LOGIC ); END COMPONENT adjustable_pwm; END PACKAGE adjustable_pwm_pkg; LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE work.adjustable_pwm_pkg.ALL; ENTITY adjustable_pwm IS GENERIC(frequency_resolution : INTEGER := 32); PORT ( sl_clk : IN STD_LOGIC; sl_reset_n : IN STD_LOGIC; slv_frequency_divider : IN UNSIGNED(frequency_resolution-1 DOWNTO 0); -- pwm frequency divider for example if this value is 2 the pwm output frequency is f_sl_clk/2 slv_ratio : IN UNSIGNED(frequency_resolution-1 DOWNTO 0); -- the high time part in clk cyles this value has alway to be smaller than the slv_frequency_divider sl_pwm : OUT STD_LOGIC ); END ENTITY adjustable_pwm; ARCHITECTURE rtl OF adjustable_pwm IS SIGNAL cycle_counter : UNSIGNED(frequency_resolution-1 DOWNTO 0) := (OTHERS => '0'); BEGIN proc : PROCESS (sl_reset_n,sl_clk) BEGIN IF sl_reset_n = '0' THEN sl_pwm <= '0'; cycle_counter <= (OTHERS => '0'); ELSIF rising_edge(sl_clk) THEN IF slv_ratio > slv_frequency_divider THEN sl_pwm <= '0'; cycle_counter <= (OTHERS => '0'); ELSIF cycle_counter >= slv_frequency_divider THEN sl_pwm <= '0'; cycle_counter <= (OTHERS => '0'); ELSIF cycle_counter < slv_ratio THEN sl_pwm <= '1'; cycle_counter <= cycle_counter + 1; ELSE sl_pwm <= '0'; cycle_counter <= cycle_counter + 1; END IF; END IF; END PROCESS proc; END ARCHITECTURE rtl;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity add_474 is port ( result : out std_logic_vector(31 downto 0); in_a : in std_logic_vector(31 downto 0); in_b : in std_logic_vector(31 downto 0) ); end add_474; architecture augh of add_474 is signal carry_inA : std_logic_vector(33 downto 0); signal carry_inB : std_logic_vector(33 downto 0); signal carry_res : std_logic_vector(33 downto 0); begin -- To handle the CI input, the operation is '1' + CI -- If CI is not present, the operation is '1' + '0' carry_inA <= '0' & in_a & '1'; carry_inB <= '0' & in_b & '0'; -- Compute the result carry_res <= std_logic_vector(unsigned(carry_inA) + unsigned(carry_inB)); -- Set the outputs result <= carry_res(32 downto 1); end architecture;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity add_474 is port ( result : out std_logic_vector(31 downto 0); in_a : in std_logic_vector(31 downto 0); in_b : in std_logic_vector(31 downto 0) ); end add_474; architecture augh of add_474 is signal carry_inA : std_logic_vector(33 downto 0); signal carry_inB : std_logic_vector(33 downto 0); signal carry_res : std_logic_vector(33 downto 0); begin -- To handle the CI input, the operation is '1' + CI -- If CI is not present, the operation is '1' + '0' carry_inA <= '0' & in_a & '1'; carry_inB <= '0' & in_b & '0'; -- Compute the result carry_res <= std_logic_vector(unsigned(carry_inA) + unsigned(carry_inB)); -- Set the outputs result <= carry_res(32 downto 1); end architecture;
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2016 -- Module Name: VGA Toplevel -- Project Name: VGA Toplevel -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: vga debug unit test --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.all; entity VGA_Debug is Port ( CLK : in STD_LOGIC; BTN : in STD_LOGIC_VECTOR (3 downto 0); SW : in STD_LOGIC_VECTOR (7 downto 0); HSYNC : out STD_LOGIC; VSYNC : out STD_LOGIC; VGARED : out STD_LOGIC_VECTOR (2 downto 0); VGAGRN : out STD_LOGIC_VECTOR (2 downto 0); VGABLU : out STD_LOGIC_VECTOR (1 downto 0)); end VGA_Debug; architecture Structural of VGA_Debug is signal RST : STD_LOGIC := '0'; signal DATA_WE : STD_LOGIC := '0'; signal DATA_ADR: STD_LOGIC_VECTOR(11 downto 0) := (OTHERS => '0'); signal DATA : STD_LOGIC_VECTOR(7 downto 0) := (OTHERS => '0'); signal DBTN : STD_LOGIC_VECTOR(3 downto 0) := (OTHERS => '0'); type DEBUG_STATE_TYPE IS (INIT, READY, ARMED, TRIGGER, RESET, DUMP, CLR); signal DEBUG_STATE: DEBUG_STATE_TYPE; signal DEBUG_CNT : STD_LOGIC_VECTOR(3 downto 0) := (OTHERS => '0'); signal DEBUG_RUN_FLAG: STD_LOGIC := '0'; signal DEBUG_CLR_FLAG: STD_LOGIC := '0'; --ALU signal RA : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); signal RB : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); signal OPCODE : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal CCR : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal ALU_OUT : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); signal LDST_OUT : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); --Debug Buffer: -- DEBUG DATA: [RA][RB][OPCODE][ALU_OUT][CCR] = [8][8][4][8][4] [8] signal DEBUG_DATA : STD_LOGIC_VECTOR (31 downto 0) := (OTHERS => '0'); -- Changed from 31 to 39 to 71 signal DEBUG_RAM_EN : STD_LOGIC := '0'; signal DEBUG_OUT_DATA : STD_LOGIC_VECTOR(3 downto 0) := (OTHERS => '0'); -- Changed from 3 to 7 --Debug Run Process type RUN_STATE_TYPE IS (INIT, READY, RUN, COMPLETE); signal RUN_STATE: RUN_STATE_TYPE := INIT; signal RUN_FLAG: STD_LOGIC := '0'; signal RUN_COMPLETE: STD_LOGIC := '0'; --DEBUG BUFFER SEND signal DD_WE : STD_LOGIC := '0'; signal DB_DATA_ADR : STD_LOGIC_VECTOR(11 downto 0) := (OTHERS => '0'); signal DB_DATA : STD_LOGIC_VECTOR(7 downto 0) := (OTHERS => '0'); --Data Dump Process type DD_STATE_TYPE IS (INIT, READY, RUN, SPACE, COMPLETE); signal DD_STATE: DD_STATE_TYPE := INIT; signal DD_ADR : STD_LOGIC_VECTOR(6 downto 0) := (OTHERS => '0'); signal NEW_SIG_ADR : STD_LOGIC_VECTOR(6 DOWNTO 0) := (OTHERS => '0'); --New signal added for Debug signal DD_FLAG: STD_LOGIC := '0'; signal DD_COMPLETE: STD_LOGIC := '0'; signal DD_SPACE_COMPLETE : STD_LOGIC := '0'; signal DD_SPACE_MUX : STD_LOGIC := '0'; signal DD_DATA : STD_LOGIC_VECTOR(7 downto 0) := (OTHERS => '0'); signal DD_ADR_8 : STD_LOGIC_VECTOR(2 downto 0) := (OTHERS => '0'); --Chagned from 4 bits to 3 bits --CLEAR DATA SIGNALS type VGACLR_STATE_TYPE IS (INIT, READY, RUN, COMPLETE); signal VGACLR_STATE: VGACLR_STATE_TYPE := INIT; signal VGACLR_FLAG: STD_LOGIC := '0'; signal VGACLR_COMPLETE: STD_LOGIC := '0'; signal VGACLR_MUX : STD_LOGIC := '0'; signal VGACLR_WE : STD_LOGIC := '0'; signal VGACLR_ADR : STD_LOGIC_VECTOR(11 downto 0) := (OTHERS => '0'); signal VGACLR_DATA: STD_LOGIC_VECTOR(7 downto 0) := x"20"; signal TEST_PIN : STD_LOGIC := '0'; begin RUN_FLAG <= DBTN(0); DD_FLAG <= DBTN(1); VGACLR_FLAG <= DBTN(2); RST <= DBTN(3); VGACLR_DATA <= SW; DEBUG_DATA <= RA & RB & OPCODE & ALU_OUT & CCR; --DEBUG_DATA <= CCR & ALU_OUT & OPCODE & RB & RA; DB_DATA_ADR(6 downto 0) <= DD_ADR; ---- REMEMBER THIS SIMBA!!!!! DB_DATA_ADR(11 downto 7) <= (OTHERS => '0'); DD_ADR_8 <= NEW_SIG_ADR(2 downto 0); --Changed from 4 bits to 3 bits --Changed from DD_ADR to NEW_SIG_ADR U1: entity work.VGA_DRIVER -- port map( CLK => CLK, RST => RST, DATA_CLK => CLK, DATA_WE => DATA_WE, DATA_ADR => DATA_ADR, DATA => DATA, HSYNC => HSYNC, VSYNC => VSYNC, VGARED => VGARED, VGAGRN => VGAGRN, VGABLU => VGABLU); U2: entity work.buttoncontrol -- port map( CLK => CLK, INPUT => BTN, OUTPUT=> DBTN); U3: entity work.ALU -- port map( CLK => CLK, RA => RA, RB => RB, OPCODE => OPCODE, CCR => CCR, ALU_OUT => ALU_OUT, LDST_OUT=> LDST_OUT); U4: entity work.DEBUG_RAM port map( CLKA => CLK, WEA(0)=> DEBUG_RAM_EN, ADDRA => DEBUG_CNT, DINA => DEBUG_DATA, CLKB => CLK, ADDRB => NEW_SIG_ADR, --Changed DD_ADR to NEW_SIG_ADR DOUTB => DEBUG_OUT_DATA); U5: entity work.Data_Decode port map( HEXNUM => DEBUG_OUT_DATA, ASCIINUM => DB_DATA); --TEST VALUES WITH DEBUG_CNT SELECT RA <= x"00" WHEN x"0", --changed from 00 to 12 and back x"01" WHEN x"1", --changed from 01 to 34 and back x"04" WHEN x"2", --changed from 04 to 12 and back x"08" WHEN x"3", --changed from 08 to 78 and back x"42" WHEN x"4", --changed from 42 to 98 and back x"FF" WHEN OTHERS; WITH DEBUG_CNT SELECT RB <= x"00" WHEN x"0", --changed from 00 to 12 and back x"01" WHEN x"1", --changed from 01 to 34 and back x"04" WHEN x"2", --changed from 04 to 12 and back x"08" WHEN x"3", --changed from 08 to 78 and back x"42" WHEN x"4", --changed from 42 to 98 and back x"FF" WHEN OTHERS; WITH DEBUG_CNT SELECT OPCODE <= x"0" WHEN x"0", x"0" WHEN x"1", x"1" WHEN x"2", x"2" WHEN x"3", x"3" WHEN x"4", x"4" WHEN x"5", x"5" WHEN OTHERS; --Debug Run Process DEBUG_RUN: PROCESS(RUN_FLAG,CLK) BEGIN IF(RST = '1') THEN RUN_STATE <= INIT; ELSIF(RISING_EDGE(CLK)) THEN CASE RUN_STATE IS WHEN INIT => RUN_STATE <= READY; DEBUG_CNT <= (OTHERS => '0'); DEBUG_RAM_EN <= '0'; WHEN READY => IF(RUN_FLAG = '1') THEN DEBUG_RAM_EN <= '1'; RUN_STATE <= RUN; END IF; WHEN RUN => if (DD_ADR = x"F") then --Changed from x"F" to DEBUG_CNT RUN_STATE <= COMPLETE; DEBUG_RAM_EN <= '0'; else DEBUG_CNT <= DEBUG_CNT + 1; end if; WHEN COMPLETE => IF(RUN_FLAG = '0') THEN RUN_COMPLETE <= '0'; RUN_STATE <= INIT; ELSE RUN_COMPLETE <= '1'; END IF; WHEN OTHERS => RUN_STATE <= INIT; END CASE; END IF; END PROCESS DEBUG_RUN; --Dump Data from debug buffer DATADUMP: PROCESS(DD_FLAG,CLK) BEGIN IF(RST = '1') THEN DD_STATE <= INIT; ELSIF(RISING_EDGE(CLK)) THEN CASE DD_STATE IS WHEN INIT => DD_ADR <= (OTHERS => '0'); DD_WE <= '0'; DD_STATE <= READY; DD_SPACE_COMPLETE <= '0'; WHEN READY => IF(DD_FLAG = '1') THEN DD_WE <= '1'; DD_STATE <= RUN; END IF; WHEN RUN => if (DD_ADR = x"4F") then --4F = 128 => limit of DEBUG DD_ADR <= DD_ADR + 1; NEW_SIG_ADR <= NEW_SIG_ADR + 1; --Added DD_WE <= '0'; DD_STATE <= COMPLETE; else if(DD_ADR_8 = "111") THEN if(DD_SPACE_COMPLETE = '1') THEN DD_ADR <= DD_ADR + 2; --Changed increment from 1 to 2 NEW_SIG_ADR <= NEW_SIG_ADR + 1; --Added DD_SPACE_COMPLETE <= '0'; else DD_SPACE_COMPLETE <= '1'; DD_SPACE_MUX <= '1'; DD_STATE <= SPACE; end if; else DD_ADR <= DD_ADR + 1; NEW_SIG_ADR <= NEW_SIG_ADR + 1; --Added end if; end if; WHEN SPACE => DD_SPACE_MUX <= '0'; DD_STATE <= RUN; WHEN COMPLETE => IF(DD_FLAG = '0') THEN DD_COMPLETE <= '0'; DD_STATE <= INIT; ELSE DD_COMPLETE <= '1'; END IF; WHEN OTHERS => DD_STATE <= INIT; END CASE; END IF; END PROCESS DATADUMP; -- DD_DATA <= DB_DATA; WITH DD_SPACE_MUX SELECT DD_DATA <= DB_DATA WHEN '0', VGACLR_DATA WHEN '1', DB_DATA WHEN OTHERS; --Clear the entire VGA Buffer VGACLR: PROCESS(VGACLR_FLAG,CLK) BEGIN IF(RST = '1') THEN VGACLR_STATE <= INIT; ELSIF(RISING_EDGE(CLK)) THEN CASE VGACLR_STATE IS WHEN INIT => VGACLR_ADR <= (OTHERS => '0'); VGACLR_MUX <= '0'; VGACLR_WE <= '0'; VGACLR_STATE <= READY; WHEN READY => IF(VGACLR_FLAG = '1') THEN VGACLR_MUX <= '1'; VGACLR_WE <= '1'; VGACLR_STATE <= RUN; END IF; WHEN RUN => if (VGACLR_ADR = x"FFF") then --Process complete VGACLR_ADR <= VGACLR_ADR + 1; VGACLR_WE <= '0'; VGACLR_STATE <= COMPLETE; else VGACLR_ADR <= VGACLR_ADR + 1; end if; WHEN COMPLETE => IF(VGACLR_FLAG = '0') THEN VGACLR_COMPLETE <= '0'; VGACLR_STATE <= INIT; ELSE VGACLR_COMPLETE <= '1'; END IF; WHEN OTHERS => VGACLR_STATE <= INIT; END CASE; END IF; END PROCESS VGACLR; --VGA_CLR MUX's WITH VGACLR_MUX SELECT DATA_WE <= DD_WE WHEN '0', VGACLR_WE WHEN '1', DD_WE WHEN OTHERS; WITH VGACLR_MUX SELECT DATA_ADR <= DB_DATA_ADR WHEN '0', VGACLR_ADR WHEN '1', DB_DATA_ADR WHEN OTHERS; WITH VGACLR_MUX SELECT DATA <= DD_DATA WHEN '0', VGACLR_DATA WHEN '1', DD_DATA WHEN OTHERS; end Structural;
architecture RTL of ENTITY1 is function FUNC1 (A : in natural) return natural is variable temp : natural; begin temp := A; while (temp /= 10) loop temp := temp + 1; end loop; while (temp /= 20) loop temp := temp + 1; end loop; while (temp /= 30) loop temp := temp + 1; end loop; return temp; end function FUNC1; begin end architecture RTL;
-- $Id: ibd_kw11l.vhd 1181 2019-07-08 17:00:50Z mueller $ -- SPDX-License-Identifier: GPL-3.0-or-later -- Copyright 2008-2019 by Walter F.J. Mueller <[email protected]> -- ------------------------------------------------------------------------------ -- Module Name: ibd_kw11l - syn -- Description: ibus dev(loc): KW11-L (line clock) -- -- Dependencies: - -- Test bench: - -- Target Devices: generic -- Tool versions: ise 8.2-14.7; viv 2017.2; ghdl 0.18-0.35 -- -- Synthesized (xst): -- Date Rev ise Target flop lutl lutm slic t peri -- 2010-10-17 333 12.1 M53d xc3s1000-4 9 23 0 14 s 5.3 -- 2009-07-11 232 10.1.03 K39 xc3s1000-4 8 25 0 15 s 5.3 -- -- Revision History: -- Date Rev Version Comment -- 2019-04-24 1138 1.2.1 add csr.ir; csr only loc writable; -- csr.moni can be cleared, but not set by loc write -- 2015-05-09 676 1.2 add CPUSUSP, freeze timer when cpu suspended -- 2011-11-18 427 1.1.1 now numeric_std clean -- 2010-10-17 333 1.1 use ibus V2 interface -- 2009-06-01 221 1.0.5 BUGFIX: add RESET; don't clear tcnt on ibus reset -- 2008-08-22 161 1.0.4 use iblib; add EI_ACK to proc_next sens. list -- 2008-05-09 144 1.0.3 use intreq flop, use EI_ACK -- 2008-01-20 112 1.0.2 fix proc_next sensitivity list; use BRESET -- 2008-01-06 111 1.0.1 Renamed to ibd_kw11l (RRI_REQ not used) -- 2008-01-05 110 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.slvtypes.all; use work.iblib.all; -- ---------------------------------------------------------------------------- entity ibd_kw11l is -- ibus dev(loc): KW11-L (line clock) -- fixed address: 177546 port ( CLK : in slbit; -- clock CE_MSEC : in slbit; -- msec pulse RESET : in slbit; -- system reset BRESET : in slbit; -- ibus reset CPUSUSP : in slbit; -- cpu suspended IB_MREQ : in ib_mreq_type; -- ibus request IB_SRES : out ib_sres_type; -- ibus response EI_REQ : out slbit; -- interrupt request EI_ACK : in slbit -- interrupt acknowledge ); end ibd_kw11l; architecture syn of ibd_kw11l is constant ibaddr_kw11l : slv16 := slv(to_unsigned(8#177546#,16)); constant lks_ibf_moni : integer := 7; constant lks_ibf_ie : integer := 6; constant lks_ibf_ir : integer := 5; constant twidth : natural := 5; constant tdivide : natural := 20; type regs_type is record -- state registers ibsel : slbit; -- ibus select ie : slbit; -- interrupt enable moni : slbit; -- monitor bit intreq : slbit; -- interrupt request tcnt : slv(twidth-1 downto 0); -- timer counter end record regs_type; constant regs_init : regs_type := ( '0', -- ibsel '0', -- ie '1', -- moni (set on reset !!) '0', -- intreq (others=>'0') -- tcnt ); signal R_REGS : regs_type := regs_init; signal N_REGS : regs_type := regs_init; begin proc_regs: process (CLK) begin if rising_edge(CLK) then if BRESET = '1' then -- BRESET is 1 for system and ibus reset R_REGS <= regs_init; if RESET = '0' then -- if RESET=0 we do just an ibus reset R_REGS.tcnt <= N_REGS.tcnt; -- don't clear msec tick counter end if; else R_REGS <= N_REGS; end if; end if; end process proc_regs; proc_next : process (R_REGS, IB_MREQ, CE_MSEC, CPUSUSP, EI_ACK) variable r : regs_type := regs_init; variable n : regs_type := regs_init; variable idout : slv16 := (others=>'0'); variable ibreq : slbit := '0'; variable ibw0 : slbit := '0'; begin r := R_REGS; n := R_REGS; idout := (others=>'0'); ibreq := IB_MREQ.re or IB_MREQ.we; ibw0 := IB_MREQ.we and IB_MREQ.be0; -- ibus address decoder n.ibsel := '0'; if IB_MREQ.aval='1' and IB_MREQ.addr=ibaddr_kw11l(12 downto 1) then n.ibsel := '1'; end if; -- ibus output driver if r.ibsel = '1' then idout(lks_ibf_moni) := r.moni; idout(lks_ibf_ie) := r.ie; if IB_MREQ.racc = '1' then -- rri --------------------- idout(lks_ibf_ir) := r.intreq; end if; end if; -- ibus write transactions if r.ibsel='1' and ibw0='1' then if IB_MREQ.racc = '0' then -- cpu --------------------- n.ie := IB_MREQ.din(lks_ibf_ie); if IB_MREQ.din(lks_ibf_moni) = '0' then -- write 0 to moni n.moni := '0'; -- clears moni end if; if IB_MREQ.din(lks_ibf_ie) = '0' then -- ie set 0 n.intreq := '0'; -- cancel interrupt end if; end if; end if; -- other state changes if CE_MSEC='1' and CPUSUSP='0' then -- on msec and not suspended n.tcnt := slv(unsigned(r.tcnt) + 1); if unsigned(r.tcnt) = tdivide-1 then n.tcnt := (others=>'0'); n.moni := '1'; if r.ie = '1' then n.intreq := '1'; end if; end if; end if; if EI_ACK = '1' then n.intreq := '0'; end if; N_REGS <= n; IB_SRES.dout <= idout; IB_SRES.ack <= r.ibsel and ibreq; IB_SRES.busy <= '0'; EI_REQ <= r.intreq; end process proc_next; end syn;
------------------------------------------------------------------------------- -- system_xps_central_dma_1_wrapper.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; library xps_central_dma_v2_03_a; use xps_central_dma_v2_03_a.all; entity system_xps_central_dma_1_wrapper is port ( SPLB_Clk : in std_logic; SPLB_Rst : in std_logic; MPLB_Clk : in std_logic; MPLB_Rst : in std_logic; SPLB_ABus : in std_logic_vector(0 to 31); SPLB_BE : in std_logic_vector(0 to 7); SPLB_UABus : in std_logic_vector(0 to 31); SPLB_PAValid : in std_logic; SPLB_SAValid : in std_logic; SPLB_rdPrim : in std_logic; SPLB_wrPrim : in std_logic; SPLB_masterID : in std_logic_vector(0 to 2); SPLB_abort : in std_logic; SPLB_busLock : in std_logic; SPLB_RNW : in std_logic; SPLB_MSize : in std_logic_vector(0 to 1); SPLB_size : in std_logic_vector(0 to 3); SPLB_type : in std_logic_vector(0 to 2); SPLB_lockErr : in std_logic; SPLB_wrDBus : in std_logic_vector(0 to 63); SPLB_wrBurst : in std_logic; SPLB_rdBurst : in std_logic; SPLB_wrPendReq : in std_logic; SPLB_rdPendReq : in std_logic; SPLB_wrPendPri : in std_logic_vector(0 to 1); SPLB_rdPendPri : in std_logic_vector(0 to 1); SPLB_reqPri : in std_logic_vector(0 to 1); SPLB_TAttribute : in std_logic_vector(0 to 15); Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_wrBTerm : out std_logic; Sl_rdDBus : out std_logic_vector(0 to 63); Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_rdBTerm : out std_logic; Sl_MBusy : out std_logic_vector(0 to 5); Sl_MWrErr : out std_logic_vector(0 to 5); Sl_MRdErr : out std_logic_vector(0 to 5); Sl_MIRQ : out std_logic_vector(0 to 5); IP2INTC_Irpt : out std_logic; MPLB_MAddrAck : in std_logic; MPLB_MSSize : in std_logic_vector(0 to 1); MPLB_MRearbitrate : in std_logic; MPLB_MTimeout : in std_logic; MPLB_MBusy : in std_logic; MPLB_MRdErr : in std_logic; MPLB_MWrErr : in std_logic; MPLB_MIRQ : in std_logic; MPLB_MRdDBus : in std_logic_vector(0 to 63); MPLB_MRdWdAddr : in std_logic_vector(0 to 3); MPLB_MRdDAck : in std_logic; MPLB_MRdBTerm : in std_logic; MPLB_MWrDAck : in std_logic; MPLB_MWrBTerm : in std_logic; M_request : out std_logic; M_priority : out std_logic_vector(0 to 1); M_busLock : out std_logic; M_RNW : out std_logic; M_BE : out std_logic_vector(0 to 7); M_MSize : out std_logic_vector(0 to 1); M_size : out std_logic_vector(0 to 3); M_type : out std_logic_vector(0 to 2); M_TAttribute : out std_logic_vector(0 to 15); M_lockErr : out std_logic; M_abort : out std_logic; M_UABus : out std_logic_vector(0 to 31); M_ABus : out std_logic_vector(0 to 31); M_wrDBus : out std_logic_vector(0 to 63); M_wrBurst : out std_logic; M_rdBurst : out std_logic ); attribute x_core_info : STRING; attribute x_core_info of system_xps_central_dma_1_wrapper : entity is "xps_central_dma_v2_03_a"; end system_xps_central_dma_1_wrapper; architecture STRUCTURE of system_xps_central_dma_1_wrapper is component xps_central_dma is generic ( C_FIFO_DEPTH : INTEGER; C_RD_BURST_SIZE : INTEGER; C_WR_BURST_SIZE : INTEGER; C_BASEADDR : std_logic_vector; C_HIGHADDR : std_logic_vector; C_SPLB_DWIDTH : INTEGER; C_SPLB_AWIDTH : INTEGER; C_SPLB_NUM_MASTERS : INTEGER; C_SPLB_MID_WIDTH : INTEGER; C_SPLB_P2P : INTEGER; C_SPLB_NATIVE_DWIDTH : INTEGER; C_MPLB_NATIVE_DWIDTH : INTEGER; C_SPLB_SUPPORT_BURSTS : INTEGER; C_MPLB_AWIDTH : INTEGER; C_MPLB_DWIDTH : INTEGER; C_FAMILY : STRING ); port ( SPLB_Clk : in std_logic; SPLB_Rst : in std_logic; MPLB_Clk : in std_logic; MPLB_Rst : in std_logic; SPLB_ABus : in std_logic_vector(0 to (C_SPLB_AWIDTH-1)); SPLB_BE : in std_logic_vector(0 to ((C_SPLB_DWIDTH/8)-1)); SPLB_UABus : in std_logic_vector(0 to 31); SPLB_PAValid : in std_logic; SPLB_SAValid : in std_logic; SPLB_rdPrim : in std_logic; SPLB_wrPrim : in std_logic; SPLB_masterID : in std_logic_vector(0 to (C_SPLB_MID_WIDTH-1)); SPLB_abort : in std_logic; SPLB_busLock : in std_logic; SPLB_RNW : in std_logic; SPLB_MSize : in std_logic_vector(0 to 1); SPLB_size : in std_logic_vector(0 to 3); SPLB_type : in std_logic_vector(0 to 2); SPLB_lockErr : in std_logic; SPLB_wrDBus : in std_logic_vector(0 to (C_SPLB_DWIDTH-1)); SPLB_wrBurst : in std_logic; SPLB_rdBurst : in std_logic; SPLB_wrPendReq : in std_logic; SPLB_rdPendReq : in std_logic; SPLB_wrPendPri : in std_logic_vector(0 to 1); SPLB_rdPendPri : in std_logic_vector(0 to 1); SPLB_reqPri : in std_logic_vector(0 to 1); SPLB_TAttribute : in std_logic_vector(0 to 15); Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_wrBTerm : out std_logic; Sl_rdDBus : out std_logic_vector(0 to (C_SPLB_DWIDTH-1)); Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_rdBTerm : out std_logic; Sl_MBusy : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1)); Sl_MWrErr : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1)); Sl_MRdErr : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1)); Sl_MIRQ : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1)); IP2INTC_Irpt : out std_logic; MPLB_MAddrAck : in std_logic; MPLB_MSSize : in std_logic_vector(0 to 1); MPLB_MRearbitrate : in std_logic; MPLB_MTimeout : in std_logic; MPLB_MBusy : in std_logic; MPLB_MRdErr : in std_logic; MPLB_MWrErr : in std_logic; MPLB_MIRQ : in std_logic; MPLB_MRdDBus : in std_logic_vector(0 to (C_MPLB_DWIDTH-1)); MPLB_MRdWdAddr : in std_logic_vector(0 to 3); MPLB_MRdDAck : in std_logic; MPLB_MRdBTerm : in std_logic; MPLB_MWrDAck : in std_logic; MPLB_MWrBTerm : in std_logic; M_request : out std_logic; M_priority : out std_logic_vector(0 to 1); M_busLock : out std_logic; M_RNW : out std_logic; M_BE : out std_logic_vector(0 to ((C_MPLB_DWIDTH/8)-1)); M_MSize : out std_logic_vector(0 to 1); M_size : out std_logic_vector(0 to 3); M_type : out std_logic_vector(0 to 2); M_TAttribute : out std_logic_vector(0 to 15); M_lockErr : out std_logic; M_abort : out std_logic; M_UABus : out std_logic_vector(0 to 31); M_ABus : out std_logic_vector(0 to (C_MPLB_AWIDTH-1)); M_wrDBus : out std_logic_vector(0 to (C_MPLB_DWIDTH-1)); M_wrBurst : out std_logic; M_rdBurst : out std_logic ); end component; begin xps_central_dma_1 : xps_central_dma generic map ( C_FIFO_DEPTH => 8, C_RD_BURST_SIZE => 8, C_WR_BURST_SIZE => 8, C_BASEADDR => X"80200000", C_HIGHADDR => X"8020ffff", C_SPLB_DWIDTH => 64, C_SPLB_AWIDTH => 32, C_SPLB_NUM_MASTERS => 6, C_SPLB_MID_WIDTH => 3, C_SPLB_P2P => 0, C_SPLB_NATIVE_DWIDTH => 32, C_MPLB_NATIVE_DWIDTH => 32, C_SPLB_SUPPORT_BURSTS => 0, C_MPLB_AWIDTH => 32, C_MPLB_DWIDTH => 64, C_FAMILY => "virtex5" ) port map ( SPLB_Clk => SPLB_Clk, SPLB_Rst => SPLB_Rst, MPLB_Clk => MPLB_Clk, MPLB_Rst => MPLB_Rst, SPLB_ABus => SPLB_ABus, SPLB_BE => SPLB_BE, SPLB_UABus => SPLB_UABus, SPLB_PAValid => SPLB_PAValid, SPLB_SAValid => SPLB_SAValid, SPLB_rdPrim => SPLB_rdPrim, SPLB_wrPrim => SPLB_wrPrim, SPLB_masterID => SPLB_masterID, SPLB_abort => SPLB_abort, SPLB_busLock => SPLB_busLock, SPLB_RNW => SPLB_RNW, SPLB_MSize => SPLB_MSize, SPLB_size => SPLB_size, SPLB_type => SPLB_type, SPLB_lockErr => SPLB_lockErr, SPLB_wrDBus => SPLB_wrDBus, SPLB_wrBurst => SPLB_wrBurst, SPLB_rdBurst => SPLB_rdBurst, SPLB_wrPendReq => SPLB_wrPendReq, SPLB_rdPendReq => SPLB_rdPendReq, SPLB_wrPendPri => SPLB_wrPendPri, SPLB_rdPendPri => SPLB_rdPendPri, SPLB_reqPri => SPLB_reqPri, SPLB_TAttribute => SPLB_TAttribute, Sl_addrAck => Sl_addrAck, Sl_SSize => Sl_SSize, Sl_wait => Sl_wait, Sl_rearbitrate => Sl_rearbitrate, Sl_wrDAck => Sl_wrDAck, Sl_wrComp => Sl_wrComp, Sl_wrBTerm => Sl_wrBTerm, Sl_rdDBus => Sl_rdDBus, Sl_rdWdAddr => Sl_rdWdAddr, Sl_rdDAck => Sl_rdDAck, Sl_rdComp => Sl_rdComp, Sl_rdBTerm => Sl_rdBTerm, Sl_MBusy => Sl_MBusy, Sl_MWrErr => Sl_MWrErr, Sl_MRdErr => Sl_MRdErr, Sl_MIRQ => Sl_MIRQ, IP2INTC_Irpt => IP2INTC_Irpt, MPLB_MAddrAck => MPLB_MAddrAck, MPLB_MSSize => MPLB_MSSize, MPLB_MRearbitrate => MPLB_MRearbitrate, MPLB_MTimeout => MPLB_MTimeout, MPLB_MBusy => MPLB_MBusy, MPLB_MRdErr => MPLB_MRdErr, MPLB_MWrErr => MPLB_MWrErr, MPLB_MIRQ => MPLB_MIRQ, MPLB_MRdDBus => MPLB_MRdDBus, MPLB_MRdWdAddr => MPLB_MRdWdAddr, MPLB_MRdDAck => MPLB_MRdDAck, MPLB_MRdBTerm => MPLB_MRdBTerm, MPLB_MWrDAck => MPLB_MWrDAck, MPLB_MWrBTerm => MPLB_MWrBTerm, M_request => M_request, M_priority => M_priority, M_busLock => M_busLock, M_RNW => M_RNW, M_BE => M_BE, M_MSize => M_MSize, M_size => M_size, M_type => M_type, M_TAttribute => M_TAttribute, M_lockErr => M_lockErr, M_abort => M_abort, M_UABus => M_UABus, M_ABus => M_ABus, M_wrDBus => M_wrDBus, M_wrBurst => M_wrBurst, M_rdBurst => M_rdBurst ); end architecture STRUCTURE;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_dma_0_wrapper_fifo_generator_v9_3_2_tb.vhd -- -- Description: -- This is the demo testbench top file for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; LIBRARY std; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.std_logic_misc.ALL; USE ieee.numeric_std.ALL; USE ieee.std_logic_textio.ALL; USE std.textio.ALL; LIBRARY work; USE work.system_axi_dma_0_wrapper_fifo_generator_v9_3_2_pkg.ALL; ENTITY system_axi_dma_0_wrapper_fifo_generator_v9_3_2_tb IS END ENTITY; ARCHITECTURE system_axi_dma_0_wrapper_fifo_generator_v9_3_2_arch OF system_axi_dma_0_wrapper_fifo_generator_v9_3_2_tb IS SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; SIGNAL wr_clk : STD_LOGIC; SIGNAL reset : STD_LOGIC; SIGNAL sim_done : STD_LOGIC := '0'; SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); -- Write and Read clock periods CONSTANT wr_clk_period_by_2 : TIME := 100 ns; -- Procedures to display strings PROCEDURE disp_str(CONSTANT str:IN STRING) IS variable dp_l : line := null; BEGIN write(dp_l,str); writeline(output,dp_l); END PROCEDURE; PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS variable dp_lx : line := null; BEGIN hwrite(dp_lx,hex); writeline(output,dp_lx); END PROCEDURE; BEGIN -- Generation of clock PROCESS BEGIN WAIT FOR 200 ns; -- Wait for global reset WHILE 1 = 1 LOOP wr_clk <= '0'; WAIT FOR wr_clk_period_by_2; wr_clk <= '1'; WAIT FOR wr_clk_period_by_2; END LOOP; END PROCESS; -- Generation of Reset PROCESS BEGIN reset <= '1'; WAIT FOR 2100 ns; reset <= '0'; WAIT; END PROCESS; -- Error message printing based on STATUS signal from system_axi_dma_0_wrapper_fifo_generator_v9_3_2_synth PROCESS(status) BEGIN IF(status /= "0" AND status /= "1") THEN disp_str("STATUS:"); disp_hex(status); END IF; IF(status(7) = '1') THEN assert false report "Data mismatch found" severity error; END IF; IF(status(1) = '1') THEN END IF; IF(status(3) = '1') THEN assert false report "Almost Empty flag Mismatch/timeout" severity error; END IF; IF(status(5) = '1') THEN assert false report "Empty flag Mismatch/timeout" severity error; END IF; IF(status(6) = '1') THEN assert false report "Full Flag Mismatch/timeout" severity error; END IF; END PROCESS; PROCESS BEGIN wait until sim_done = '1'; IF(status /= "0" AND status /= "1") THEN assert false report "Simulation failed" severity failure; ELSE assert false report "Test Completed Successfully" severity failure; END IF; END PROCESS; PROCESS BEGIN wait for 400 ms; assert false report "Test bench timed out" severity failure; END PROCESS; -- Instance of system_axi_dma_0_wrapper_fifo_generator_v9_3_2_synth system_axi_dma_0_wrapper_fifo_generator_v9_3_2_synth_inst:system_axi_dma_0_wrapper_fifo_generator_v9_3_2_synth GENERIC MAP( FREEZEON_ERROR => 0, TB_STOP_CNT => 2, TB_SEED => 20 ) PORT MAP( CLK => wr_clk, RESET => reset, SIM_DONE => sim_done, STATUS => status ); END ARCHITECTURE;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:05:11 02/06/2015 -- Design Name: -- Module Name: adder_tb - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity adder_tb is end adder_tb; architecture archi of adder_tb is signal entree1, entree2, sortie: std_logic_vector(3 downto 0); signal carry, overflow: std_logic; component adder port (a,b: in std_logic_vector (3 downto 0); s: out std_logic_vector (3 downto 0); cf, ovf: out std_logic); end component; begin -- de la même manière que l'on fait un port map, on va faire un generic map pour -- attribuer une valeur au paramètre N de AddN uut: adder port map (a=> entree1, b => entree2, s => sortie, cf => carry, ovf => overflow); stimuli_entree1: process begin entree1 <= (others => '0'); wait for 10 ns; loop entree1 <= entree1 + 1; wait for 10 ns; end loop; end process; stimuli_entree2: process begin entree2<= (others => '0') ; loop if entree1=0 then entree2 <= entree2 + 1; end if; wait for 10 ns ; end loop ; end process; end archi;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:05:11 02/06/2015 -- Design Name: -- Module Name: adder_tb - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity adder_tb is end adder_tb; architecture archi of adder_tb is signal entree1, entree2, sortie: std_logic_vector(3 downto 0); signal carry, overflow: std_logic; component adder port (a,b: in std_logic_vector (3 downto 0); s: out std_logic_vector (3 downto 0); cf, ovf: out std_logic); end component; begin -- de la même manière que l'on fait un port map, on va faire un generic map pour -- attribuer une valeur au paramètre N de AddN uut: adder port map (a=> entree1, b => entree2, s => sortie, cf => carry, ovf => overflow); stimuli_entree1: process begin entree1 <= (others => '0'); wait for 10 ns; loop entree1 <= entree1 + 1; wait for 10 ns; end loop; end process; stimuli_entree2: process begin entree2<= (others => '0') ; loop if entree1=0 then entree2 <= entree2 + 1; end if; wait for 10 ns ; end loop ; end process; end archi;
-- -- This file is part of the Crypto-PAn core. -- -- Copyright (c) 2007 The University of Waikato, Hamilton, New Zealand. -- Authors: Anthony Blake ([email protected]) -- -- All rights reserved. -- -- This code has been developed by the University of Waikato WAND -- research group. For further information please see http://www.wand.net.nz/ -- -- This source file is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This source is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with libtrace; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use work.cryptopan.all; entity round_unit is generic ( do_mixcolumns : boolean := true); port ( bytes_in : in s_vector; bytes_out : out s_vector; in_en : in std_logic; out_en : out std_logic; load_en : in std_logic; load_data : in std_logic_vector(31 downto 0); load_clk : in std_logic; clk : in std_logic; reset : in std_logic ); end round_unit; architecture rtl of round_unit is component mixcolumns port ( bytes_in : in s_vector; bytes_out : out s_vector; in_en : in std_logic; out_en : out std_logic; clk : in std_logic; reset : in std_logic); end component; component subbytesshiftrows port ( bytes_in : in s_vector; bytes_out : out s_vector; in_en : in std_logic; out_en : out std_logic; clk : in std_logic; reset : in std_logic); end component; signal sbsr_out : s_vector; signal mix_out : s_vector; signal round_key : s_vector; signal round_out : s_vector; signal load_counter : std_logic_vector(1 downto 0); signal sbsr_out_en : std_logic; signal mix_out_en : std_logic; begin bytes_out <= round_out; LOAD_LOGIC : process (load_clk, reset) begin if reset = '1' then for i in 0 to 15 loop round_key(i) <= (others => '0'); end loop; load_counter <= "00"; elsif load_clk'event and load_clk = '1' then if load_en = '1' then if load_counter = "00" then round_key(12) <= load_data(7 downto 0); round_key(8) <= load_data(15 downto 8); round_key(4) <= load_data(23 downto 16); round_key(0) <= load_data(31 downto 24); elsif load_counter = "01" then round_key(13) <= load_data(7 downto 0); round_key(9) <= load_data(15 downto 8); round_key(5) <= load_data(23 downto 16); round_key(1) <= load_data(31 downto 24); elsif load_counter = "10" then round_key(14) <= load_data(7 downto 0); round_key(10) <= load_data(15 downto 8); round_key(6) <= load_data(23 downto 16); round_key(2) <= load_data(31 downto 24); elsif load_counter = "11" then round_key(15) <= load_data(7 downto 0); round_key(11) <= load_data(15 downto 8); round_key(7) <= load_data(23 downto 16); round_key(3) <= load_data(31 downto 24); end if; load_counter <= load_counter + 1; else load_counter <= "00"; end if; end if; end process LOAD_LOGIC; SBSR0 : subbytesshiftrows port map ( bytes_in => bytes_in, bytes_out => sbsr_out, in_en => in_en, out_en => sbsr_out_en, clk => clk, reset => reset); out_en <= mix_out_en; GENMIXCOLUMNS : if do_mixcolumns = true generate MIX0 : mixcolumns port map ( bytes_in => sbsr_out, bytes_out => mix_out, in_en => sbsr_out_en, out_en => mix_out_en, clk => clk, reset => reset); end generate GENMIXCOLUMNS; NO_GENMIXCOLUMNS : if do_mixcolumns = false generate mix_out <= sbsr_out; mix_out_en <= sbsr_out_en; end generate NO_GENMIXCOLUMNS; ROUND_XOR : for i in 0 to 15 generate round_out(i) <= round_key(i) xor mix_out(i); end generate ROUND_XOR; end rtl;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_reset.vhd -- Description: This entity encompasses the reset logic (soft and hard) for -- distribution to the axi_vdma core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library lib_cdc_v1_0_2; library axi_dma_v7_1_8; use axi_dma_v7_1_8.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_reset is generic( C_INCLUDE_SG : integer range 0 to 1 := 1; -- Include or Exclude the Scatter Gather Engine -- 0 = Exclude SG Engine - Enables Simple DMA Mode -- 1 = Include SG Engine - Enables Scatter Gather Mode C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. C_AXI_PRMRY_ACLK_FREQ_HZ : integer := 100000000; -- Primary clock frequency in hertz C_AXI_SCNDRY_ACLK_FREQ_HZ : integer := 100000000 -- Secondary clock frequency in hertz ); port ( -- Clock Sources m_axi_sg_aclk : in std_logic ; -- axi_prmry_aclk : in std_logic ; -- -- -- Hard Reset -- axi_resetn : in std_logic ; -- -- -- Soft Reset -- soft_reset : in std_logic ; -- soft_reset_clr : out std_logic := '0' ; -- soft_reset_done : in std_logic ; -- -- -- all_idle : in std_logic ; -- stop : in std_logic ; -- halt : out std_logic := '0' ; -- halt_cmplt : in std_logic ; -- -- -- Secondary Reset -- scndry_resetn : out std_logic := '1' ; -- -- AXI Upsizer and Line Buffer -- prmry_resetn : out std_logic := '0' ; -- -- AXI DataMover Primary Reset (Raw) -- dm_prmry_resetn : out std_logic := '1' ; -- -- AXI DataMover Secondary Reset (Raw) -- dm_scndry_resetn : out std_logic := '1' ; -- -- AXI Primary Stream Reset Outputs -- prmry_reset_out_n : out std_logic := '1' ; -- -- AXI Alternat Stream Reset Outputs -- altrnt_reset_out_n : out std_logic := '1' -- ); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of scndry_resetn : signal is "TRUE"; Attribute KEEP of prmry_resetn : signal is "TRUE"; Attribute KEEP of dm_scndry_resetn : signal is "TRUE"; Attribute KEEP of dm_prmry_resetn : signal is "TRUE"; Attribute KEEP of prmry_reset_out_n : signal is "TRUE"; Attribute KEEP of altrnt_reset_out_n : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of scndry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of prmry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of dm_scndry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of dm_prmry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of prmry_reset_out_n : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of altrnt_reset_out_n: signal is "no"; end axi_dma_reset; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_reset is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Soft Reset Support signal s_soft_reset_i : std_logic := '0'; signal s_soft_reset_i_d1 : std_logic := '0'; signal s_soft_reset_i_re : std_logic := '0'; signal assert_sftrst_d1 : std_logic := '0'; signal min_assert_sftrst : std_logic := '0'; signal min_assert_sftrst_d1_cdc_tig : std_logic := '0'; --ATTRIBUTE async_reg OF min_assert_sftrst_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF min_assert_sftrst : SIGNAL IS "true"; signal p_min_assert_sftrst : std_logic := '0'; signal sft_rst_dly1 : std_logic := '0'; signal sft_rst_dly2 : std_logic := '0'; signal sft_rst_dly3 : std_logic := '0'; signal sft_rst_dly4 : std_logic := '0'; signal sft_rst_dly5 : std_logic := '0'; signal sft_rst_dly6 : std_logic := '0'; signal sft_rst_dly7 : std_logic := '0'; signal sft_rst_dly8 : std_logic := '0'; signal sft_rst_dly9 : std_logic := '0'; signal sft_rst_dly10 : std_logic := '0'; signal sft_rst_dly11 : std_logic := '0'; signal sft_rst_dly12 : std_logic := '0'; signal sft_rst_dly13 : std_logic := '0'; signal sft_rst_dly14 : std_logic := '0'; signal sft_rst_dly15 : std_logic := '0'; signal sft_rst_dly16 : std_logic := '0'; signal soft_reset_d1 : std_logic := '0'; signal soft_reset_re : std_logic := '0'; -- Soft Reset to Primary clock domain signals signal p_soft_reset : std_logic := '0'; signal p_soft_reset_d1_cdc_tig : std_logic := '0'; signal p_soft_reset_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF p_soft_reset_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF p_soft_reset_d2 : SIGNAL IS "true"; signal p_soft_reset_d3 : std_logic := '0'; signal p_soft_reset_re : std_logic := '0'; -- Qualified soft reset in primary clock domain for -- generating mimimum reset pulse for soft reset signal p_soft_reset_i : std_logic := '0'; signal p_soft_reset_i_d1 : std_logic := '0'; signal p_soft_reset_i_re : std_logic := '0'; -- Graceful halt control signal halt_cmplt_d1_cdc_tig : std_logic := '0'; signal s_halt_cmplt : std_logic := '0'; --ATTRIBUTE async_reg OF halt_cmplt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s_halt_cmplt : SIGNAL IS "true"; signal p_halt_d1_cdc_tig : std_logic := '0'; signal p_halt : std_logic := '0'; --ATTRIBUTE async_reg OF p_halt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF p_halt : SIGNAL IS "true"; signal s_halt : std_logic := '0'; -- composite reset (hard and soft) signal resetn_i : std_logic := '1'; signal scndry_resetn_i : std_logic := '1'; signal axi_resetn_d1_cdc_tig : std_logic := '1'; signal axi_resetn_d2 : std_logic := '1'; --ATTRIBUTE async_reg OF axi_resetn_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF axi_resetn_d2 : SIGNAL IS "true"; signal halt_i : std_logic := '0'; signal p_all_idle : std_logic := '1'; signal p_all_idle_d1_cdc_tig : std_logic := '1'; signal halt_cmplt_reg : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Internal Hard Reset -- Generate reset on hardware reset or soft reset ------------------------------------------------------------------------------- resetn_i <= '0' when s_soft_reset_i = '1' or min_assert_sftrst = '1' or axi_resetn = '0' else '1'; ------------------------------------------------------------------------------- -- Minimum Reset Logic for Soft Reset ------------------------------------------------------------------------------- -- Register to generate rising edge on soft reset and falling edge -- on reset assertion. REG_SFTRST_FOR_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then s_soft_reset_i_d1 <= s_soft_reset_i; assert_sftrst_d1 <= min_assert_sftrst; -- Register soft reset from DMACR to create -- rising edge pulse soft_reset_d1 <= soft_reset; end if; end process REG_SFTRST_FOR_RE; -- rising edge pulse on internal soft reset s_soft_reset_i_re <= s_soft_reset_i and not s_soft_reset_i_d1; -- CR605883 -- rising edge pulse on DMACR soft reset REG_SOFT_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then soft_reset_re <= soft_reset and not soft_reset_d1; end if; end process REG_SOFT_RE; -- falling edge detection on min soft rst to clear soft reset -- bit in register module soft_reset_clr <= (not min_assert_sftrst and assert_sftrst_d1) or (not axi_resetn); ------------------------------------------------------------------------------- -- Generate Reset for synchronous configuration ------------------------------------------------------------------------------- GNE_SYNC_RESET : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. MIN_PULSE_GEN : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; elsif(all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 clocks. MIN_RESET_ASSERTION : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then min_assert_sftrst <= '1'; elsif(sft_rst_dly7 = '1')then min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; ------------------------------------------------------------------------------- -- Soft Reset Support ------------------------------------------------------------------------------- -- Generate reset on hardware reset or soft reset if system is idle -- On soft reset or error -- mm2s dma controller will idle immediatly -- sg fetch engine will complete current task and idle (desc's will flush) -- sg update engine will update all completed descriptors then idle REG_SOFT_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset = '1' and all_idle = '1' and halt_cmplt = '1')then s_soft_reset_i <= '1'; elsif(soft_reset_done = '1')then s_soft_reset_i <= '0'; end if; end if; end process REG_SOFT_RESET; -- Halt datamover on soft_reset or on error. Halt will stay -- asserted until s_soft_reset_i assertion which occurs when -- halt is complete or hard reset REG_DM_HALT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(resetn_i = '0')then halt_i <= '0'; elsif(soft_reset_re = '1' or stop = '1')then halt_i <= '1'; end if; end if; end process REG_DM_HALT; halt <= halt_i; -- AXI Stream reset output REG_STRM_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then prmry_reset_out_n <= resetn_i and not s_soft_reset_i; end if; end process REG_STRM_RESET_OUT; -- If in Scatter Gather mode and status control stream included GEN_ALT_RESET_OUT : if C_INCLUDE_SG = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- AXI Stream reset output REG_ALT_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then altrnt_reset_out_n <= resetn_i and not s_soft_reset_i; end if; end process REG_ALT_RESET_OUT; end generate GEN_ALT_RESET_OUT; -- If in Simple mode or status control stream excluded GEN_NO_ALT_RESET_OUT : if C_INCLUDE_SG = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin altrnt_reset_out_n <= '1'; end generate GEN_NO_ALT_RESET_OUT; -- Registered primary and secondary resets out REG_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then prmry_resetn <= resetn_i; scndry_resetn <= resetn_i; end if; end process REG_RESET_OUT; -- AXI DataMover Primary Reset (Raw) dm_prmry_resetn <= resetn_i; -- AXI DataMover Secondary Reset (Raw) dm_scndry_resetn <= resetn_i; end generate GNE_SYNC_RESET; ------------------------------------------------------------------------------- -- Generate Reset for asynchronous configuration ------------------------------------------------------------------------------- GEN_ASYNC_RESET : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Primary clock is slower or equal to secondary therefore... -- For Halt - can simply pass secondary clock version of soft reset -- rising edge into p_halt assertion -- For Min Rst Assertion - can simply use secondary logic version of min pulse genator GEN_PRMRY_GRTR_EQL_SCNDRY : if C_AXI_PRMRY_ACLK_FREQ_HZ >= C_AXI_SCNDRY_ACLK_FREQ_HZ generate begin -- CR605883 - Register to provide pure register output for synchronizer REG_HALT_CONDITIONS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then s_halt <= soft_reset_re or stop; end if; end process REG_HALT_CONDITIONS; -- Halt data mover on soft reset assertion, error (i.e. stop=1) or -- not running HALT_PROCESS : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => s_halt, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_halt, scndry_vect_out => open ); -- HALT_PROCESS : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- --p_halt_d1_cdc_tig <= soft_reset_re or stop; -- CR605883 -- p_halt_d1_cdc_tig <= s_halt; -- CR605883 -- p_halt <= p_halt_d1_cdc_tig; -- end if; -- end process HALT_PROCESS; -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. -- Adding 5 more flops to make up for 5 stages of Sync flops MIN_PULSE_GEN : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; sft_rst_dly8 <= '0'; sft_rst_dly9 <= '0'; sft_rst_dly10 <= '0'; sft_rst_dly11 <= '0'; sft_rst_dly12 <= '0'; sft_rst_dly13 <= '0'; sft_rst_dly14 <= '0'; sft_rst_dly15 <= '0'; sft_rst_dly16 <= '0'; elsif(all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; sft_rst_dly8 <= sft_rst_dly7; sft_rst_dly9 <= sft_rst_dly8; sft_rst_dly10 <= sft_rst_dly9; sft_rst_dly11 <= sft_rst_dly10; sft_rst_dly12 <= sft_rst_dly11; sft_rst_dly13 <= sft_rst_dly12; sft_rst_dly14 <= sft_rst_dly13; sft_rst_dly15 <= sft_rst_dly14; sft_rst_dly16 <= sft_rst_dly15; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 clocks. MIN_RESET_ASSERTION : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then min_assert_sftrst <= '1'; elsif(sft_rst_dly16 = '1')then min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; end generate GEN_PRMRY_GRTR_EQL_SCNDRY; -- Primary clock is running slower than secondary therefore need to use a primary clock -- based rising edge version of soft_reset for primary halt assertion GEN_PRMRY_LESS_SCNDRY : if C_AXI_PRMRY_ACLK_FREQ_HZ < C_AXI_SCNDRY_ACLK_FREQ_HZ generate signal soft_halt_int : std_logic := '0'; begin -- Halt data mover on soft reset assertion, error (i.e. stop=1) or -- not running soft_halt_int <= p_soft_reset_re or stop; HALT_PROCESS : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => soft_halt_int, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_halt, scndry_vect_out => open ); -- HALT_PROCESS : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_halt_d1_cdc_tig <= p_soft_reset_re or stop; -- p_halt <= p_halt_d1_cdc_tig; -- end if; -- end process HALT_PROCESS; REG_IDLE2PRMRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => all_idle, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_all_idle, scndry_vect_out => open ); -- REG_IDLE2PRMRY : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_all_idle_d1_cdc_tig <= all_idle; -- p_all_idle <= p_all_idle_d1_cdc_tig; -- end if; -- end process REG_IDLE2PRMRY; -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. MIN_PULSE_GEN : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock --if(p_soft_reset_re = '1')then if(p_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; sft_rst_dly8 <= '0'; sft_rst_dly9 <= '0'; sft_rst_dly10 <= '0'; sft_rst_dly11 <= '0'; sft_rst_dly12 <= '0'; sft_rst_dly13 <= '0'; sft_rst_dly14 <= '0'; sft_rst_dly15 <= '0'; sft_rst_dly16 <= '0'; elsif(p_all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; sft_rst_dly8 <= sft_rst_dly7; sft_rst_dly9 <= sft_rst_dly8; sft_rst_dly10 <= sft_rst_dly9; sft_rst_dly11 <= sft_rst_dly10; sft_rst_dly12 <= sft_rst_dly11; sft_rst_dly13 <= sft_rst_dly12; sft_rst_dly14 <= sft_rst_dly13; sft_rst_dly15 <= sft_rst_dly14; sft_rst_dly16 <= sft_rst_dly15; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 primary clocks. MIN_RESET_ASSERTION : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock --if(p_soft_reset_re = '1')then if(p_soft_reset_i_re = '1')then p_min_assert_sftrst <= '1'; elsif(sft_rst_dly16 = '1')then p_min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; -- register minimum reset pulse back to secondary domain REG_MINRST2SCNDRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => p_min_assert_sftrst, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => min_assert_sftrst, scndry_vect_out => open ); -- REG_MINRST2SCNDRY : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- min_assert_sftrst_d1_cdc_tig <= p_min_assert_sftrst; -- min_assert_sftrst <= min_assert_sftrst_d1_cdc_tig; -- end if; -- end process REG_MINRST2SCNDRY; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Generate reset on hardware reset or soft reset if system is idle REG_P_SOFT_RESET : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(p_soft_reset = '1' and p_all_idle = '1' and halt_cmplt = '1')then p_soft_reset_i <= '1'; else p_soft_reset_i <= '0'; end if; end if; end process REG_P_SOFT_RESET; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Register qualified soft reset flag for generating rising edge -- pulse for starting minimum reset pulse REG_SOFT2PRMRY : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then p_soft_reset_i_d1 <= p_soft_reset_i; end if; end process REG_SOFT2PRMRY; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Generate rising edge pulse on qualified soft reset for min pulse -- logic. p_soft_reset_i_re <= p_soft_reset_i and not p_soft_reset_i_d1; end generate GEN_PRMRY_LESS_SCNDRY; -- Double register halt complete flag from primary to secondary -- clock domain. -- Note: halt complete stays asserted until halt clears therefore -- only need to double register from fast to slow clock domain. process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then halt_cmplt_reg <= halt_cmplt; end if; end process; REG_HALT_CMPLT_IN : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => halt_cmplt_reg, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => s_halt_cmplt, scndry_vect_out => open ); -- REG_HALT_CMPLT_IN : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- -- halt_cmplt_d1_cdc_tig <= halt_cmplt; -- s_halt_cmplt <= halt_cmplt_d1_cdc_tig; -- end if; -- end process REG_HALT_CMPLT_IN; ------------------------------------------------------------------------------- -- Soft Reset Support ------------------------------------------------------------------------------- -- Generate reset on hardware reset or soft reset if system is idle REG_SOFT_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset = '1' and all_idle = '1' and s_halt_cmplt = '1')then s_soft_reset_i <= '1'; elsif(soft_reset_done = '1')then s_soft_reset_i <= '0'; end if; end if; end process REG_SOFT_RESET; -- Register soft reset flag into primary domain to correcly -- halt data mover REG_SOFT2PRMRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => soft_reset, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_soft_reset_d2, scndry_vect_out => open ); REG_SOFT2PRMRY1 : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_soft_reset_d1_cdc_tig <= soft_reset; -- p_soft_reset_d2 <= p_soft_reset_d1_cdc_tig; p_soft_reset_d3 <= p_soft_reset_d2; end if; end process REG_SOFT2PRMRY1; -- Generate rising edge pulse for use with p_halt creation p_soft_reset_re <= p_soft_reset_d2 and not p_soft_reset_d3; -- used to mask halt reset below p_soft_reset <= p_soft_reset_d2; -- Halt datamover on soft_reset or on error. Halt will stay -- asserted until s_soft_reset_i assertion which occurs when -- halt is complete or hard reset REG_DM_HALT : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(axi_resetn_d2 = '0')then halt_i <= '0'; elsif(p_halt = '1')then halt_i <= '1'; end if; end if; end process REG_DM_HALT; halt <= halt_i; -- CR605883 (CDC) Create pure register out for synchronizer REG_CMB_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then scndry_resetn_i <= resetn_i; end if; end process REG_CMB_RESET; -- Sync to mm2s primary and register resets out REG_RESET_OUT : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => scndry_resetn_i, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => axi_resetn_d2, scndry_vect_out => open ); -- REG_RESET_OUT : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- --axi_resetn_d1_cdc_tig <= resetn_i; -- CR605883 -- axi_resetn_d1_cdc_tig <= scndry_resetn_i; -- axi_resetn_d2 <= axi_resetn_d1_cdc_tig; -- end if; -- end process REG_RESET_OUT; -- Register resets out to AXI DMA Logic REG_SRESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then scndry_resetn <= resetn_i; end if; end process REG_SRESET_OUT; -- AXI Stream reset output prmry_reset_out_n <= axi_resetn_d2; -- If in Scatter Gather mode and status control stream included GEN_ALT_RESET_OUT : if C_INCLUDE_SG = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- AXI Stream alternate reset output altrnt_reset_out_n <= axi_resetn_d2; end generate GEN_ALT_RESET_OUT; -- If in Simple Mode or status control stream excluded. GEN_NO_ALT_RESET_OUT : if C_INCLUDE_SG = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin altrnt_reset_out_n <= '1'; end generate GEN_NO_ALT_RESET_OUT; -- Register primary reset prmry_resetn <= axi_resetn_d2; -- AXI DataMover Primary Reset dm_prmry_resetn <= axi_resetn_d2; -- AXI DataMover Secondary Reset dm_scndry_resetn <= resetn_i; end generate GEN_ASYNC_RESET; end implementation;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_reset.vhd -- Description: This entity encompasses the reset logic (soft and hard) for -- distribution to the axi_vdma core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library lib_cdc_v1_0_2; library axi_dma_v7_1_8; use axi_dma_v7_1_8.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_reset is generic( C_INCLUDE_SG : integer range 0 to 1 := 1; -- Include or Exclude the Scatter Gather Engine -- 0 = Exclude SG Engine - Enables Simple DMA Mode -- 1 = Include SG Engine - Enables Scatter Gather Mode C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. C_AXI_PRMRY_ACLK_FREQ_HZ : integer := 100000000; -- Primary clock frequency in hertz C_AXI_SCNDRY_ACLK_FREQ_HZ : integer := 100000000 -- Secondary clock frequency in hertz ); port ( -- Clock Sources m_axi_sg_aclk : in std_logic ; -- axi_prmry_aclk : in std_logic ; -- -- -- Hard Reset -- axi_resetn : in std_logic ; -- -- -- Soft Reset -- soft_reset : in std_logic ; -- soft_reset_clr : out std_logic := '0' ; -- soft_reset_done : in std_logic ; -- -- -- all_idle : in std_logic ; -- stop : in std_logic ; -- halt : out std_logic := '0' ; -- halt_cmplt : in std_logic ; -- -- -- Secondary Reset -- scndry_resetn : out std_logic := '1' ; -- -- AXI Upsizer and Line Buffer -- prmry_resetn : out std_logic := '0' ; -- -- AXI DataMover Primary Reset (Raw) -- dm_prmry_resetn : out std_logic := '1' ; -- -- AXI DataMover Secondary Reset (Raw) -- dm_scndry_resetn : out std_logic := '1' ; -- -- AXI Primary Stream Reset Outputs -- prmry_reset_out_n : out std_logic := '1' ; -- -- AXI Alternat Stream Reset Outputs -- altrnt_reset_out_n : out std_logic := '1' -- ); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of scndry_resetn : signal is "TRUE"; Attribute KEEP of prmry_resetn : signal is "TRUE"; Attribute KEEP of dm_scndry_resetn : signal is "TRUE"; Attribute KEEP of dm_prmry_resetn : signal is "TRUE"; Attribute KEEP of prmry_reset_out_n : signal is "TRUE"; Attribute KEEP of altrnt_reset_out_n : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of scndry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of prmry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of dm_scndry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of dm_prmry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of prmry_reset_out_n : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of altrnt_reset_out_n: signal is "no"; end axi_dma_reset; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_reset is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Soft Reset Support signal s_soft_reset_i : std_logic := '0'; signal s_soft_reset_i_d1 : std_logic := '0'; signal s_soft_reset_i_re : std_logic := '0'; signal assert_sftrst_d1 : std_logic := '0'; signal min_assert_sftrst : std_logic := '0'; signal min_assert_sftrst_d1_cdc_tig : std_logic := '0'; --ATTRIBUTE async_reg OF min_assert_sftrst_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF min_assert_sftrst : SIGNAL IS "true"; signal p_min_assert_sftrst : std_logic := '0'; signal sft_rst_dly1 : std_logic := '0'; signal sft_rst_dly2 : std_logic := '0'; signal sft_rst_dly3 : std_logic := '0'; signal sft_rst_dly4 : std_logic := '0'; signal sft_rst_dly5 : std_logic := '0'; signal sft_rst_dly6 : std_logic := '0'; signal sft_rst_dly7 : std_logic := '0'; signal sft_rst_dly8 : std_logic := '0'; signal sft_rst_dly9 : std_logic := '0'; signal sft_rst_dly10 : std_logic := '0'; signal sft_rst_dly11 : std_logic := '0'; signal sft_rst_dly12 : std_logic := '0'; signal sft_rst_dly13 : std_logic := '0'; signal sft_rst_dly14 : std_logic := '0'; signal sft_rst_dly15 : std_logic := '0'; signal sft_rst_dly16 : std_logic := '0'; signal soft_reset_d1 : std_logic := '0'; signal soft_reset_re : std_logic := '0'; -- Soft Reset to Primary clock domain signals signal p_soft_reset : std_logic := '0'; signal p_soft_reset_d1_cdc_tig : std_logic := '0'; signal p_soft_reset_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF p_soft_reset_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF p_soft_reset_d2 : SIGNAL IS "true"; signal p_soft_reset_d3 : std_logic := '0'; signal p_soft_reset_re : std_logic := '0'; -- Qualified soft reset in primary clock domain for -- generating mimimum reset pulse for soft reset signal p_soft_reset_i : std_logic := '0'; signal p_soft_reset_i_d1 : std_logic := '0'; signal p_soft_reset_i_re : std_logic := '0'; -- Graceful halt control signal halt_cmplt_d1_cdc_tig : std_logic := '0'; signal s_halt_cmplt : std_logic := '0'; --ATTRIBUTE async_reg OF halt_cmplt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s_halt_cmplt : SIGNAL IS "true"; signal p_halt_d1_cdc_tig : std_logic := '0'; signal p_halt : std_logic := '0'; --ATTRIBUTE async_reg OF p_halt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF p_halt : SIGNAL IS "true"; signal s_halt : std_logic := '0'; -- composite reset (hard and soft) signal resetn_i : std_logic := '1'; signal scndry_resetn_i : std_logic := '1'; signal axi_resetn_d1_cdc_tig : std_logic := '1'; signal axi_resetn_d2 : std_logic := '1'; --ATTRIBUTE async_reg OF axi_resetn_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF axi_resetn_d2 : SIGNAL IS "true"; signal halt_i : std_logic := '0'; signal p_all_idle : std_logic := '1'; signal p_all_idle_d1_cdc_tig : std_logic := '1'; signal halt_cmplt_reg : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Internal Hard Reset -- Generate reset on hardware reset or soft reset ------------------------------------------------------------------------------- resetn_i <= '0' when s_soft_reset_i = '1' or min_assert_sftrst = '1' or axi_resetn = '0' else '1'; ------------------------------------------------------------------------------- -- Minimum Reset Logic for Soft Reset ------------------------------------------------------------------------------- -- Register to generate rising edge on soft reset and falling edge -- on reset assertion. REG_SFTRST_FOR_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then s_soft_reset_i_d1 <= s_soft_reset_i; assert_sftrst_d1 <= min_assert_sftrst; -- Register soft reset from DMACR to create -- rising edge pulse soft_reset_d1 <= soft_reset; end if; end process REG_SFTRST_FOR_RE; -- rising edge pulse on internal soft reset s_soft_reset_i_re <= s_soft_reset_i and not s_soft_reset_i_d1; -- CR605883 -- rising edge pulse on DMACR soft reset REG_SOFT_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then soft_reset_re <= soft_reset and not soft_reset_d1; end if; end process REG_SOFT_RE; -- falling edge detection on min soft rst to clear soft reset -- bit in register module soft_reset_clr <= (not min_assert_sftrst and assert_sftrst_d1) or (not axi_resetn); ------------------------------------------------------------------------------- -- Generate Reset for synchronous configuration ------------------------------------------------------------------------------- GNE_SYNC_RESET : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. MIN_PULSE_GEN : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; elsif(all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 clocks. MIN_RESET_ASSERTION : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then min_assert_sftrst <= '1'; elsif(sft_rst_dly7 = '1')then min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; ------------------------------------------------------------------------------- -- Soft Reset Support ------------------------------------------------------------------------------- -- Generate reset on hardware reset or soft reset if system is idle -- On soft reset or error -- mm2s dma controller will idle immediatly -- sg fetch engine will complete current task and idle (desc's will flush) -- sg update engine will update all completed descriptors then idle REG_SOFT_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset = '1' and all_idle = '1' and halt_cmplt = '1')then s_soft_reset_i <= '1'; elsif(soft_reset_done = '1')then s_soft_reset_i <= '0'; end if; end if; end process REG_SOFT_RESET; -- Halt datamover on soft_reset or on error. Halt will stay -- asserted until s_soft_reset_i assertion which occurs when -- halt is complete or hard reset REG_DM_HALT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(resetn_i = '0')then halt_i <= '0'; elsif(soft_reset_re = '1' or stop = '1')then halt_i <= '1'; end if; end if; end process REG_DM_HALT; halt <= halt_i; -- AXI Stream reset output REG_STRM_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then prmry_reset_out_n <= resetn_i and not s_soft_reset_i; end if; end process REG_STRM_RESET_OUT; -- If in Scatter Gather mode and status control stream included GEN_ALT_RESET_OUT : if C_INCLUDE_SG = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- AXI Stream reset output REG_ALT_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then altrnt_reset_out_n <= resetn_i and not s_soft_reset_i; end if; end process REG_ALT_RESET_OUT; end generate GEN_ALT_RESET_OUT; -- If in Simple mode or status control stream excluded GEN_NO_ALT_RESET_OUT : if C_INCLUDE_SG = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin altrnt_reset_out_n <= '1'; end generate GEN_NO_ALT_RESET_OUT; -- Registered primary and secondary resets out REG_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then prmry_resetn <= resetn_i; scndry_resetn <= resetn_i; end if; end process REG_RESET_OUT; -- AXI DataMover Primary Reset (Raw) dm_prmry_resetn <= resetn_i; -- AXI DataMover Secondary Reset (Raw) dm_scndry_resetn <= resetn_i; end generate GNE_SYNC_RESET; ------------------------------------------------------------------------------- -- Generate Reset for asynchronous configuration ------------------------------------------------------------------------------- GEN_ASYNC_RESET : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Primary clock is slower or equal to secondary therefore... -- For Halt - can simply pass secondary clock version of soft reset -- rising edge into p_halt assertion -- For Min Rst Assertion - can simply use secondary logic version of min pulse genator GEN_PRMRY_GRTR_EQL_SCNDRY : if C_AXI_PRMRY_ACLK_FREQ_HZ >= C_AXI_SCNDRY_ACLK_FREQ_HZ generate begin -- CR605883 - Register to provide pure register output for synchronizer REG_HALT_CONDITIONS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then s_halt <= soft_reset_re or stop; end if; end process REG_HALT_CONDITIONS; -- Halt data mover on soft reset assertion, error (i.e. stop=1) or -- not running HALT_PROCESS : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => s_halt, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_halt, scndry_vect_out => open ); -- HALT_PROCESS : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- --p_halt_d1_cdc_tig <= soft_reset_re or stop; -- CR605883 -- p_halt_d1_cdc_tig <= s_halt; -- CR605883 -- p_halt <= p_halt_d1_cdc_tig; -- end if; -- end process HALT_PROCESS; -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. -- Adding 5 more flops to make up for 5 stages of Sync flops MIN_PULSE_GEN : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; sft_rst_dly8 <= '0'; sft_rst_dly9 <= '0'; sft_rst_dly10 <= '0'; sft_rst_dly11 <= '0'; sft_rst_dly12 <= '0'; sft_rst_dly13 <= '0'; sft_rst_dly14 <= '0'; sft_rst_dly15 <= '0'; sft_rst_dly16 <= '0'; elsif(all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; sft_rst_dly8 <= sft_rst_dly7; sft_rst_dly9 <= sft_rst_dly8; sft_rst_dly10 <= sft_rst_dly9; sft_rst_dly11 <= sft_rst_dly10; sft_rst_dly12 <= sft_rst_dly11; sft_rst_dly13 <= sft_rst_dly12; sft_rst_dly14 <= sft_rst_dly13; sft_rst_dly15 <= sft_rst_dly14; sft_rst_dly16 <= sft_rst_dly15; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 clocks. MIN_RESET_ASSERTION : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then min_assert_sftrst <= '1'; elsif(sft_rst_dly16 = '1')then min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; end generate GEN_PRMRY_GRTR_EQL_SCNDRY; -- Primary clock is running slower than secondary therefore need to use a primary clock -- based rising edge version of soft_reset for primary halt assertion GEN_PRMRY_LESS_SCNDRY : if C_AXI_PRMRY_ACLK_FREQ_HZ < C_AXI_SCNDRY_ACLK_FREQ_HZ generate signal soft_halt_int : std_logic := '0'; begin -- Halt data mover on soft reset assertion, error (i.e. stop=1) or -- not running soft_halt_int <= p_soft_reset_re or stop; HALT_PROCESS : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => soft_halt_int, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_halt, scndry_vect_out => open ); -- HALT_PROCESS : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_halt_d1_cdc_tig <= p_soft_reset_re or stop; -- p_halt <= p_halt_d1_cdc_tig; -- end if; -- end process HALT_PROCESS; REG_IDLE2PRMRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => all_idle, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_all_idle, scndry_vect_out => open ); -- REG_IDLE2PRMRY : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_all_idle_d1_cdc_tig <= all_idle; -- p_all_idle <= p_all_idle_d1_cdc_tig; -- end if; -- end process REG_IDLE2PRMRY; -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. MIN_PULSE_GEN : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock --if(p_soft_reset_re = '1')then if(p_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; sft_rst_dly8 <= '0'; sft_rst_dly9 <= '0'; sft_rst_dly10 <= '0'; sft_rst_dly11 <= '0'; sft_rst_dly12 <= '0'; sft_rst_dly13 <= '0'; sft_rst_dly14 <= '0'; sft_rst_dly15 <= '0'; sft_rst_dly16 <= '0'; elsif(p_all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; sft_rst_dly8 <= sft_rst_dly7; sft_rst_dly9 <= sft_rst_dly8; sft_rst_dly10 <= sft_rst_dly9; sft_rst_dly11 <= sft_rst_dly10; sft_rst_dly12 <= sft_rst_dly11; sft_rst_dly13 <= sft_rst_dly12; sft_rst_dly14 <= sft_rst_dly13; sft_rst_dly15 <= sft_rst_dly14; sft_rst_dly16 <= sft_rst_dly15; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 primary clocks. MIN_RESET_ASSERTION : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock --if(p_soft_reset_re = '1')then if(p_soft_reset_i_re = '1')then p_min_assert_sftrst <= '1'; elsif(sft_rst_dly16 = '1')then p_min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; -- register minimum reset pulse back to secondary domain REG_MINRST2SCNDRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => p_min_assert_sftrst, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => min_assert_sftrst, scndry_vect_out => open ); -- REG_MINRST2SCNDRY : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- min_assert_sftrst_d1_cdc_tig <= p_min_assert_sftrst; -- min_assert_sftrst <= min_assert_sftrst_d1_cdc_tig; -- end if; -- end process REG_MINRST2SCNDRY; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Generate reset on hardware reset or soft reset if system is idle REG_P_SOFT_RESET : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(p_soft_reset = '1' and p_all_idle = '1' and halt_cmplt = '1')then p_soft_reset_i <= '1'; else p_soft_reset_i <= '0'; end if; end if; end process REG_P_SOFT_RESET; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Register qualified soft reset flag for generating rising edge -- pulse for starting minimum reset pulse REG_SOFT2PRMRY : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then p_soft_reset_i_d1 <= p_soft_reset_i; end if; end process REG_SOFT2PRMRY; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Generate rising edge pulse on qualified soft reset for min pulse -- logic. p_soft_reset_i_re <= p_soft_reset_i and not p_soft_reset_i_d1; end generate GEN_PRMRY_LESS_SCNDRY; -- Double register halt complete flag from primary to secondary -- clock domain. -- Note: halt complete stays asserted until halt clears therefore -- only need to double register from fast to slow clock domain. process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then halt_cmplt_reg <= halt_cmplt; end if; end process; REG_HALT_CMPLT_IN : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => halt_cmplt_reg, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => s_halt_cmplt, scndry_vect_out => open ); -- REG_HALT_CMPLT_IN : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- -- halt_cmplt_d1_cdc_tig <= halt_cmplt; -- s_halt_cmplt <= halt_cmplt_d1_cdc_tig; -- end if; -- end process REG_HALT_CMPLT_IN; ------------------------------------------------------------------------------- -- Soft Reset Support ------------------------------------------------------------------------------- -- Generate reset on hardware reset or soft reset if system is idle REG_SOFT_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset = '1' and all_idle = '1' and s_halt_cmplt = '1')then s_soft_reset_i <= '1'; elsif(soft_reset_done = '1')then s_soft_reset_i <= '0'; end if; end if; end process REG_SOFT_RESET; -- Register soft reset flag into primary domain to correcly -- halt data mover REG_SOFT2PRMRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => soft_reset, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_soft_reset_d2, scndry_vect_out => open ); REG_SOFT2PRMRY1 : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_soft_reset_d1_cdc_tig <= soft_reset; -- p_soft_reset_d2 <= p_soft_reset_d1_cdc_tig; p_soft_reset_d3 <= p_soft_reset_d2; end if; end process REG_SOFT2PRMRY1; -- Generate rising edge pulse for use with p_halt creation p_soft_reset_re <= p_soft_reset_d2 and not p_soft_reset_d3; -- used to mask halt reset below p_soft_reset <= p_soft_reset_d2; -- Halt datamover on soft_reset or on error. Halt will stay -- asserted until s_soft_reset_i assertion which occurs when -- halt is complete or hard reset REG_DM_HALT : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(axi_resetn_d2 = '0')then halt_i <= '0'; elsif(p_halt = '1')then halt_i <= '1'; end if; end if; end process REG_DM_HALT; halt <= halt_i; -- CR605883 (CDC) Create pure register out for synchronizer REG_CMB_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then scndry_resetn_i <= resetn_i; end if; end process REG_CMB_RESET; -- Sync to mm2s primary and register resets out REG_RESET_OUT : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => scndry_resetn_i, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => axi_resetn_d2, scndry_vect_out => open ); -- REG_RESET_OUT : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- --axi_resetn_d1_cdc_tig <= resetn_i; -- CR605883 -- axi_resetn_d1_cdc_tig <= scndry_resetn_i; -- axi_resetn_d2 <= axi_resetn_d1_cdc_tig; -- end if; -- end process REG_RESET_OUT; -- Register resets out to AXI DMA Logic REG_SRESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then scndry_resetn <= resetn_i; end if; end process REG_SRESET_OUT; -- AXI Stream reset output prmry_reset_out_n <= axi_resetn_d2; -- If in Scatter Gather mode and status control stream included GEN_ALT_RESET_OUT : if C_INCLUDE_SG = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- AXI Stream alternate reset output altrnt_reset_out_n <= axi_resetn_d2; end generate GEN_ALT_RESET_OUT; -- If in Simple Mode or status control stream excluded. GEN_NO_ALT_RESET_OUT : if C_INCLUDE_SG = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin altrnt_reset_out_n <= '1'; end generate GEN_NO_ALT_RESET_OUT; -- Register primary reset prmry_resetn <= axi_resetn_d2; -- AXI DataMover Primary Reset dm_prmry_resetn <= axi_resetn_d2; -- AXI DataMover Secondary Reset dm_scndry_resetn <= resetn_i; end generate GEN_ASYNC_RESET; end implementation;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_reset.vhd -- Description: This entity encompasses the reset logic (soft and hard) for -- distribution to the axi_vdma core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library lib_cdc_v1_0_2; library axi_dma_v7_1_8; use axi_dma_v7_1_8.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_reset is generic( C_INCLUDE_SG : integer range 0 to 1 := 1; -- Include or Exclude the Scatter Gather Engine -- 0 = Exclude SG Engine - Enables Simple DMA Mode -- 1 = Include SG Engine - Enables Scatter Gather Mode C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. C_AXI_PRMRY_ACLK_FREQ_HZ : integer := 100000000; -- Primary clock frequency in hertz C_AXI_SCNDRY_ACLK_FREQ_HZ : integer := 100000000 -- Secondary clock frequency in hertz ); port ( -- Clock Sources m_axi_sg_aclk : in std_logic ; -- axi_prmry_aclk : in std_logic ; -- -- -- Hard Reset -- axi_resetn : in std_logic ; -- -- -- Soft Reset -- soft_reset : in std_logic ; -- soft_reset_clr : out std_logic := '0' ; -- soft_reset_done : in std_logic ; -- -- -- all_idle : in std_logic ; -- stop : in std_logic ; -- halt : out std_logic := '0' ; -- halt_cmplt : in std_logic ; -- -- -- Secondary Reset -- scndry_resetn : out std_logic := '1' ; -- -- AXI Upsizer and Line Buffer -- prmry_resetn : out std_logic := '0' ; -- -- AXI DataMover Primary Reset (Raw) -- dm_prmry_resetn : out std_logic := '1' ; -- -- AXI DataMover Secondary Reset (Raw) -- dm_scndry_resetn : out std_logic := '1' ; -- -- AXI Primary Stream Reset Outputs -- prmry_reset_out_n : out std_logic := '1' ; -- -- AXI Alternat Stream Reset Outputs -- altrnt_reset_out_n : out std_logic := '1' -- ); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of scndry_resetn : signal is "TRUE"; Attribute KEEP of prmry_resetn : signal is "TRUE"; Attribute KEEP of dm_scndry_resetn : signal is "TRUE"; Attribute KEEP of dm_prmry_resetn : signal is "TRUE"; Attribute KEEP of prmry_reset_out_n : signal is "TRUE"; Attribute KEEP of altrnt_reset_out_n : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of scndry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of prmry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of dm_scndry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of dm_prmry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of prmry_reset_out_n : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of altrnt_reset_out_n: signal is "no"; end axi_dma_reset; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_reset is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Soft Reset Support signal s_soft_reset_i : std_logic := '0'; signal s_soft_reset_i_d1 : std_logic := '0'; signal s_soft_reset_i_re : std_logic := '0'; signal assert_sftrst_d1 : std_logic := '0'; signal min_assert_sftrst : std_logic := '0'; signal min_assert_sftrst_d1_cdc_tig : std_logic := '0'; --ATTRIBUTE async_reg OF min_assert_sftrst_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF min_assert_sftrst : SIGNAL IS "true"; signal p_min_assert_sftrst : std_logic := '0'; signal sft_rst_dly1 : std_logic := '0'; signal sft_rst_dly2 : std_logic := '0'; signal sft_rst_dly3 : std_logic := '0'; signal sft_rst_dly4 : std_logic := '0'; signal sft_rst_dly5 : std_logic := '0'; signal sft_rst_dly6 : std_logic := '0'; signal sft_rst_dly7 : std_logic := '0'; signal sft_rst_dly8 : std_logic := '0'; signal sft_rst_dly9 : std_logic := '0'; signal sft_rst_dly10 : std_logic := '0'; signal sft_rst_dly11 : std_logic := '0'; signal sft_rst_dly12 : std_logic := '0'; signal sft_rst_dly13 : std_logic := '0'; signal sft_rst_dly14 : std_logic := '0'; signal sft_rst_dly15 : std_logic := '0'; signal sft_rst_dly16 : std_logic := '0'; signal soft_reset_d1 : std_logic := '0'; signal soft_reset_re : std_logic := '0'; -- Soft Reset to Primary clock domain signals signal p_soft_reset : std_logic := '0'; signal p_soft_reset_d1_cdc_tig : std_logic := '0'; signal p_soft_reset_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF p_soft_reset_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF p_soft_reset_d2 : SIGNAL IS "true"; signal p_soft_reset_d3 : std_logic := '0'; signal p_soft_reset_re : std_logic := '0'; -- Qualified soft reset in primary clock domain for -- generating mimimum reset pulse for soft reset signal p_soft_reset_i : std_logic := '0'; signal p_soft_reset_i_d1 : std_logic := '0'; signal p_soft_reset_i_re : std_logic := '0'; -- Graceful halt control signal halt_cmplt_d1_cdc_tig : std_logic := '0'; signal s_halt_cmplt : std_logic := '0'; --ATTRIBUTE async_reg OF halt_cmplt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s_halt_cmplt : SIGNAL IS "true"; signal p_halt_d1_cdc_tig : std_logic := '0'; signal p_halt : std_logic := '0'; --ATTRIBUTE async_reg OF p_halt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF p_halt : SIGNAL IS "true"; signal s_halt : std_logic := '0'; -- composite reset (hard and soft) signal resetn_i : std_logic := '1'; signal scndry_resetn_i : std_logic := '1'; signal axi_resetn_d1_cdc_tig : std_logic := '1'; signal axi_resetn_d2 : std_logic := '1'; --ATTRIBUTE async_reg OF axi_resetn_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF axi_resetn_d2 : SIGNAL IS "true"; signal halt_i : std_logic := '0'; signal p_all_idle : std_logic := '1'; signal p_all_idle_d1_cdc_tig : std_logic := '1'; signal halt_cmplt_reg : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Internal Hard Reset -- Generate reset on hardware reset or soft reset ------------------------------------------------------------------------------- resetn_i <= '0' when s_soft_reset_i = '1' or min_assert_sftrst = '1' or axi_resetn = '0' else '1'; ------------------------------------------------------------------------------- -- Minimum Reset Logic for Soft Reset ------------------------------------------------------------------------------- -- Register to generate rising edge on soft reset and falling edge -- on reset assertion. REG_SFTRST_FOR_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then s_soft_reset_i_d1 <= s_soft_reset_i; assert_sftrst_d1 <= min_assert_sftrst; -- Register soft reset from DMACR to create -- rising edge pulse soft_reset_d1 <= soft_reset; end if; end process REG_SFTRST_FOR_RE; -- rising edge pulse on internal soft reset s_soft_reset_i_re <= s_soft_reset_i and not s_soft_reset_i_d1; -- CR605883 -- rising edge pulse on DMACR soft reset REG_SOFT_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then soft_reset_re <= soft_reset and not soft_reset_d1; end if; end process REG_SOFT_RE; -- falling edge detection on min soft rst to clear soft reset -- bit in register module soft_reset_clr <= (not min_assert_sftrst and assert_sftrst_d1) or (not axi_resetn); ------------------------------------------------------------------------------- -- Generate Reset for synchronous configuration ------------------------------------------------------------------------------- GNE_SYNC_RESET : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. MIN_PULSE_GEN : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; elsif(all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 clocks. MIN_RESET_ASSERTION : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then min_assert_sftrst <= '1'; elsif(sft_rst_dly7 = '1')then min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; ------------------------------------------------------------------------------- -- Soft Reset Support ------------------------------------------------------------------------------- -- Generate reset on hardware reset or soft reset if system is idle -- On soft reset or error -- mm2s dma controller will idle immediatly -- sg fetch engine will complete current task and idle (desc's will flush) -- sg update engine will update all completed descriptors then idle REG_SOFT_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset = '1' and all_idle = '1' and halt_cmplt = '1')then s_soft_reset_i <= '1'; elsif(soft_reset_done = '1')then s_soft_reset_i <= '0'; end if; end if; end process REG_SOFT_RESET; -- Halt datamover on soft_reset or on error. Halt will stay -- asserted until s_soft_reset_i assertion which occurs when -- halt is complete or hard reset REG_DM_HALT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(resetn_i = '0')then halt_i <= '0'; elsif(soft_reset_re = '1' or stop = '1')then halt_i <= '1'; end if; end if; end process REG_DM_HALT; halt <= halt_i; -- AXI Stream reset output REG_STRM_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then prmry_reset_out_n <= resetn_i and not s_soft_reset_i; end if; end process REG_STRM_RESET_OUT; -- If in Scatter Gather mode and status control stream included GEN_ALT_RESET_OUT : if C_INCLUDE_SG = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- AXI Stream reset output REG_ALT_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then altrnt_reset_out_n <= resetn_i and not s_soft_reset_i; end if; end process REG_ALT_RESET_OUT; end generate GEN_ALT_RESET_OUT; -- If in Simple mode or status control stream excluded GEN_NO_ALT_RESET_OUT : if C_INCLUDE_SG = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin altrnt_reset_out_n <= '1'; end generate GEN_NO_ALT_RESET_OUT; -- Registered primary and secondary resets out REG_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then prmry_resetn <= resetn_i; scndry_resetn <= resetn_i; end if; end process REG_RESET_OUT; -- AXI DataMover Primary Reset (Raw) dm_prmry_resetn <= resetn_i; -- AXI DataMover Secondary Reset (Raw) dm_scndry_resetn <= resetn_i; end generate GNE_SYNC_RESET; ------------------------------------------------------------------------------- -- Generate Reset for asynchronous configuration ------------------------------------------------------------------------------- GEN_ASYNC_RESET : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Primary clock is slower or equal to secondary therefore... -- For Halt - can simply pass secondary clock version of soft reset -- rising edge into p_halt assertion -- For Min Rst Assertion - can simply use secondary logic version of min pulse genator GEN_PRMRY_GRTR_EQL_SCNDRY : if C_AXI_PRMRY_ACLK_FREQ_HZ >= C_AXI_SCNDRY_ACLK_FREQ_HZ generate begin -- CR605883 - Register to provide pure register output for synchronizer REG_HALT_CONDITIONS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then s_halt <= soft_reset_re or stop; end if; end process REG_HALT_CONDITIONS; -- Halt data mover on soft reset assertion, error (i.e. stop=1) or -- not running HALT_PROCESS : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => s_halt, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_halt, scndry_vect_out => open ); -- HALT_PROCESS : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- --p_halt_d1_cdc_tig <= soft_reset_re or stop; -- CR605883 -- p_halt_d1_cdc_tig <= s_halt; -- CR605883 -- p_halt <= p_halt_d1_cdc_tig; -- end if; -- end process HALT_PROCESS; -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. -- Adding 5 more flops to make up for 5 stages of Sync flops MIN_PULSE_GEN : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; sft_rst_dly8 <= '0'; sft_rst_dly9 <= '0'; sft_rst_dly10 <= '0'; sft_rst_dly11 <= '0'; sft_rst_dly12 <= '0'; sft_rst_dly13 <= '0'; sft_rst_dly14 <= '0'; sft_rst_dly15 <= '0'; sft_rst_dly16 <= '0'; elsif(all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; sft_rst_dly8 <= sft_rst_dly7; sft_rst_dly9 <= sft_rst_dly8; sft_rst_dly10 <= sft_rst_dly9; sft_rst_dly11 <= sft_rst_dly10; sft_rst_dly12 <= sft_rst_dly11; sft_rst_dly13 <= sft_rst_dly12; sft_rst_dly14 <= sft_rst_dly13; sft_rst_dly15 <= sft_rst_dly14; sft_rst_dly16 <= sft_rst_dly15; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 clocks. MIN_RESET_ASSERTION : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then min_assert_sftrst <= '1'; elsif(sft_rst_dly16 = '1')then min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; end generate GEN_PRMRY_GRTR_EQL_SCNDRY; -- Primary clock is running slower than secondary therefore need to use a primary clock -- based rising edge version of soft_reset for primary halt assertion GEN_PRMRY_LESS_SCNDRY : if C_AXI_PRMRY_ACLK_FREQ_HZ < C_AXI_SCNDRY_ACLK_FREQ_HZ generate signal soft_halt_int : std_logic := '0'; begin -- Halt data mover on soft reset assertion, error (i.e. stop=1) or -- not running soft_halt_int <= p_soft_reset_re or stop; HALT_PROCESS : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => soft_halt_int, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_halt, scndry_vect_out => open ); -- HALT_PROCESS : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_halt_d1_cdc_tig <= p_soft_reset_re or stop; -- p_halt <= p_halt_d1_cdc_tig; -- end if; -- end process HALT_PROCESS; REG_IDLE2PRMRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => all_idle, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_all_idle, scndry_vect_out => open ); -- REG_IDLE2PRMRY : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_all_idle_d1_cdc_tig <= all_idle; -- p_all_idle <= p_all_idle_d1_cdc_tig; -- end if; -- end process REG_IDLE2PRMRY; -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. MIN_PULSE_GEN : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock --if(p_soft_reset_re = '1')then if(p_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; sft_rst_dly8 <= '0'; sft_rst_dly9 <= '0'; sft_rst_dly10 <= '0'; sft_rst_dly11 <= '0'; sft_rst_dly12 <= '0'; sft_rst_dly13 <= '0'; sft_rst_dly14 <= '0'; sft_rst_dly15 <= '0'; sft_rst_dly16 <= '0'; elsif(p_all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; sft_rst_dly8 <= sft_rst_dly7; sft_rst_dly9 <= sft_rst_dly8; sft_rst_dly10 <= sft_rst_dly9; sft_rst_dly11 <= sft_rst_dly10; sft_rst_dly12 <= sft_rst_dly11; sft_rst_dly13 <= sft_rst_dly12; sft_rst_dly14 <= sft_rst_dly13; sft_rst_dly15 <= sft_rst_dly14; sft_rst_dly16 <= sft_rst_dly15; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 primary clocks. MIN_RESET_ASSERTION : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock --if(p_soft_reset_re = '1')then if(p_soft_reset_i_re = '1')then p_min_assert_sftrst <= '1'; elsif(sft_rst_dly16 = '1')then p_min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; -- register minimum reset pulse back to secondary domain REG_MINRST2SCNDRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => p_min_assert_sftrst, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => min_assert_sftrst, scndry_vect_out => open ); -- REG_MINRST2SCNDRY : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- min_assert_sftrst_d1_cdc_tig <= p_min_assert_sftrst; -- min_assert_sftrst <= min_assert_sftrst_d1_cdc_tig; -- end if; -- end process REG_MINRST2SCNDRY; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Generate reset on hardware reset or soft reset if system is idle REG_P_SOFT_RESET : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(p_soft_reset = '1' and p_all_idle = '1' and halt_cmplt = '1')then p_soft_reset_i <= '1'; else p_soft_reset_i <= '0'; end if; end if; end process REG_P_SOFT_RESET; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Register qualified soft reset flag for generating rising edge -- pulse for starting minimum reset pulse REG_SOFT2PRMRY : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then p_soft_reset_i_d1 <= p_soft_reset_i; end if; end process REG_SOFT2PRMRY; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Generate rising edge pulse on qualified soft reset for min pulse -- logic. p_soft_reset_i_re <= p_soft_reset_i and not p_soft_reset_i_d1; end generate GEN_PRMRY_LESS_SCNDRY; -- Double register halt complete flag from primary to secondary -- clock domain. -- Note: halt complete stays asserted until halt clears therefore -- only need to double register from fast to slow clock domain. process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then halt_cmplt_reg <= halt_cmplt; end if; end process; REG_HALT_CMPLT_IN : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => halt_cmplt_reg, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => s_halt_cmplt, scndry_vect_out => open ); -- REG_HALT_CMPLT_IN : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- -- halt_cmplt_d1_cdc_tig <= halt_cmplt; -- s_halt_cmplt <= halt_cmplt_d1_cdc_tig; -- end if; -- end process REG_HALT_CMPLT_IN; ------------------------------------------------------------------------------- -- Soft Reset Support ------------------------------------------------------------------------------- -- Generate reset on hardware reset or soft reset if system is idle REG_SOFT_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset = '1' and all_idle = '1' and s_halt_cmplt = '1')then s_soft_reset_i <= '1'; elsif(soft_reset_done = '1')then s_soft_reset_i <= '0'; end if; end if; end process REG_SOFT_RESET; -- Register soft reset flag into primary domain to correcly -- halt data mover REG_SOFT2PRMRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => soft_reset, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_soft_reset_d2, scndry_vect_out => open ); REG_SOFT2PRMRY1 : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_soft_reset_d1_cdc_tig <= soft_reset; -- p_soft_reset_d2 <= p_soft_reset_d1_cdc_tig; p_soft_reset_d3 <= p_soft_reset_d2; end if; end process REG_SOFT2PRMRY1; -- Generate rising edge pulse for use with p_halt creation p_soft_reset_re <= p_soft_reset_d2 and not p_soft_reset_d3; -- used to mask halt reset below p_soft_reset <= p_soft_reset_d2; -- Halt datamover on soft_reset or on error. Halt will stay -- asserted until s_soft_reset_i assertion which occurs when -- halt is complete or hard reset REG_DM_HALT : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(axi_resetn_d2 = '0')then halt_i <= '0'; elsif(p_halt = '1')then halt_i <= '1'; end if; end if; end process REG_DM_HALT; halt <= halt_i; -- CR605883 (CDC) Create pure register out for synchronizer REG_CMB_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then scndry_resetn_i <= resetn_i; end if; end process REG_CMB_RESET; -- Sync to mm2s primary and register resets out REG_RESET_OUT : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => scndry_resetn_i, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => axi_resetn_d2, scndry_vect_out => open ); -- REG_RESET_OUT : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- --axi_resetn_d1_cdc_tig <= resetn_i; -- CR605883 -- axi_resetn_d1_cdc_tig <= scndry_resetn_i; -- axi_resetn_d2 <= axi_resetn_d1_cdc_tig; -- end if; -- end process REG_RESET_OUT; -- Register resets out to AXI DMA Logic REG_SRESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then scndry_resetn <= resetn_i; end if; end process REG_SRESET_OUT; -- AXI Stream reset output prmry_reset_out_n <= axi_resetn_d2; -- If in Scatter Gather mode and status control stream included GEN_ALT_RESET_OUT : if C_INCLUDE_SG = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- AXI Stream alternate reset output altrnt_reset_out_n <= axi_resetn_d2; end generate GEN_ALT_RESET_OUT; -- If in Simple Mode or status control stream excluded. GEN_NO_ALT_RESET_OUT : if C_INCLUDE_SG = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin altrnt_reset_out_n <= '1'; end generate GEN_NO_ALT_RESET_OUT; -- Register primary reset prmry_resetn <= axi_resetn_d2; -- AXI DataMover Primary Reset dm_prmry_resetn <= axi_resetn_d2; -- AXI DataMover Secondary Reset dm_scndry_resetn <= resetn_i; end generate GEN_ASYNC_RESET; end implementation;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_reset.vhd -- Description: This entity encompasses the reset logic (soft and hard) for -- distribution to the axi_vdma core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library lib_cdc_v1_0_2; library axi_dma_v7_1_8; use axi_dma_v7_1_8.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_reset is generic( C_INCLUDE_SG : integer range 0 to 1 := 1; -- Include or Exclude the Scatter Gather Engine -- 0 = Exclude SG Engine - Enables Simple DMA Mode -- 1 = Include SG Engine - Enables Scatter Gather Mode C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. C_AXI_PRMRY_ACLK_FREQ_HZ : integer := 100000000; -- Primary clock frequency in hertz C_AXI_SCNDRY_ACLK_FREQ_HZ : integer := 100000000 -- Secondary clock frequency in hertz ); port ( -- Clock Sources m_axi_sg_aclk : in std_logic ; -- axi_prmry_aclk : in std_logic ; -- -- -- Hard Reset -- axi_resetn : in std_logic ; -- -- -- Soft Reset -- soft_reset : in std_logic ; -- soft_reset_clr : out std_logic := '0' ; -- soft_reset_done : in std_logic ; -- -- -- all_idle : in std_logic ; -- stop : in std_logic ; -- halt : out std_logic := '0' ; -- halt_cmplt : in std_logic ; -- -- -- Secondary Reset -- scndry_resetn : out std_logic := '1' ; -- -- AXI Upsizer and Line Buffer -- prmry_resetn : out std_logic := '0' ; -- -- AXI DataMover Primary Reset (Raw) -- dm_prmry_resetn : out std_logic := '1' ; -- -- AXI DataMover Secondary Reset (Raw) -- dm_scndry_resetn : out std_logic := '1' ; -- -- AXI Primary Stream Reset Outputs -- prmry_reset_out_n : out std_logic := '1' ; -- -- AXI Alternat Stream Reset Outputs -- altrnt_reset_out_n : out std_logic := '1' -- ); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of scndry_resetn : signal is "TRUE"; Attribute KEEP of prmry_resetn : signal is "TRUE"; Attribute KEEP of dm_scndry_resetn : signal is "TRUE"; Attribute KEEP of dm_prmry_resetn : signal is "TRUE"; Attribute KEEP of prmry_reset_out_n : signal is "TRUE"; Attribute KEEP of altrnt_reset_out_n : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of scndry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of prmry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of dm_scndry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of dm_prmry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of prmry_reset_out_n : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of altrnt_reset_out_n: signal is "no"; end axi_dma_reset; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_reset is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Soft Reset Support signal s_soft_reset_i : std_logic := '0'; signal s_soft_reset_i_d1 : std_logic := '0'; signal s_soft_reset_i_re : std_logic := '0'; signal assert_sftrst_d1 : std_logic := '0'; signal min_assert_sftrst : std_logic := '0'; signal min_assert_sftrst_d1_cdc_tig : std_logic := '0'; --ATTRIBUTE async_reg OF min_assert_sftrst_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF min_assert_sftrst : SIGNAL IS "true"; signal p_min_assert_sftrst : std_logic := '0'; signal sft_rst_dly1 : std_logic := '0'; signal sft_rst_dly2 : std_logic := '0'; signal sft_rst_dly3 : std_logic := '0'; signal sft_rst_dly4 : std_logic := '0'; signal sft_rst_dly5 : std_logic := '0'; signal sft_rst_dly6 : std_logic := '0'; signal sft_rst_dly7 : std_logic := '0'; signal sft_rst_dly8 : std_logic := '0'; signal sft_rst_dly9 : std_logic := '0'; signal sft_rst_dly10 : std_logic := '0'; signal sft_rst_dly11 : std_logic := '0'; signal sft_rst_dly12 : std_logic := '0'; signal sft_rst_dly13 : std_logic := '0'; signal sft_rst_dly14 : std_logic := '0'; signal sft_rst_dly15 : std_logic := '0'; signal sft_rst_dly16 : std_logic := '0'; signal soft_reset_d1 : std_logic := '0'; signal soft_reset_re : std_logic := '0'; -- Soft Reset to Primary clock domain signals signal p_soft_reset : std_logic := '0'; signal p_soft_reset_d1_cdc_tig : std_logic := '0'; signal p_soft_reset_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF p_soft_reset_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF p_soft_reset_d2 : SIGNAL IS "true"; signal p_soft_reset_d3 : std_logic := '0'; signal p_soft_reset_re : std_logic := '0'; -- Qualified soft reset in primary clock domain for -- generating mimimum reset pulse for soft reset signal p_soft_reset_i : std_logic := '0'; signal p_soft_reset_i_d1 : std_logic := '0'; signal p_soft_reset_i_re : std_logic := '0'; -- Graceful halt control signal halt_cmplt_d1_cdc_tig : std_logic := '0'; signal s_halt_cmplt : std_logic := '0'; --ATTRIBUTE async_reg OF halt_cmplt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s_halt_cmplt : SIGNAL IS "true"; signal p_halt_d1_cdc_tig : std_logic := '0'; signal p_halt : std_logic := '0'; --ATTRIBUTE async_reg OF p_halt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF p_halt : SIGNAL IS "true"; signal s_halt : std_logic := '0'; -- composite reset (hard and soft) signal resetn_i : std_logic := '1'; signal scndry_resetn_i : std_logic := '1'; signal axi_resetn_d1_cdc_tig : std_logic := '1'; signal axi_resetn_d2 : std_logic := '1'; --ATTRIBUTE async_reg OF axi_resetn_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF axi_resetn_d2 : SIGNAL IS "true"; signal halt_i : std_logic := '0'; signal p_all_idle : std_logic := '1'; signal p_all_idle_d1_cdc_tig : std_logic := '1'; signal halt_cmplt_reg : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Internal Hard Reset -- Generate reset on hardware reset or soft reset ------------------------------------------------------------------------------- resetn_i <= '0' when s_soft_reset_i = '1' or min_assert_sftrst = '1' or axi_resetn = '0' else '1'; ------------------------------------------------------------------------------- -- Minimum Reset Logic for Soft Reset ------------------------------------------------------------------------------- -- Register to generate rising edge on soft reset and falling edge -- on reset assertion. REG_SFTRST_FOR_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then s_soft_reset_i_d1 <= s_soft_reset_i; assert_sftrst_d1 <= min_assert_sftrst; -- Register soft reset from DMACR to create -- rising edge pulse soft_reset_d1 <= soft_reset; end if; end process REG_SFTRST_FOR_RE; -- rising edge pulse on internal soft reset s_soft_reset_i_re <= s_soft_reset_i and not s_soft_reset_i_d1; -- CR605883 -- rising edge pulse on DMACR soft reset REG_SOFT_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then soft_reset_re <= soft_reset and not soft_reset_d1; end if; end process REG_SOFT_RE; -- falling edge detection on min soft rst to clear soft reset -- bit in register module soft_reset_clr <= (not min_assert_sftrst and assert_sftrst_d1) or (not axi_resetn); ------------------------------------------------------------------------------- -- Generate Reset for synchronous configuration ------------------------------------------------------------------------------- GNE_SYNC_RESET : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. MIN_PULSE_GEN : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; elsif(all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 clocks. MIN_RESET_ASSERTION : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then min_assert_sftrst <= '1'; elsif(sft_rst_dly7 = '1')then min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; ------------------------------------------------------------------------------- -- Soft Reset Support ------------------------------------------------------------------------------- -- Generate reset on hardware reset or soft reset if system is idle -- On soft reset or error -- mm2s dma controller will idle immediatly -- sg fetch engine will complete current task and idle (desc's will flush) -- sg update engine will update all completed descriptors then idle REG_SOFT_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset = '1' and all_idle = '1' and halt_cmplt = '1')then s_soft_reset_i <= '1'; elsif(soft_reset_done = '1')then s_soft_reset_i <= '0'; end if; end if; end process REG_SOFT_RESET; -- Halt datamover on soft_reset or on error. Halt will stay -- asserted until s_soft_reset_i assertion which occurs when -- halt is complete or hard reset REG_DM_HALT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(resetn_i = '0')then halt_i <= '0'; elsif(soft_reset_re = '1' or stop = '1')then halt_i <= '1'; end if; end if; end process REG_DM_HALT; halt <= halt_i; -- AXI Stream reset output REG_STRM_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then prmry_reset_out_n <= resetn_i and not s_soft_reset_i; end if; end process REG_STRM_RESET_OUT; -- If in Scatter Gather mode and status control stream included GEN_ALT_RESET_OUT : if C_INCLUDE_SG = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- AXI Stream reset output REG_ALT_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then altrnt_reset_out_n <= resetn_i and not s_soft_reset_i; end if; end process REG_ALT_RESET_OUT; end generate GEN_ALT_RESET_OUT; -- If in Simple mode or status control stream excluded GEN_NO_ALT_RESET_OUT : if C_INCLUDE_SG = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin altrnt_reset_out_n <= '1'; end generate GEN_NO_ALT_RESET_OUT; -- Registered primary and secondary resets out REG_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then prmry_resetn <= resetn_i; scndry_resetn <= resetn_i; end if; end process REG_RESET_OUT; -- AXI DataMover Primary Reset (Raw) dm_prmry_resetn <= resetn_i; -- AXI DataMover Secondary Reset (Raw) dm_scndry_resetn <= resetn_i; end generate GNE_SYNC_RESET; ------------------------------------------------------------------------------- -- Generate Reset for asynchronous configuration ------------------------------------------------------------------------------- GEN_ASYNC_RESET : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Primary clock is slower or equal to secondary therefore... -- For Halt - can simply pass secondary clock version of soft reset -- rising edge into p_halt assertion -- For Min Rst Assertion - can simply use secondary logic version of min pulse genator GEN_PRMRY_GRTR_EQL_SCNDRY : if C_AXI_PRMRY_ACLK_FREQ_HZ >= C_AXI_SCNDRY_ACLK_FREQ_HZ generate begin -- CR605883 - Register to provide pure register output for synchronizer REG_HALT_CONDITIONS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then s_halt <= soft_reset_re or stop; end if; end process REG_HALT_CONDITIONS; -- Halt data mover on soft reset assertion, error (i.e. stop=1) or -- not running HALT_PROCESS : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => s_halt, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_halt, scndry_vect_out => open ); -- HALT_PROCESS : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- --p_halt_d1_cdc_tig <= soft_reset_re or stop; -- CR605883 -- p_halt_d1_cdc_tig <= s_halt; -- CR605883 -- p_halt <= p_halt_d1_cdc_tig; -- end if; -- end process HALT_PROCESS; -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. -- Adding 5 more flops to make up for 5 stages of Sync flops MIN_PULSE_GEN : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; sft_rst_dly8 <= '0'; sft_rst_dly9 <= '0'; sft_rst_dly10 <= '0'; sft_rst_dly11 <= '0'; sft_rst_dly12 <= '0'; sft_rst_dly13 <= '0'; sft_rst_dly14 <= '0'; sft_rst_dly15 <= '0'; sft_rst_dly16 <= '0'; elsif(all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; sft_rst_dly8 <= sft_rst_dly7; sft_rst_dly9 <= sft_rst_dly8; sft_rst_dly10 <= sft_rst_dly9; sft_rst_dly11 <= sft_rst_dly10; sft_rst_dly12 <= sft_rst_dly11; sft_rst_dly13 <= sft_rst_dly12; sft_rst_dly14 <= sft_rst_dly13; sft_rst_dly15 <= sft_rst_dly14; sft_rst_dly16 <= sft_rst_dly15; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 clocks. MIN_RESET_ASSERTION : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then min_assert_sftrst <= '1'; elsif(sft_rst_dly16 = '1')then min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; end generate GEN_PRMRY_GRTR_EQL_SCNDRY; -- Primary clock is running slower than secondary therefore need to use a primary clock -- based rising edge version of soft_reset for primary halt assertion GEN_PRMRY_LESS_SCNDRY : if C_AXI_PRMRY_ACLK_FREQ_HZ < C_AXI_SCNDRY_ACLK_FREQ_HZ generate signal soft_halt_int : std_logic := '0'; begin -- Halt data mover on soft reset assertion, error (i.e. stop=1) or -- not running soft_halt_int <= p_soft_reset_re or stop; HALT_PROCESS : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => soft_halt_int, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_halt, scndry_vect_out => open ); -- HALT_PROCESS : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_halt_d1_cdc_tig <= p_soft_reset_re or stop; -- p_halt <= p_halt_d1_cdc_tig; -- end if; -- end process HALT_PROCESS; REG_IDLE2PRMRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => all_idle, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_all_idle, scndry_vect_out => open ); -- REG_IDLE2PRMRY : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_all_idle_d1_cdc_tig <= all_idle; -- p_all_idle <= p_all_idle_d1_cdc_tig; -- end if; -- end process REG_IDLE2PRMRY; -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. MIN_PULSE_GEN : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock --if(p_soft_reset_re = '1')then if(p_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; sft_rst_dly8 <= '0'; sft_rst_dly9 <= '0'; sft_rst_dly10 <= '0'; sft_rst_dly11 <= '0'; sft_rst_dly12 <= '0'; sft_rst_dly13 <= '0'; sft_rst_dly14 <= '0'; sft_rst_dly15 <= '0'; sft_rst_dly16 <= '0'; elsif(p_all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; sft_rst_dly8 <= sft_rst_dly7; sft_rst_dly9 <= sft_rst_dly8; sft_rst_dly10 <= sft_rst_dly9; sft_rst_dly11 <= sft_rst_dly10; sft_rst_dly12 <= sft_rst_dly11; sft_rst_dly13 <= sft_rst_dly12; sft_rst_dly14 <= sft_rst_dly13; sft_rst_dly15 <= sft_rst_dly14; sft_rst_dly16 <= sft_rst_dly15; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 primary clocks. MIN_RESET_ASSERTION : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock --if(p_soft_reset_re = '1')then if(p_soft_reset_i_re = '1')then p_min_assert_sftrst <= '1'; elsif(sft_rst_dly16 = '1')then p_min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; -- register minimum reset pulse back to secondary domain REG_MINRST2SCNDRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => p_min_assert_sftrst, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => min_assert_sftrst, scndry_vect_out => open ); -- REG_MINRST2SCNDRY : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- min_assert_sftrst_d1_cdc_tig <= p_min_assert_sftrst; -- min_assert_sftrst <= min_assert_sftrst_d1_cdc_tig; -- end if; -- end process REG_MINRST2SCNDRY; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Generate reset on hardware reset or soft reset if system is idle REG_P_SOFT_RESET : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(p_soft_reset = '1' and p_all_idle = '1' and halt_cmplt = '1')then p_soft_reset_i <= '1'; else p_soft_reset_i <= '0'; end if; end if; end process REG_P_SOFT_RESET; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Register qualified soft reset flag for generating rising edge -- pulse for starting minimum reset pulse REG_SOFT2PRMRY : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then p_soft_reset_i_d1 <= p_soft_reset_i; end if; end process REG_SOFT2PRMRY; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Generate rising edge pulse on qualified soft reset for min pulse -- logic. p_soft_reset_i_re <= p_soft_reset_i and not p_soft_reset_i_d1; end generate GEN_PRMRY_LESS_SCNDRY; -- Double register halt complete flag from primary to secondary -- clock domain. -- Note: halt complete stays asserted until halt clears therefore -- only need to double register from fast to slow clock domain. process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then halt_cmplt_reg <= halt_cmplt; end if; end process; REG_HALT_CMPLT_IN : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => halt_cmplt_reg, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => s_halt_cmplt, scndry_vect_out => open ); -- REG_HALT_CMPLT_IN : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- -- halt_cmplt_d1_cdc_tig <= halt_cmplt; -- s_halt_cmplt <= halt_cmplt_d1_cdc_tig; -- end if; -- end process REG_HALT_CMPLT_IN; ------------------------------------------------------------------------------- -- Soft Reset Support ------------------------------------------------------------------------------- -- Generate reset on hardware reset or soft reset if system is idle REG_SOFT_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset = '1' and all_idle = '1' and s_halt_cmplt = '1')then s_soft_reset_i <= '1'; elsif(soft_reset_done = '1')then s_soft_reset_i <= '0'; end if; end if; end process REG_SOFT_RESET; -- Register soft reset flag into primary domain to correcly -- halt data mover REG_SOFT2PRMRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => soft_reset, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_soft_reset_d2, scndry_vect_out => open ); REG_SOFT2PRMRY1 : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_soft_reset_d1_cdc_tig <= soft_reset; -- p_soft_reset_d2 <= p_soft_reset_d1_cdc_tig; p_soft_reset_d3 <= p_soft_reset_d2; end if; end process REG_SOFT2PRMRY1; -- Generate rising edge pulse for use with p_halt creation p_soft_reset_re <= p_soft_reset_d2 and not p_soft_reset_d3; -- used to mask halt reset below p_soft_reset <= p_soft_reset_d2; -- Halt datamover on soft_reset or on error. Halt will stay -- asserted until s_soft_reset_i assertion which occurs when -- halt is complete or hard reset REG_DM_HALT : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(axi_resetn_d2 = '0')then halt_i <= '0'; elsif(p_halt = '1')then halt_i <= '1'; end if; end if; end process REG_DM_HALT; halt <= halt_i; -- CR605883 (CDC) Create pure register out for synchronizer REG_CMB_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then scndry_resetn_i <= resetn_i; end if; end process REG_CMB_RESET; -- Sync to mm2s primary and register resets out REG_RESET_OUT : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => scndry_resetn_i, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => axi_resetn_d2, scndry_vect_out => open ); -- REG_RESET_OUT : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- --axi_resetn_d1_cdc_tig <= resetn_i; -- CR605883 -- axi_resetn_d1_cdc_tig <= scndry_resetn_i; -- axi_resetn_d2 <= axi_resetn_d1_cdc_tig; -- end if; -- end process REG_RESET_OUT; -- Register resets out to AXI DMA Logic REG_SRESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then scndry_resetn <= resetn_i; end if; end process REG_SRESET_OUT; -- AXI Stream reset output prmry_reset_out_n <= axi_resetn_d2; -- If in Scatter Gather mode and status control stream included GEN_ALT_RESET_OUT : if C_INCLUDE_SG = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- AXI Stream alternate reset output altrnt_reset_out_n <= axi_resetn_d2; end generate GEN_ALT_RESET_OUT; -- If in Simple Mode or status control stream excluded. GEN_NO_ALT_RESET_OUT : if C_INCLUDE_SG = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin altrnt_reset_out_n <= '1'; end generate GEN_NO_ALT_RESET_OUT; -- Register primary reset prmry_resetn <= axi_resetn_d2; -- AXI DataMover Primary Reset dm_prmry_resetn <= axi_resetn_d2; -- AXI DataMover Secondary Reset dm_scndry_resetn <= resetn_i; end generate GEN_ASYNC_RESET; end implementation;
----------------------------------------------------------------------------| -- Author : Miguel Morales-Sandoval Copyrights (R) | -- Project : " Reconfigurable ECC" | -- Organization : INAOE, Computer Science Department | -- Date : Originally created March, 2007. | ----------------------------------------------------------------------------| -- / o o \ This the binary method to compute | -- / - o o - \ scalar multiplication in affine | -- / --- o o --- \ coordinates. It uses a module that | -- / ---- o o ---- \ implements the ECC-ADD and ECC-DOUBLE | -- /------ o o ----- \ at the same time. The operations are | -- / ----- o o ----- \ performed serially. This is a 163 bit | -- / o o o o o o \ implementation. | -- x x x | -- x ----- x | -- x ------- x | -- x --------- x | -- x --------- x | -- ------------- | -- _ _ _ _ ___ ___ | -- | || \ | | / _ \ | _ || __| | -- | || \| || |_| ||| ||||__ | -- | || \ | || _ |||_||||__ | -- |_||_|\__||_| |_||___||___| | ----------------------------------------------------------------------------| library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; entity binaryMethod is generic( -------------------------------------------------------------- -- para la entrada y salida CW : positive := 29; -- 29, 23, 11, 5, 7, 5 :- bits que "faltan" para ser multiplo de 32 WORD : positive := 32; -- 32, 32, 32, 32, 32, 32 :- Numero de palabra de entrada ITR : positive := 6; -- 6, 8, 9, 9, 13, 18 :- No. de iteraciones en la máquina de estados -------------------------------------------------------------- -- 163, 233, 277, 283, 409, 571 -- para el squarer -------------------------------------------------------------- D : positive := 9; -- 9, 76, 14, 14, 89, 12 -- el "grado mas grande" para el polinomio en el campo m + 2 NUM2_BITS: positive := 325; -- 325, 465, 553, 565, 817, 1141 -- 2*NUMBITS -1 -------------------------------------------------------------- -- 163, 233, 277, 283, 409, 571 -- El nivel de seguridad NUM_BITS: positive := 163 ); port( valid_data_in :in std_logic; valid_data_out :out std_logic; ack_master :in std_logic; ack_slave :out std_logic; data_in : in std_logic_vector(WORD-1 downto 0); data_out : out std_logic_vector(WORD-1 downto 0); op : in std_logic; -- 0 -> Scalar multiplication, 1 -> SUM of points clk : in std_logic; rst : in std_logic ); end; ------------------------------------------------------------------ architecture behave of BinaryMethod is ------------------------------------------------------------------ -- se agregaron mas estados para controlar la carga de los -- operadores de la multiplicación escalar o de la suma type CurrentState_type is (END_STATE, WAIT_DATA, WAIT_MORE, SEND_MORE, SEND_DATA, MODE0, WAIT_FINISH); signal CurrentState: CurrentState_type; ------------------------------------------------------------------ signal R_X: std_logic_vector(NUM_BITS-1 downto 0); -- Registros para manterner las operaciones ADD y Double signal R_Y: std_logic_vector(NUM_BITS-1 downto 0); signal P_X: std_logic_vector(NUM_BITS-1 downto 0); signal P_Y: std_logic_vector(NUM_BITS-1 downto 0); signal counter: std_logic_vector(7 downto 0); -- Counter, indicates the number of iterations (m) ------------------------------------------------------------------ signal RstADD : std_logic; -- Interface signals for the ADD-Double module signal ADD_X : std_logic_vector(NUM_BITS-1 downto 0); signal ADD_Y : std_logic_vector(NUM_BITS-1 downto 0); signal DoneADD: std_logic; signal op_ADD: std_logic; ------------------------------------------------------------------ signal internal_regk : std_logic_vector(NUM_BITS-1 downto 0); -- Register to keep the shifth value of the scalar signal K_SHIFT : std_logic_vector(NUM_BITS-1 downto 0); -- The combinatorial shifter for the scalar ------------------------------------------------------------------ -- Estas señales se agregaron para controlar y carga y salida de -- valores desde/hacia el modulo KP signal B_x_in : std_logic_vector((NUM_BITS+CW)-1 downto 0); -- 1 Registros de NUM_BITS bits para el siguiente corrimiento signal B_X_shift : std_logic_vector((NUM_BITS+CW)-1 downto 0); -- Corrimiento combinacional signal counter_word : std_logic_vector(4 downto 0); -- Contador para la carga del dato signal mux_input : std_logic_vector(WORD-1 downto 0); -- Contador para la carga del dato ------------------------------------------------------------------ begin ------------------------------------------------------------------ -- The ADD module ECC_ADD: entity ECC_add_serial_163(behave) --Generic Map (D, NUM2_BITS, NUM_BITS) Generic Map (NUM_BITS) Port Map(R_X, R_Y, P_X, P_Y, clk, RstADD, op_ADD, ADD_X, ADD_Y, DoneADD); k_shift <= internal_regk(NUM_BITS-2 downto 0) & '0'; -- Shift the scalar every time mux_input <= data_in when currentState = WAIT_DATA else (others => '0'); B_x_shift <= B_x_in((NUM_BITS+CW)-WORD-1 downto 0) & mux_input; data_out <= B_x_in(NUM_BITS+CW-1 downto NUM_BITS+CW-WORD); ------------------------------------------------------------------ -- Finite state machine that implements the LEFT-TO-RIGTH -- binary method, ADD and DOUBLING are performed serially ------------------------------------------------------------------ SCALAR_MUL_FSM: process (CLK) Begin -- Inferencias de 6 registros de m y m+CW bits if CLK'event and CLK = '1' then if Rst = '1' then -- synchronous reset R_X <= (others => '0'); -- registros internos R_Y <= (others => '0'); -- para suma y double P_X <= (others => '0'); P_Y <= (others => '0'); B_x_in <= (others => '0'); internal_regk <= (others => '0'); -- registro de corrimiento del escalar k RstADD <= '0'; -- Señales de control para los bloques ADD y DOUBLE counter_word <= (others => '0'); -- contador para capturar las palabras de entrada counter <= (others => '0'); -- contador para la multiplicación escalar CurrentState <= WAIT_DATA; -- El estado para esperar la primera secuencia de WORDS ack_slave <= '0'; valid_data_out <= '0'; -- Señal de salida que habilida WORDs validos a la salida else case CurrentState is ---------------------------------------------------- when WAIT_DATA => if valid_data_in = '1' then B_X_in <= B_x_shift; ack_slave <= '1'; if counter_word = "00110" then -- ITR P_X <= B_X_in(NUM_BITS-1 downto 0); CurrentState <= WAIT_MORE; elsif counter_word = "01100" then -- 2*ITR P_Y <= B_X_in(NUM_BITS-1 downto 0); CurrentState <= WAIT_MORE; elsif counter_word = "10010" then -- 3*ITR if op = '0' then -- multiplicación escalar internal_regk <= B_x_in(NUM_BITS-1 downto 0); -- Lo que se leyo es el escalar, comenzar a ejecutar kP op_ADD <= '0'; --Double RstADD <= '1'; CurrentState <= MODE0; else R_X <= B_X_in(NUM_BITS-1 downto 0); CurrentState <= WAIT_MORE; end if; elsif counter_word = "11000" then --4*ITR R_Y <= B_X_in(NUM_BITS-1 downto 0); -- Ya tenemos los dos puntos, hacemos la suma op_ADD <= '1'; --ADD RstADD <= '1'; CurrentState <= MODE0; else CurrentState <= WAIT_MORE; end if; end if; ---------------------------------------------------- when WAIT_MORE => -- Espera a que el host regrese subtype señal de dato valido a cero if valid_data_in = '0' then ack_slave <= '0'; CurrentState <= WAIT_DATA; Counter_word <= Counter_word + "0001"; end if; ---------------------------------------------------- when MODE0 => RstADD <= '0'; -- Emite el pulso al Modulo de suma y espera a que termine la operacion CurrentState <= WAIT_FINISH; ---------------------------------------------------- when WAIT_FINISH => if DoneADD = '1' then -- Espera hasta que la operacion ADD termina if op = '1' then -- solo esta suma, terminar B_x_in <= "00000000000000000000000000000" & ADD_X; counter_word <= (others => '0'); CurrentState <= SEND_DATA; valid_data_out <= '1'; else R_X <= ADD_X; -- Almacenar el resultado actual R_Y <= ADD_Y; if internal_regk(NUM_BITS-1) = '1' and op_ADD = '0' then-- se comienza una nueva operacion si es qu es necesario realizar una suma op_ADD <= '1'; -- venia de una operacion doble, realiza una operacion suma RSTADD <= '1'; CurrentState <= MODE0; else counter <= counter + 1; -- incrementa el counter para indicar que ya se consumio un bit del escalar if counter = "10100010" then --162 = NUM_BITS-1, if all iterations has been performed, then the operation is compleated B_x_in <= "00000000000000000000000000000" & ADD_X; counter_word <= (others => '0'); valid_data_out <= '1'; CurrentState <= SEND_DATA; else -- if not all iterations have been performed, do the following internal_regk <= k_shift; -- update the scalar shifted op_ADD <= '0'; -- operacion double RstADD <= '1'; CurrentState <= MODE0; end if; end if; end if; end if; ---------------------------------------------------- when SEND_DATA => -- ya hay una palabra valida a la salida, esperar a que el host la lea --espera el ack del receptor if ack_master = '1' then Counter_word <= Counter_word + "0001"; valid_data_out <= '0'; CurrentState <= SEND_MORE; end if; ---------------------------------------------------- when SEND_MORE => -- pone una palabra valida mas if ack_master = '0' then if counter_word = "0110" then -- ITR = 6 B_x_in <= "00000000000000000000000000000" & ADD_Y; valid_data_out <= '1'; CurrentState <= SEND_DATA; elsif counter_word = "1100" then -- 2*ITR = 12 CurrentState <= END_STATE; else B_x_in <= B_x_shift; valid_data_out <= '1'; CurrentState <= SEND_DATA; end if; end if; when END_STATE => -- do nothing, wait until the reset signal goes to '1' valid_data_out <= '0'; ---------------------------------------------------- when others => null; end case; end if; end if; end process; end behave;
-- NEED RESULT: ARCH00160.P1: Multi inertial transactions occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00160.P2: Multi inertial transactions occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00160.P3: Multi inertial transactions occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00160: One inertial transaction occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00160: One inertial transaction occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00160: One inertial transaction occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00160: Old transactions were removed on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00160: Old transactions were removed on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00160: Old transactions were removed on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00160: One inertial transaction occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00160: One inertial transaction occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00160: One inertial transaction occurred on signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00160: Inertial semantics check on a signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00160: Inertial semantics check on a signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00160: Inertial semantics check on a signal asg with indexed name prefixed by an indexed name on LHS passed -- NEED RESULT: P3: Inertial transactions entirely completed passed -- NEED RESULT: P2: Inertial transactions entirely completed passed -- NEED RESULT: P1: Inertial transactions entirely completed passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00160 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (1) -- 8.3 (2) -- 8.3 (4) -- 8.3 (5) -- 8.3.1 (4) -- -- DESIGN UNIT ORDERING: -- -- ENT00160(ARCH00160) -- ENT00160_Test_Bench(ARCH00160_Test_Bench) -- -- REVISION HISTORY: -- -- 08-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00160 is port ( s_st_arr1_vector : inout st_arr1_vector ; s_st_arr2_vector : inout st_arr2_vector ; s_st_arr3_vector : inout st_arr3_vector ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_arr1_vector : chk_sig_type := -1 ; signal chk_st_arr2_vector : chk_sig_type := -1 ; signal chk_st_arr3_vector : chk_sig_type := -1 ; -- -- procedure Proc1 ( signal s_st_arr1_vector : inout st_arr1_vector ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_arr1_vector : out chk_sig_type ) is begin case counter is when 0 => s_st_arr1_vector(lowb) ( st_arr1'Left) <= c_st_arr1_vector_2(highb) ( st_arr1'Right) after 10 ns, c_st_arr1_vector_1(highb) ( st_arr1'Right) after 20 ns ; -- when 1 => correct := s_st_arr1_vector(lowb) ( st_arr1'Left) = c_st_arr1_vector_2(highb) ( st_arr1'Right) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr1_vector(lowb) ( st_arr1'Left) = c_st_arr1_vector_1(highb) ( st_arr1'Right) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00160.P1" , "Multi inertial transactions occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr1_vector(lowb) ( st_arr1'Left) <= c_st_arr1_vector_2(highb) ( st_arr1'Right) after 10 ns, c_st_arr1_vector_1(highb) ( st_arr1'Right) after 20 ns, c_st_arr1_vector_2(highb) ( st_arr1'Right) after 30 ns, c_st_arr1_vector_1(highb) ( st_arr1'Right) after 40 ns ; -- when 3 => correct := s_st_arr1_vector(lowb) ( st_arr1'Left) = c_st_arr1_vector_2(highb) ( st_arr1'Right) and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr1_vector(lowb) ( st_arr1'Left) <= c_st_arr1_vector_1(highb) ( st_arr1'Right) after 5 ns; -- when 4 => correct := correct and s_st_arr1_vector(lowb) ( st_arr1'Left) = c_st_arr1_vector_1(highb) ( st_arr1'Right) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00160" , "One inertial transaction occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr1_vector(lowb) ( st_arr1'Left) <= transport c_st_arr1_vector_1(highb) ( st_arr1'Right) after 100 ns; -- when 5 => correct := s_st_arr1_vector(lowb) ( st_arr1'Left) = c_st_arr1_vector_1(highb) ( st_arr1'Right) and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00160" , "Old transactions were removed on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr1_vector(lowb) ( st_arr1'Left) <= c_st_arr1_vector_2(highb) ( st_arr1'Right) after 10 ns, c_st_arr1_vector_1(highb) ( st_arr1'Right) after 20 ns, c_st_arr1_vector_2(highb) ( st_arr1'Right) after 30 ns, c_st_arr1_vector_1(highb) ( st_arr1'Right) after 40 ns ; -- when 6 => correct := s_st_arr1_vector(lowb) ( st_arr1'Left) = c_st_arr1_vector_2(highb) ( st_arr1'Right) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00160" , "One inertial transaction occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; -- The following will mark last transaction above s_st_arr1_vector(lowb) ( st_arr1'Left) <= c_st_arr1_vector_1(highb) ( st_arr1'Right) after 40 ns; -- when 7 => correct := s_st_arr1_vector(lowb) ( st_arr1'Left) = c_st_arr1_vector_1(highb) ( st_arr1'Right) and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_arr1_vector(lowb) ( st_arr1'Left) = c_st_arr1_vector_1(highb) ( st_arr1'Right) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00160" , "Inertial semantics check on a signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00160" , "Inertial semantics check on a signal " & "asg with indexed name prefixed by an indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr1_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- procedure Proc2 ( signal s_st_arr2_vector : inout st_arr2_vector ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_arr2_vector : out chk_sig_type ) is begin case counter is when 0 => s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) <= c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 10 ns, c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 20 ns ; -- when 1 => correct := s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00160.P2" , "Multi inertial transactions occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) <= c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 10 ns, c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 20 ns, c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 30 ns, c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 40 ns ; -- when 3 => correct := s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) <= c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 5 ns; -- when 4 => correct := correct and s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00160" , "One inertial transaction occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) <= transport c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 100 ns; -- when 5 => correct := s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00160" , "Old transactions were removed on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) <= c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 10 ns, c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 20 ns, c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 30 ns, c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 40 ns ; -- when 6 => correct := s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_vector_2(highb) ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00160" , "One inertial transaction occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; -- The following will mark last transaction above s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) <= c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) after 40 ns; -- when 7 => correct := s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_arr2_vector(lowb) ( st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr2_vector_1(highb) ( st_arr2'Right(1),st_arr2'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00160" , "Inertial semantics check on a signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00160" , "Inertial semantics check on a signal " & "asg with indexed name prefixed by an indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr2_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc2 ; -- procedure Proc3 ( signal s_st_arr3_vector : inout st_arr3_vector ; variable counter : inout integer ; variable correct : inout boolean ; variable savtime : inout time ; signal chk_st_arr3_vector : out chk_sig_type ) is begin case counter is when 0 => s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) <= c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 10 ns, c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 20 ns ; -- when 1 => correct := s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00160.P3" , "Multi inertial transactions occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) <= c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 10 ns, c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 20 ns, c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 30 ns, c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 40 ns ; -- when 3 => correct := s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) <= c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 5 ns; -- when 4 => correct := correct and s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00160" , "One inertial transaction occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) <= transport c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 100 ns; -- when 5 => correct := s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00160" , "Old transactions were removed on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) <= c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 10 ns, c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 20 ns, c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 30 ns, c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 40 ns ; -- when 6 => correct := s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_vector_2(highb) ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00160" , "One inertial transaction occurred on signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; -- The following will mark last transaction above s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) <= c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) after 40 ns; -- when 7 => correct := s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_arr3_vector(lowb) ( st_arr3'Left(1),st_arr3'Left(2)) = c_st_arr3_vector_1(highb) ( st_arr3'Right(1),st_arr3'Right(2)) and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00160" , "Inertial semantics check on a signal " & "asg with indexed name prefixed by an indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00160" , "Inertial semantics check on a signal " & "asg with indexed name prefixed by an indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_arr3_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc3 ; -- -- end ENT00160 ; -- architecture ARCH00160 of ENT00160 is begin P1 : process variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc1 ( s_st_arr1_vector, counter, correct, savtime, chk_st_arr1_vector ) ; wait until (not s_st_arr1_vector'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P1 ; -- PGEN_CHKP_1 : process ( chk_st_arr1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Inertial transactions entirely completed", chk_st_arr1_vector = 8 ) ; end if ; end process PGEN_CHKP_1 ; -- -- P2 : process variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc2 ( s_st_arr2_vector, counter, correct, savtime, chk_st_arr2_vector ) ; wait until (not s_st_arr2_vector'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P2 ; -- PGEN_CHKP_2 : process ( chk_st_arr2_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Inertial transactions entirely completed", chk_st_arr2_vector = 8 ) ; end if ; end process PGEN_CHKP_2 ; -- -- P3 : process variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time ; begin Proc3 ( s_st_arr3_vector, counter, correct, savtime, chk_st_arr3_vector ) ; wait until (not s_st_arr3_vector'Quiet) and (savtime /= Std.Standard.Now) ; -- end process P3 ; -- PGEN_CHKP_3 : process ( chk_st_arr3_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Inertial transactions entirely completed", chk_st_arr3_vector = 8 ) ; end if ; end process PGEN_CHKP_3 ; -- -- -- end ARCH00160 ; -- use WORK.STANDARD_TYPES.all ; entity ENT00160_Test_Bench is signal s_st_arr1_vector : st_arr1_vector := c_st_arr1_vector_1 ; signal s_st_arr2_vector : st_arr2_vector := c_st_arr2_vector_1 ; signal s_st_arr3_vector : st_arr3_vector := c_st_arr3_vector_1 ; -- end ENT00160_Test_Bench ; -- architecture ARCH00160_Test_Bench of ENT00160_Test_Bench is begin L1: block component UUT port ( s_st_arr1_vector : inout st_arr1_vector ; s_st_arr2_vector : inout st_arr2_vector ; s_st_arr3_vector : inout st_arr3_vector ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00160 ( ARCH00160 ) ; begin CIS1 : UUT port map ( s_st_arr1_vector , s_st_arr2_vector , s_st_arr3_vector ) ; end block L1 ; end ARCH00160_Test_Bench ;
-- Automatically generated: write_netlist -wrapapp -vhdl -architecture reconflogic-wrapadt7410-a.vhd architecture WrapADT7410 of MyReconfigLogic is component ADT7410 port ( Reset_n_i : in std_logic; Clk_i : in std_logic; Enable_i : in std_logic; CpuIntr_o : out std_logic; I2C_ReceiveSend_n_o : out std_logic; I2C_ReadCount_o : out std_logic_vector(7 downto 0); I2C_StartProcess_o : out std_logic; I2C_Busy_i : in std_logic; I2C_FIFOReadNext_o : out std_logic; I2C_FIFOWrite_o : out std_logic; I2C_Data_o : out std_logic_vector(7 downto 0); I2C_Data_i : in std_logic_vector(7 downto 0); I2C_Error_i : in std_logic; PeriodCounterPreset_i : in std_logic_vector(15 downto 0); SensorValue_o : out std_logic_vector(15 downto 0); Threshold_i : in std_logic_vector(15 downto 0); WaitCounterPreset_i : in std_logic_vector(15 downto 0) ); end component; component CfgIntf generic ( -- Number of configuration chains NumCfgs : integer := 3; BaseAddr : integer := 16#0180# ); port ( Reset_n_i : in std_logic; Clk_i : in std_logic; -- OpenMSP430 Interface PerAddr_i : in std_logic_vector(13 downto 0); PerDIn_i : in std_logic_vector(15 downto 0); PerDOut_o : out std_logic_vector(15 downto 0); PerWr_i : in std_logic_vector(1 downto 0); PerEn_i : in std_logic; CfgClk_o : out std_logic_vector(NumCfgs-1 downto 0); CfgMode_o : out std_logic; CfgShift_o : out std_logic_vector(NumCfgs-1 downto 0); CfgDataOut_o : out std_logic; CfgDataIn_i : in std_logic_vector(NumCfgs-1 downto 0) ); end component; component ParamIntf generic ( WrAddrWidth : integer range 1 to 15 := 4; RdAddrWidth : integer range 1 to 15 := 4; BaseAddr : integer := 16#0180# ); port ( Reset_n_i : in std_logic; Clk_i : in std_logic; -- OpenMSP430 Interface PerAddr_i : in std_logic_vector(13 downto 0); PerDIn_i : in std_logic_vector(15 downto 0); PerDOut_o : out std_logic_vector(15 downto 0); PerWr_i : in std_logic_vector(1 downto 0); PerEn_i : in std_logic; -- Param Out ParamWrAddr_o : out std_logic_vector(WrAddrWidth-1 downto 0); ParamWrData_o : out std_logic_vector(15 downto 0); ParamWr_o : out std_logic; -- Param In ParamRdAddr_o : out std_logic_vector(RdAddrWidth-1 downto 0); ParamRdData_i : in std_logic_vector(15 downto 0) ); end component; component ParamOutReg generic ( Width : integer := 16 ); port ( Reset_n_i : in std_logic; Clk_i : in std_logic; Enable_i : in std_logic; ParamWrData_i : in std_logic_vector(Width-1 downto 0); Param_o : out std_logic_vector(Width-1 downto 0) ); end component; signal I2C_ReadCount_s : std_logic_vector(7 downto 0); signal PeriodCounterPreset_s : std_logic_vector(15 downto 0); signal SensorValue_s : std_logic_vector(15 downto 0); signal Threshold_s : std_logic_vector(15 downto 0); signal WaitCounterPreset_s : std_logic_vector(15 downto 0); signal CfgClk_s : std_logic_vector(0 downto 0); signal CfgMode_s : std_logic; signal CfgShift_s : std_logic_vector(0 downto 0); signal CfgDataOut_s : std_logic; signal CfgDataIn_s : std_logic_vector(0 downto 0); signal ParamWrAddr_s : std_logic_vector(2 downto 0); signal ParamWrData_s : std_logic_vector(15 downto 0); signal ParamWr_s : std_logic; signal ParamRdAddr_s : std_logic_vector(0 downto 0); signal ParamRdData_s : std_logic_vector(15 downto 0); type Params_t is array(0 to 1) of std_logic_vector(15 downto 0); signal Params_s : Params_t; signal I2C_ErrAckParam_s : std_logic_vector(0 downto 0); signal ParamI2C_Divider800Enable_s : std_logic; signal ParamI2C_ErrAckParamEnable_s : std_logic; signal ParamPeriodCounterPresetEnable_s : std_logic; signal ParamThresholdEnable_s : std_logic; signal ParamWaitCounterPresetEnable_s : std_logic; begin -- Configuration Interface CfgIntf_0: CfgIntf generic map ( BaseAddr => 16#0180#, NumCfgs => 1 ) port map ( Reset_n_i => Reset_n_i, Clk_i => Clk_i, PerAddr_i => PerAddr_i, PerDIn_i => PerDIn_i, PerDOut_o => CfgIntfDOut_o, PerWr_i => PerWr_i, PerEn_i => PerEn_i, CfgClk_o => CfgClk_s, CfgMode_o => CfgMode_s, CfgShift_o => CfgShift_s, CfgDataOut_o => CfgDataOut_s, CfgDataIn_i => CfgDataIn_s ); -- Parameterization Interface: 5 write addresses, 2 read addresses ParamIntf_0: ParamIntf generic map ( BaseAddr => 16#0188#, WrAddrWidth => 3, RdAddrWidth => 1 ) port map ( Reset_n_i => Reset_n_i, Clk_i => Clk_i, PerAddr_i => PerAddr_i, PerDIn_i => PerDIn_i, PerDOut_o => ParamIntfDOut_o, PerWr_i => PerWr_i, PerEn_i => PerEn_i, ParamWrAddr_o => ParamWrAddr_s, ParamWrData_o => ParamWrData_s, ParamWr_o => ParamWr_s, ParamRdAddr_o => ParamRdAddr_s, ParamRdData_i => ParamRdData_s ); ADT7410_0: ADT7410 port map ( I2C_Busy_i => I2C_Busy_i, I2C_Data_o => I2C_DataIn_o, I2C_Data_i => I2C_DataOut_i, I2C_Error_i => I2C_Error_i, I2C_FIFOReadNext_o => I2C_FIFOReadNext_o, I2C_FIFOWrite_o => I2C_FIFOWrite_o, I2C_ReadCount_o => I2C_ReadCount_s, I2C_ReceiveSend_n_o => I2C_ReceiveSend_n_o, I2C_StartProcess_o => I2C_StartProcess_o, CpuIntr_o => ReconfModuleIRQs_o(0), Enable_i => ReconfModuleIn_i(0), Clk_i => Clk_i, Reset_n_i => Reset_n_i, PeriodCounterPreset_i => PeriodCounterPreset_s, SensorValue_o => SensorValue_s, Threshold_i => Threshold_s, WaitCounterPreset_i => WaitCounterPreset_s ); AdcDoConvert_o <= '0'; I2C_F100_400_n_o <= '1'; I2C_ReadCount_o <= I2C_ReadCount_s(3 downto 0); Outputs_o(0) <= '0'; Outputs_o(1) <= '0'; Outputs_o(2) <= '0'; Outputs_o(3) <= '0'; Outputs_o(4) <= '0'; Outputs_o(5) <= '0'; Outputs_o(6) <= '0'; Outputs_o(7) <= '0'; ReconfModuleIRQs_o(1) <= '0'; ReconfModuleIRQs_o(2) <= '0'; ReconfModuleIRQs_o(3) <= '0'; ReconfModuleIRQs_o(4) <= '0'; SPI_CPHA_o <= '0'; SPI_CPOL_o <= '0'; SPI_DataIn_o <= "00000000"; SPI_LSBFE_o <= '0'; SPI_ReadNext_o <= '0'; SPI_SPPR_SPR_o <= "00000000"; SPI_Write_o <= '0'; ReconfModuleOut_o(0) <= '0'; ReconfModuleOut_o(1) <= '0'; ReconfModuleOut_o(2) <= '0'; ReconfModuleOut_o(3) <= '0'; ReconfModuleOut_o(4) <= '0'; ReconfModuleOut_o(5) <= '0'; ReconfModuleOut_o(6) <= '0'; ReconfModuleOut_o(7) <= '0'; -- just a fixed value for the config interface CfgDataIn_s <= "0"; -- Param read address decoder -- Synthesis: Accept undefined behavior if ParamRdAddr_s >= NumParams and -- hope that the synthesis optimizes the MUX -- Simulation: ModelSim complains "Fatal: (vsim-3421) Value x is out of range -- 0 to n.", even during param write cycles, because ParamRdAddr has the -- source as ParamWrAddr. Use the parameter "-noindexcheck" during -- compilation ("vcom"). Simulation works fine then, but ModelSim generates -- numerous "INTERNAL ERROR"s to stdout, which seem harmless. ParamRdData_s <= Params_s(to_integer(unsigned(ParamRdAddr_s))); ParamOutReg_I2C_Divider800: ParamOutReg generic map ( Width => 16 ) port map ( Reset_n_i => Reset_n_i, Clk_i => Clk_i, Param_o => I2C_Divider800_o, Enable_i => ParamI2C_Divider800Enable_s, ParamWrData_i => ParamWrData_s ); ParamOutReg_I2C_ErrAckParam: ParamOutReg generic map ( Width => 1 ) port map ( Reset_n_i => Reset_n_i, Clk_i => Clk_i, Param_o => I2C_ErrAckParam_s, Enable_i => ParamI2C_ErrAckParamEnable_s, ParamWrData_i => ParamWrData_s(0 downto 0) ); ParamOutReg_PeriodCounterPreset: ParamOutReg generic map ( Width => 16 ) port map ( Reset_n_i => Reset_n_i, Clk_i => Clk_i, Param_o => PeriodCounterPreset_s, Enable_i => ParamPeriodCounterPresetEnable_s, ParamWrData_i => ParamWrData_s ); ParamOutReg_Threshold: ParamOutReg generic map ( Width => 16 ) port map ( Reset_n_i => Reset_n_i, Clk_i => Clk_i, Param_o => Threshold_s, Enable_i => ParamThresholdEnable_s, ParamWrData_i => ParamWrData_s ); ParamOutReg_WaitCounterPreset: ParamOutReg generic map ( Width => 16 ) port map ( Reset_n_i => Reset_n_i, Clk_i => Clk_i, Param_o => WaitCounterPreset_s, Enable_i => ParamWaitCounterPresetEnable_s, ParamWrData_i => ParamWrData_s ); I2C_ErrAckParam_o <= I2C_ErrAckParam_s(0); -- Address $00 Params_s(0) <= "00000000" & I2C_Errors_i; -- Address $01 Params_s(1) <= SensorValue_s; -- Address $00 ParamI2C_Divider800Enable_s <= ParamWr_s when ParamWrAddr_s = "000" else '0'; -- Address $01 ParamI2C_ErrAckParamEnable_s <= ParamWr_s when ParamWrAddr_s = "001" else '0'; -- Address $02 ParamPeriodCounterPresetEnable_s <= ParamWr_s when ParamWrAddr_s = "010" else '0'; -- Address $03 ParamThresholdEnable_s <= ParamWr_s when ParamWrAddr_s = "011" else '0'; -- Address $04 ParamWaitCounterPresetEnable_s <= ParamWr_s when ParamWrAddr_s = "100" else '0'; end WrapADT7410;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc522.vhd,v 1.2 2001-10-26 16:29:56 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s03b00x00p03n01i00522ent IS END c03s03b00x00p03n01i00522ent; ARCHITECTURE c03s03b00x00p03n01i00522arch OF c03s03b00x00p03n01i00522ent IS BEGIN TESTING: PROCESS -- Declare access types and access objects everywhere. -- Enumerated types. type SWITCH_LEVEL is ('0', '1', 'X'); type AC1 is access SWITCH_LEVEL; subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1'; type AC2 is access LOGIC_SWITCH; -- Access types. type AC3 is access AC2; -- array types. Constrained. type WORD is array(0 to 31) of BIT; type AC4 is access WORD; -- record types. type DATE is record DAY : INTEGER range 1 to 31; MONTH : INTEGER range 1 to 12; YEAR : INTEGER range -10000 to 1988; end record; type AC5 is access DATE; -- INTEGER types. type AC6 is access INTEGER; type POSITIVE is range 0 to INTEGER'HIGH; type AC7 is access POSITIVE; -- Physical types. type AC8 is access TIME; type DISTANCE is range 0 to 1E9 units -- Base units. A; -- angstrom -- Metric lengths. nm = 10 A; -- nanometer um = 1000 nm; -- micrometer (or micron) mm = 1000 um; -- millimeter cm = 10 mm; -- centimeter -- English lengths. mil = 254000 A; -- mil inch = 1000 mil; -- inch end units; type AC10 is access DISTANCE; -- floating point types. type AC11 is access REAL; type POSITIVE_R is range 0.0 to REAL'HIGH; type AC12 is access POSITIVE_R; -- Predefined enumerated types. type AC13 is access BIT; type AC14 is access SEVERITY_LEVEL; type AC15 is access BOOLEAN; type AC16 is access CHARACTER; -- Other predefined types. type AC17 is access NATURAL; type AC18 is access STRING; type AC19 is access BIT_VECTOR; type MEMORY is array(0 to 64) of WORD; type AC20 is access MEMORY; -- Declare all the variables. variable VAR1 : AC1; variable VAR2 : AC2; variable VAR3 : AC3; variable VAR4 : AC4; variable VAR5 : AC5; variable VAR6 : AC6; variable VAR7 : AC7; variable VAR8 : AC8; variable VAR10: AC10; variable VAR11: AC11; variable VAR12: AC12; variable VAR13: AC13; variable VAR14: AC14; variable VAR15: AC15; variable VAR16: AC16; variable VAR17: AC17; variable VAR18: AC18; variable VAR19: AC19; variable VAR20: AC20; BEGIN -- Assert that all variables are initially NULL. assert (VAR1 = null) report "VAR1 has not been set to NULL."; assert (VAR2 = null) report "VAR2 has not been set to NULL."; assert (VAR3 = null) report "VAR3 has not been set to NULL."; assert (VAR4 = null) report "VAR4 has not been set to NULL."; assert (VAR5 = null) report "VAR5 has not been set to NULL."; assert (VAR6 = null) report "VAR6 has not been set to NULL."; assert (VAR7 = null) report "VAR7 has not been set to NULL."; assert (VAR8 = null) report "VAR8 has not been set to NULL."; assert (VAR10 = null) report "VAR10 has not been set to NULL."; assert (VAR11 = null) report "VAR11 has not been set to NULL."; assert (VAR12 = null) report "VAR12 has not been set to NULL."; assert (VAR13 = null) report "VAR13 has not been set to NULL."; assert (VAR14 = null) report "VAR14 has not been set to NULL."; assert (VAR15 = null) report "VAR15 has not been set to NULL."; assert (VAR16 = null) report "VAR16 has not been set to NULL."; assert (VAR17 = null) report "VAR17 has not been set to NULL."; assert (VAR18 = null) report "VAR18 has not been set to NULL."; assert (VAR19 = null) report "VAR19 has not been set to NULL."; assert (VAR20 = null) report "VAR20 has not been set to NULL."; assert NOT( (VAR1 = null) and (VAR2 = null) and (VAR3 = null) and (VAR4 = null) and (VAR5 = null) and (VAR6 = null) and (VAR7 = null) and (VAR8 = null) and (VAR10 = null) and (VAR11 = null) and (VAR12 = null) and (VAR13 = null) and (VAR14 = null) and (VAR15 = null) and (VAR16 = null) and (VAR17 = null) and (VAR18 = null) and (VAR19 = null) and (VAR20 = null)) report "***PASSED TEST: c03s03b00x00p03n01i00522" severity NOTE; assert ( (VAR1 = null) and (VAR2 = null) and (VAR3 = null) and (VAR4 = null) and (VAR5 = null) and (VAR6 = null) and (VAR7 = null) and (VAR8 = null) and (VAR10 = null) and (VAR11 = null) and (VAR12 = null) and (VAR13 = null) and (VAR14 = null) and (VAR15 = null) and (VAR16 = null) and (VAR17 = null) and (VAR18 = null) and (VAR19 = null) and (VAR20 = null)) report "***FAILED TEST: c03s03b00x00p03n01i00522 - The null value of an access type is the default initial value of the type." severity ERROR; wait; END PROCESS TESTING; END c03s03b00x00p03n01i00522arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc522.vhd,v 1.2 2001-10-26 16:29:56 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s03b00x00p03n01i00522ent IS END c03s03b00x00p03n01i00522ent; ARCHITECTURE c03s03b00x00p03n01i00522arch OF c03s03b00x00p03n01i00522ent IS BEGIN TESTING: PROCESS -- Declare access types and access objects everywhere. -- Enumerated types. type SWITCH_LEVEL is ('0', '1', 'X'); type AC1 is access SWITCH_LEVEL; subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1'; type AC2 is access LOGIC_SWITCH; -- Access types. type AC3 is access AC2; -- array types. Constrained. type WORD is array(0 to 31) of BIT; type AC4 is access WORD; -- record types. type DATE is record DAY : INTEGER range 1 to 31; MONTH : INTEGER range 1 to 12; YEAR : INTEGER range -10000 to 1988; end record; type AC5 is access DATE; -- INTEGER types. type AC6 is access INTEGER; type POSITIVE is range 0 to INTEGER'HIGH; type AC7 is access POSITIVE; -- Physical types. type AC8 is access TIME; type DISTANCE is range 0 to 1E9 units -- Base units. A; -- angstrom -- Metric lengths. nm = 10 A; -- nanometer um = 1000 nm; -- micrometer (or micron) mm = 1000 um; -- millimeter cm = 10 mm; -- centimeter -- English lengths. mil = 254000 A; -- mil inch = 1000 mil; -- inch end units; type AC10 is access DISTANCE; -- floating point types. type AC11 is access REAL; type POSITIVE_R is range 0.0 to REAL'HIGH; type AC12 is access POSITIVE_R; -- Predefined enumerated types. type AC13 is access BIT; type AC14 is access SEVERITY_LEVEL; type AC15 is access BOOLEAN; type AC16 is access CHARACTER; -- Other predefined types. type AC17 is access NATURAL; type AC18 is access STRING; type AC19 is access BIT_VECTOR; type MEMORY is array(0 to 64) of WORD; type AC20 is access MEMORY; -- Declare all the variables. variable VAR1 : AC1; variable VAR2 : AC2; variable VAR3 : AC3; variable VAR4 : AC4; variable VAR5 : AC5; variable VAR6 : AC6; variable VAR7 : AC7; variable VAR8 : AC8; variable VAR10: AC10; variable VAR11: AC11; variable VAR12: AC12; variable VAR13: AC13; variable VAR14: AC14; variable VAR15: AC15; variable VAR16: AC16; variable VAR17: AC17; variable VAR18: AC18; variable VAR19: AC19; variable VAR20: AC20; BEGIN -- Assert that all variables are initially NULL. assert (VAR1 = null) report "VAR1 has not been set to NULL."; assert (VAR2 = null) report "VAR2 has not been set to NULL."; assert (VAR3 = null) report "VAR3 has not been set to NULL."; assert (VAR4 = null) report "VAR4 has not been set to NULL."; assert (VAR5 = null) report "VAR5 has not been set to NULL."; assert (VAR6 = null) report "VAR6 has not been set to NULL."; assert (VAR7 = null) report "VAR7 has not been set to NULL."; assert (VAR8 = null) report "VAR8 has not been set to NULL."; assert (VAR10 = null) report "VAR10 has not been set to NULL."; assert (VAR11 = null) report "VAR11 has not been set to NULL."; assert (VAR12 = null) report "VAR12 has not been set to NULL."; assert (VAR13 = null) report "VAR13 has not been set to NULL."; assert (VAR14 = null) report "VAR14 has not been set to NULL."; assert (VAR15 = null) report "VAR15 has not been set to NULL."; assert (VAR16 = null) report "VAR16 has not been set to NULL."; assert (VAR17 = null) report "VAR17 has not been set to NULL."; assert (VAR18 = null) report "VAR18 has not been set to NULL."; assert (VAR19 = null) report "VAR19 has not been set to NULL."; assert (VAR20 = null) report "VAR20 has not been set to NULL."; assert NOT( (VAR1 = null) and (VAR2 = null) and (VAR3 = null) and (VAR4 = null) and (VAR5 = null) and (VAR6 = null) and (VAR7 = null) and (VAR8 = null) and (VAR10 = null) and (VAR11 = null) and (VAR12 = null) and (VAR13 = null) and (VAR14 = null) and (VAR15 = null) and (VAR16 = null) and (VAR17 = null) and (VAR18 = null) and (VAR19 = null) and (VAR20 = null)) report "***PASSED TEST: c03s03b00x00p03n01i00522" severity NOTE; assert ( (VAR1 = null) and (VAR2 = null) and (VAR3 = null) and (VAR4 = null) and (VAR5 = null) and (VAR6 = null) and (VAR7 = null) and (VAR8 = null) and (VAR10 = null) and (VAR11 = null) and (VAR12 = null) and (VAR13 = null) and (VAR14 = null) and (VAR15 = null) and (VAR16 = null) and (VAR17 = null) and (VAR18 = null) and (VAR19 = null) and (VAR20 = null)) report "***FAILED TEST: c03s03b00x00p03n01i00522 - The null value of an access type is the default initial value of the type." severity ERROR; wait; END PROCESS TESTING; END c03s03b00x00p03n01i00522arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc522.vhd,v 1.2 2001-10-26 16:29:56 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s03b00x00p03n01i00522ent IS END c03s03b00x00p03n01i00522ent; ARCHITECTURE c03s03b00x00p03n01i00522arch OF c03s03b00x00p03n01i00522ent IS BEGIN TESTING: PROCESS -- Declare access types and access objects everywhere. -- Enumerated types. type SWITCH_LEVEL is ('0', '1', 'X'); type AC1 is access SWITCH_LEVEL; subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1'; type AC2 is access LOGIC_SWITCH; -- Access types. type AC3 is access AC2; -- array types. Constrained. type WORD is array(0 to 31) of BIT; type AC4 is access WORD; -- record types. type DATE is record DAY : INTEGER range 1 to 31; MONTH : INTEGER range 1 to 12; YEAR : INTEGER range -10000 to 1988; end record; type AC5 is access DATE; -- INTEGER types. type AC6 is access INTEGER; type POSITIVE is range 0 to INTEGER'HIGH; type AC7 is access POSITIVE; -- Physical types. type AC8 is access TIME; type DISTANCE is range 0 to 1E9 units -- Base units. A; -- angstrom -- Metric lengths. nm = 10 A; -- nanometer um = 1000 nm; -- micrometer (or micron) mm = 1000 um; -- millimeter cm = 10 mm; -- centimeter -- English lengths. mil = 254000 A; -- mil inch = 1000 mil; -- inch end units; type AC10 is access DISTANCE; -- floating point types. type AC11 is access REAL; type POSITIVE_R is range 0.0 to REAL'HIGH; type AC12 is access POSITIVE_R; -- Predefined enumerated types. type AC13 is access BIT; type AC14 is access SEVERITY_LEVEL; type AC15 is access BOOLEAN; type AC16 is access CHARACTER; -- Other predefined types. type AC17 is access NATURAL; type AC18 is access STRING; type AC19 is access BIT_VECTOR; type MEMORY is array(0 to 64) of WORD; type AC20 is access MEMORY; -- Declare all the variables. variable VAR1 : AC1; variable VAR2 : AC2; variable VAR3 : AC3; variable VAR4 : AC4; variable VAR5 : AC5; variable VAR6 : AC6; variable VAR7 : AC7; variable VAR8 : AC8; variable VAR10: AC10; variable VAR11: AC11; variable VAR12: AC12; variable VAR13: AC13; variable VAR14: AC14; variable VAR15: AC15; variable VAR16: AC16; variable VAR17: AC17; variable VAR18: AC18; variable VAR19: AC19; variable VAR20: AC20; BEGIN -- Assert that all variables are initially NULL. assert (VAR1 = null) report "VAR1 has not been set to NULL."; assert (VAR2 = null) report "VAR2 has not been set to NULL."; assert (VAR3 = null) report "VAR3 has not been set to NULL."; assert (VAR4 = null) report "VAR4 has not been set to NULL."; assert (VAR5 = null) report "VAR5 has not been set to NULL."; assert (VAR6 = null) report "VAR6 has not been set to NULL."; assert (VAR7 = null) report "VAR7 has not been set to NULL."; assert (VAR8 = null) report "VAR8 has not been set to NULL."; assert (VAR10 = null) report "VAR10 has not been set to NULL."; assert (VAR11 = null) report "VAR11 has not been set to NULL."; assert (VAR12 = null) report "VAR12 has not been set to NULL."; assert (VAR13 = null) report "VAR13 has not been set to NULL."; assert (VAR14 = null) report "VAR14 has not been set to NULL."; assert (VAR15 = null) report "VAR15 has not been set to NULL."; assert (VAR16 = null) report "VAR16 has not been set to NULL."; assert (VAR17 = null) report "VAR17 has not been set to NULL."; assert (VAR18 = null) report "VAR18 has not been set to NULL."; assert (VAR19 = null) report "VAR19 has not been set to NULL."; assert (VAR20 = null) report "VAR20 has not been set to NULL."; assert NOT( (VAR1 = null) and (VAR2 = null) and (VAR3 = null) and (VAR4 = null) and (VAR5 = null) and (VAR6 = null) and (VAR7 = null) and (VAR8 = null) and (VAR10 = null) and (VAR11 = null) and (VAR12 = null) and (VAR13 = null) and (VAR14 = null) and (VAR15 = null) and (VAR16 = null) and (VAR17 = null) and (VAR18 = null) and (VAR19 = null) and (VAR20 = null)) report "***PASSED TEST: c03s03b00x00p03n01i00522" severity NOTE; assert ( (VAR1 = null) and (VAR2 = null) and (VAR3 = null) and (VAR4 = null) and (VAR5 = null) and (VAR6 = null) and (VAR7 = null) and (VAR8 = null) and (VAR10 = null) and (VAR11 = null) and (VAR12 = null) and (VAR13 = null) and (VAR14 = null) and (VAR15 = null) and (VAR16 = null) and (VAR17 = null) and (VAR18 = null) and (VAR19 = null) and (VAR20 = null)) report "***FAILED TEST: c03s03b00x00p03n01i00522 - The null value of an access type is the default initial value of the type." severity ERROR; wait; END PROCESS TESTING; END c03s03b00x00p03n01i00522arch;
-- Design: -- Dual-port input, single-port output register file for the Freon core. -- -- Authors: -- Pietro Lorefice <[email protected]> library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity reg_file is generic ( XLEN : integer := 32; -- # data bits ALEN : integer := 5 -- # address bits (log2(#regs)) ); port ( clk, arst : in std_logic; w_en : in std_logic; w_addr : in std_logic_vector(ALEN-1 downto 0); w_data : in std_logic_vector(XLEN-1 downto 0); r_addr_1, r_addr_2 : in std_logic_vector(ALEN-1 downto 0); r_data_1, r_data_2 : out std_logic_vector(XLEN-1 downto 0) ); end entity; -- reg_file architecture beh of reg_file is -- Type for the register file itself (array of registers) type reg_file_type is array (integer range 2**ALEN-1 downto 0) of std_logic_vector(XLEN-1 downto 0); signal array_reg : reg_file_type; begin -- hard-wire r0 to zero --array_reg(0) <= (others => '0'); -- synchronous process process (clk, arst) variable idx : integer; begin if (arst = '1') then array_reg <= (others => (others => '0')); elsif rising_edge(clk) then -- synchronous write idx := to_integer(unsigned(w_addr)); if (w_en = '1' and idx /= 0) then array_reg(idx) <= w_data; end if; end if; end process; -- asynchronous reads r_data_1 <= array_reg(to_integer(unsigned(r_addr_1))); r_data_2 <= array_reg(to_integer(unsigned(r_addr_2))); end architecture; -- beh
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc744.vhd,v 1.2 2001-10-26 16:29:59 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c01s01b01x01p05n02i00744pkg is type boolean_vector is array (natural range <>) of boolean; type severity_level_vector is array (natural range <>) of severity_level; type integer_vector is array (natural range <>) of integer; type real_vector is array (natural range <>) of real; type time_vector is array (natural range <>) of time; type natural_vector is array (natural range <>) of natural; type positive_vector is array (natural range <>) of positive; type record_std_package is record a: boolean; b: bit; c: character; d: severity_level; e: integer; f: real; g: time; h: natural; i: positive; j: string(1 to 7); k: bit_vector(0 to 3); end record; type array_rec_std is array (integer range <>) of record_std_package; end c01s01b01x01p05n02i00744pkg; use work.c01s01b01x01p05n02i00744pkg.all; ENTITY vests41 IS generic( zero : integer := 0; one : integer := 1; two : integer := 2; three: integer := 3; four : integer := 4; five : integer := 5; six : integer := 6; seven: integer := 7; eight: integer := 8; nine : integer := 9; fifteen:integer:= 15; C1 : boolean := true; C2 : bit := '1'; C3 : character := 's'; C4 : severity_level:= note; C5 : integer := 3; C6 : real := 3.0; C7 : time := 3 ns; C8 : natural := 1; C9 : positive := 1; C10 : string := "shishir"; C11 : bit_vector := B"0011" ); port( S1 : inout boolean_vector (zero to fifteen); S2 : inout severity_level_vector (zero to fifteen); S3 : inout integer_vector (zero to fifteen); S4 : inout real_vector (zero to fifteen); S5 : inout time_vector (zero to fifteen); S6 : inout natural_vector (zero to fifteen); S7 : inout positive_vector (zero to fifteen); S48: inout array_rec_std (zero to seven) ); END vests41; ARCHITECTURE c01s01b01x01p05n02i00744arch OF vests41 IS BEGIN TESTING: PROCESS variable k : integer := 0; BEGIN for i in S1'range loop S1(i) <= C1; end loop; for i in S2'range loop S2(i) <= C4; end loop; for i in S3'range loop S3(i) <= C5; end loop; for i in S4'range loop S4(i) <= C6; end loop; for i in S5'range loop S5(i) <= C7; end loop; for i in S6'range loop S6(i) <= C8; end loop; for i in S7'range loop S7(i) <= C9; end loop; for i in S48'range loop S48(i) <= (C1,C2,C3,C4,C5,C6,C7,C8,C9,C10,C11); end loop; wait for 10 ns; for i in zero to 7 loop if (S1(i) /= true) then k := 1; end if; assert S1(i) = true report " boolean_vector(zero to fifteen) error in the left generic value" severity error; if (S2(i) /= note) then k := 1; end if; assert S2(i) = note report " severity_level_vector(zero to fifteen) error in the left generic value" severity error; if (S3(i) /= 3) then k := 1; end if; assert S3(i) = 3 report " integer_vector(zero to fifteen) error in the left generic value" severity error; if (S4(i) /= 3.0) then k := 1; end if; assert S4(i) = 3.0 report " real_vector(zero to fifteen) error in the left generic value" severity error; if (S5(i) /= 3 ns) then k := 1; end if; assert S5(i) = 3 ns report " time_vector (zero to fifteen) error in the left generic value" severity error; if (S6(i) /= 1) then k := 1; end if; assert S6(i) = 1 report " natural_vector(zero to fifteen) error in the left generic value" severity error; if (S7(i) /= 1) then k := 1; end if; assert S7(i) = 1 report " positive_vector(zero to fifteen) error in the left generic value" severity error; if (S48(i) /= (true,'1','s',note,3,3.0,3 ns,1,1,"shishir","0011")) then k := 1; end if; assert S48(i) = (true,'1','s',note,3,3.0,3 ns,1,1,"shishir","0011") report " array_rec_std(zero to seven) error in the left generic value" severity error; end loop; assert NOT( k=0 ) report "***PASSED TEST: c01s01b01x01p05n02i00744" severity NOTE; assert ( k=0 ) report "***FAILED TEST: c01s01b01x01p05n02i00744 - Generic can be used to specify the size of ports." severity ERROR; wait; END PROCESS TESTING; END c01s01b01x01p05n02i00744arch;
-- file: obstacles/update_obstacles.vhd -- authors: Alexandre Medeiros and Gabriel Lopes -- -- A Flappy bird implementation in VHDL for a Digital Circuits course at -- Unicamp. -- -- Update and generate new obstacles for game. library ieee ; use ieee.std_logic_1164.all ; library modules; use modules.obstacles.all; entity update_obstacles is generic ( H_RES : natural := 128 ; -- Horizontal Resolution V_RES : natural := 96 ; -- Vertical Resolution N_OBST : natural := 4 -- Number of obstacles ) ; port ( new_obst : in std_logic ; obst_count : buffer integer range -2 to 255 ; low_obst : out integer range 0 to V_RES - 1 ; high_obst : out integer range 0 to V_RES - 1 ; obst_rem : out std_logic ; clock : in std_logic ; enable : in std_logic ; reset : in std_logic ) ; end update_obstacles ; architecture behavior of update_obstacles is signal pos : integer range 0 to H_RES / N_OBST - 1 ; signal low_aux : integer range 0 to V_RES - 1 ; begin process (new_obst) begin if reset = '1' then obst_count <= -2 ; elsif enable = '1' and rising_edge(new_obst) then obst_count <= obst_count + 1 ; end if; end process ; qlow1: generate_random generic map (V_RES => V_RES) port map (seed => "10011", clock => new_obst, rand => low_aux) ; high_obst <= V_RES - low_aux - 35 ; low_obst <= low_aux ; obst_rem <= new_obst ; end behavior;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XU18WcCwTkt8jmY17aSll+jT4Z6pheiPyJyC1wueaYPnrAnvdRitRwC2FZLTtyA3iOsooKeCkCm9 d9/Zraqvhw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UQSz4M9+5VPqMHgHgpXva4tn5lfEbO+7aCEqBJB7qFG1vCLhGbP9P4YX0ap8juXr/WSSQyITqYOv LCdM3fGnhwNwvHW38JgpLPX26/ESf8NhU/YpQN4MndkyM4+Kpub+43tZyeXzuktExAm1MieCB0wG lcM3YAsGo/ml46ZlbSo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GGjuEe318hF7wzeNenfLANT8PxNoxRQEM0UhXdHhDZ8K+UVlNB0gKATyF821DOQ8i8P904p1Z5Uo tNpU+FXcZse2c5BiOZ8cnQy7XWVoci9BIKvhCEWjuzrhCMoBvkbLCOsm4qYLTX5oRGWoMOh1kxvX xtMfX5Q39S8PUZIJHn5MvvH5JcfXmshS2KDAmaqSs+1XsLJQoo6S+XNVG4a9leNyNeV2xT1aF9TM AlPxfdM6I70GooYqevv8ToH2R6iffqxXqXwk9ssveYXnrFnFLcaVdn5NF9cgCfIETO2KVjE7Fr0a xrgpJuAWztXnhtCYZmiD125uc/nJXlubZpQI4A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bwZCMIMOdvqKigzonKJZ/wVcuTnfMuM+mgeuNeebAmal25OTZNnkEEsmce9f4FNIKtZn2fpJDWwr m0D2CllxeRkuBpohE3q0iLSuhgR2I+t6PnSoQWBKhrOg9N6438ffk8VR3a5nGtbqgQMNOUVQoUTz ycLSP+EDlh4X/o7Urc4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gs+s8Lv6587sfU3glljYMPYgBrLOkciLuo9k4YbcxDz/UnyDZKekVBEAyEw+woEJoXqIAygzyxK+ jESe3Cr6cif4DnfdQOUdvPEUj5oixoZcbokdKrioy/imrWIocjtpu8Ge0v96xJbsLBuC0kUhl/D1 Ug/6cHELlcMicv2SZj6p4IoGjZDLB3XLVBrhCAvIabR7XuEZqIuYCR+CvIVVEKn/10tMJGGNkXuO I9jViZDcJ3x9NM/6wtaskVJmqjyKSH47b1YAXlrDlNP+4U1dK1luKHRKcsjE5hYpGsC3LGrxpoBU ZdIBV2wjmXZaflgK7sYRmKg8ZKYd8R2ig9d7sg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6656) `protect data_block OPA+qikcDvffarX1faJnyruWVmJHqPnFqpKj60bsrX6011IZr4tjv9g/cL2GlhxNfhi32LN0IGtt z9PN77MX0G8dBlZ/o56jYSfFVrTfvECKuX2x0WoHKT8ypztlmDjval8Vh512DrPGGF3THZyqYeFF JY3KOwK66yQuH7Ayl5LcgoVTDdYQbAvfXkDCtGQlapiPHpESKRWot0fQjmdo5HcVyrNTOiaYiuOi jyRHtPXUs6Zh6KD1MKdOm27aj1d3cu7XKsceLhzdMI4ysfiyOdtOXmNAA/s8f08Mm/93yiBdihv+ 6MPeM+dRJtCJnMFsBSwZb/pNiJ5Xrs50j/g5IblCv7Ka/2BtNmrj4Of5Kr1/iS+nqQoeaIYSzq7d ttegmJQ46PSPMhmI9YWl7eFV9/QlI5P5lAdJnuBnQYCYsskX1hw3QD8DXaegi7yWQo/05RJJ3WLc lBlCt4VvRbVXUwv74ycVgblh1AmZBPKVyyU75thXvDLxWQRcp9ZeTOGt1C0ztXyhQZIl6VV2yRRB M/l2BTtevDmpxxx7KgHJNrQtRQScsuAyC4mf8lW2acRfq/UV+h21UvdXHacw+J960y0hysEMsQHa 6NwgcaAtgsQMAwWBm7PLe3TFOTBWFDR1C+Et2h3fkn/poEFAxduFtAqkznFagFXvnQwjmuay21pZ uk3olkJyph1xv6doUzCIeGnRZqTU9zVIns/R/yODwfFsLW7g+1mH8ArWjZm3hnd3ND1NcArXKmvS yQQr8uzABkS2dKx0nefE5zJOUWKNC/9sj4xTBLiHUsu6BpDrJOvNohOHsJ/yEpHmcnIt0lE3bscs hygzrsEX3JF4LtYjv9LzX3bCjA43jHIqyrgfScUHbFoVZANtJhF5OMrsh0O6QENvEVO43tLJSzFj cViFbBed2POdd0l2EqGwK6JTJgky8FZMTiR7+wbj0Nx9J3SryVvvnfB6TQdwQ6L0Fe0LV6n9xa8z bUWI1jgtZdhVmlHzyj8QWgWMOcjE0n2bk+C4qj1GxNvTkyXLZuP3DefRmmxy+BsiVK1iaWve17zL L7lvPe9vCZQXnTktqfZ9TeZmM8yFBP+xV01Hk5VeCFcS4DTdxwW02efG5z3nK+okUceIXkQkrSIC yauChunbus2H80jNOBoiC6jDcTMsKq9L0wFXs1OI9The3NV2kv6++Iqyyoj/9DPwd9JrdhxT4/PB GnW9rNyQ0P3SkK4R44zSysvYuvuoWLwT1lHHWuJfOx7+OnjJAh/wD1lBJPwTTqBvipmVihTTXmTU nIG/usTXuDJWd9+CRN4Ywcp9hFjyb1fA3DoPCTsKz1ZGER+pwjk2fJNe7CruIkpe8+HpDqpYiuGp VAyu9f5NQsSz8vqm8e+hgq0GcIDCYwdDNVGKy5HfY+DRZvuVVyQr7juf79PQwWHEe/6qKS4RMAul b4HflxoRxWo3Zg7/IVP95CBAdyjWcHo7blQqiHBvAwfXWZuYVx1+HPtY96pkTWXEKVKrqz6fZ9i0 fxQHes1RRZ+S40+ZxCSEstuKNZnjfLlRUm06tGSFfPFrYzaduCy43nfprIkoV31Mcf4bupFjq5tE aV0aJCLhmGaIMZ71Fp1Wy74MVe79BA3xjLD+owVYVAP9qke6skWVRqpKw/Ub/g2aRCbt6iOGOd2J mqKkbBd0oGHYU1RBIdtIQ9KbEzXNDL7H0FlsFpuYlb9zQELBD4aEjpPK+CKbmm2NphRGT8Nlkivz Z5tSXE2q0QKpmXKs/ZOO8JjO0U0rZZiDwF4zEZ1kWuDs69rZIdGskr69nr9gg5iGK7YaAuiNCCRO MXMFrsY6zzwiqanOJAJXGiXugjThEB4Vc4o7SfBJ/P31zmVaXLPApmIWkJ94zgofSvCQBR+3K2J1 1Wlb1kizx9Ak+bL1oeLQmppRHj+w54ezcWAF1Km++ubcvOmrBR5kpFXXtrOFKVlisg5fH2EO4vZk KwtltbLbwlcoGAapPpVat7wX2PMnv2zdDDU8M63K44kSf+Q7Fy++vNgrzCPmsUToIClVZHGVMruG SAhOSoIec+R90YkeafFLsWHTaszanVUub6ZLI7AKJORD3LrGKnCJSg+YJmCzHo5OFL/RG7sDmWPI lF7bKN5nRw1GJkMjoLI5LmxsAJWWFyGiUQ1HW3ycFg5XWfpuSw8Y/duWyXShXk0XSz7Hujmrrp1Z 3BWO7Bk3DjWOWvHROiShoEF3w3d3IRXdRtVkDUN4ZkMwMXgWIMQvtYalNfgrDyzlu9zvA3Sh2hLu JtVCJluOtsXz6VJvtg6ZX7AQaHBhHdvH1senGRL2j6AjrcXYvf+JcO58a6tEBvyMxjfo6HD3pC6H yv5X4An1fg4IAeE4lUWpV9x9ObieVXnhc7pls2io/PZ2V2Qio8EM5jNabi/Xq2ZKXyOuL5Bv1zxr rKljiV8O67xqRYudQ1wuJQi5V8BEmchNafqI75aqhyzsL69Kjq34J9PRZe7hXirvngBPHCZUUAMh LR0U3U5G2+ivXL8vM/Z49983yOOdTFqDJgI3QcvKwo0f29VGeHF06+QuOLw6Mxv6vqaTy52h3T9D HrOHGfgtmrrFkLzfx7JUHCb6tTWGmcC1znYnSUY4QIQHxc2blpV+WWN7GcbKfW4E0cTWUbg8u71d qap5pqZwuGR4Wm0X/SXuuH/QAEL8ayiNEUmSZB2tPw/kQ5tN1D07CtBwo1IHtQHcRJBmCTFzr2RU EPbYLEdB/RRQn5HBAJikI+5SGgFJrZWO8oiq71ioczhUoPqtJNUJM0f8KbkgpoFd91w1QM4Vf3fe 7XwIBJqX9miJ6JrzD6k4K73SFRoAAd+zRo/0in66xeWKKv1/f0J+zNm+kaIRODi3C0FdLc4u6TSX URyGYBQYbSlh5ZBezoDl1ClzEK7Pq7uyfCSAogU5gkzxHF0zCLxkBf3nP+tJ3/9izjf/WmJ6YTqj yu5qgDfSbAzXOS24kKNtaxmCcrCdlpDcYr4a91KvtZ47mdjIHzY34OQKs7jrtoH1LThxwWLSzgIF wkqINFEG9kHavepVZ3TWzb4dm8fOqkilzKddbG3IHPa9YHUV95NJQ3XAfDbjH0KRr3hb+9r1My2B MTTBCWEpXzXljl8vNB7OM4upATZnTWYWVf2fZG4AC/HSrAqPSODWIvvCweAc0O+nJRan69j2Yx/r Z5K7oMMidygJ+OMAgJ5nl9rs8osAVEnDGyFKTdGZfD5jIzyyJ9UP12wfn4iLq+lkrpM8zj1wkA2F 61YT4Mzj02C5bPTS3tRaJLBGaSdrWjWMcDT0/XcdWXyt2WRmv0rQPMjS0CGhQnWDcTU/hwZ11dax 0mTRiuf0m9Nv/O6mmujDdfGKHVzPlD/eEaQC6IbB+U0qrq4LdRDk8Feap6+A3TCUCM3wdh4QeAtq vTswHY5gGwSfPC+fPLMNmyVQ2BOT5dJ9T6J1waaRI5Y/MpfW6+UYTASceeIsfOBhlvT7/RAK6UPW nbxpTrokOg3yWn8Q5L2nRkoia21ZwPCc4zozAWIoIEAEeu1yoMHgpGbAZBH0tawDA4SY6PLj6kCM M/hk5XHm3z4EI220HU8YAf+Ovu1YcMULINqNV32mrwLQsrpxWhy2QQAKhFbi0PEW8PnawUoEx4IE Ouv2l/2LqmTlYfhRIhxwuMxQT2Y4lv5W58KKLb7aqhX7dXZZkmqj2Hwyw8GW3qIDjiqcAA9fwroy Heb6D5JW74WnjyrrbC3Qb1ZgQG8dQHQeY0I7y0qhkcg3NfZmkW9q614zH8xcFNGhWyY1frhMtlfV Lr+G8k7gDwqcMgaohVl2ho2rYCNXBhSWHeRJc7vLtNnE8bAgE9+Hd1497OLTj+P/gPYxJP9a9YII sdRffA0Mj5Uboxv8LH/6zX/qvHIt19qVmtQNxCQ9yXF92wqaAT4Q+DgW11weokpyv5PZ10RSyRGp fsURIu27qYludHe7jKftiN1VAX0MwJT+1wrjJcQiTh9TN34N49RHNenS4gb+BkNIdM1TANYdpse6 b17vcys8EAacMvuT94Id/187zuO0L3uxvAamV/89rb0O/9jr5T3NoVHJa625Kk+NZqigQFDtShWW j6KzrtEkzuC1duuPU8EqW5h4dASeysZw/o9bb0UdUxSAkx92V25qWpj52SXJcXgj4GWlofmSrj/g 5PUY9YQqMvagI4YCROlOu/C9Fs4QEmSa8Z6wQwtX5ZXbsDhTWkfulxFCrx09yj9eGXth+N59G2D7 QjHEP7IFYSsCpPp//XNgRFdQc3LOCwr3w380rcsKzq/tb9BoR9/3xZ8agA8Od/cvWRM9O17bjjyK yoQf3OWZT9m2HO8WSRagCTJZH8onFrW1meQx1ad2TKtLiam+kBJCZ0kaSv63ejPbFlIDBmRnWxq5 13mrYbRRUPrRB/w7KYe1/45Kod/0DVw6kYu7K5gA9Ogq0rTwu58I/rui95/dJKUhErMsjadjWw9T yA5gxC8vEgbNg0BZb5ZJHuXTKLwE8n6ajYuIY3eWtddNPpQadWWsK2jmNueLYSdQTnjopfl5Ddl5 iwRSEpp//Ti9MoGZoGWBrgpMEeW13Chrs1HvKfAJFzeynLyaVuttjduzIZHAoA9uVn9perZnCE5P BPeMeBcm6yHSplGVIP/ZmAnKbOHUxan81ls9NJ6mSLdBYehMeUJDWIUkdl6FVG9L+QPJd8AI2Q4J nPXlAMoy2k/NfPEmUyjh/VDgwQ38+biS9hJaDBp6qgvM+jqpAE2CaHP9NyKIuGr6gpPLEhNPT2Dp b5uRuoNS9jwAEEJBlvI8j6mVFNBe3rWmZ4HH9de5JKV46+ZE0T0+V7sdFPBcVEQde3HKna5q4Def WXIuY4D7xYyEGu9SxZz9CgtyntBDRlUZAAburKeQCgl0D0WN8wSTLd2kczVxLOaDUodlPr2rpvtI 6oYgw18Js4+f0jZjMi785nQtKBhCjfV2JQDC37fwL0UWzLJ0lG9K/FVH4cm7Lg2GboW9DinAslA+ RFeeVlok2a3V0C4ApSzCZgAuSiPvd0n0eNcsGmmqL9rsOsXZnjlU0KCM5gXYwzkEeN81+dyVWaFM ie9jrShWEZucd+PBC2JZktbBhcc5zH5KelNu7GA/w7Jeo6sBNekksmAM5WNU+kg6FYTkGPUkGfpa qu4vfE5vx2TsFpOeedLPSfWG/IRn7ueQkhxGAYeejBHdpH8gIZTQd04tPWADIjtHxhyH7zi7GRaq 0J2b02mu2nEpMoXzcEdSxLFdw61CjqpULKPsw2ZoqJd11qdWmKrpecumPUM2rRwM1K59VWqAlOkl d0r8lHkd605E4wP2TjmYMkMfGewCt5NjXebDq+dWaDK1tNZ5D33IhOtPnKtFisx1RHADAsFAfC4t ojtzVNe1L6XqfkYDH66wY/FM72Yaf5vk25j8aWZTGhttL3DtzkPGskBar1kz9Fem54qszZFu8lHc 6bcKSlmiIpd+aRelNvJ+11TYVMh6uJwqgE/iZLgN8CQtDV+9Ku/dAwvNG8FGsD3dbF6TgxKnShjF DYzlkAdzeCCR1fXVTmlCsQ3u4WLy3S9sO6RCIhuRT+xJR5nkd+JX65QWBG1B9fLglFwLCh69QMl+ eUNNLP+Lvoh9rENLe3hVu+A5IAJoCnZCO0H++2mqlmSHhOZMN/8dDUyymg2VTobjXGZnROV6LAB2 q76ylgZ+501OuxsS9z2AH9qiGqsCljj1z2zRTuXs89DaIwyjfAqrXmozOz+hk+rvq9nmrezg9V42 vUM3Lg2C3voFf9AXrHC1hdTRxUuUE3JDRI4yEf6+NWy1Jzd0gX9NMP/zbw3hG6CmIFkDBtKpDvQs Y0X9bflZ5nLV97DNGsA7y0kXd8y96laqj9JGlPJvpHLUTIssNJZmQRSEtKK0La4wbG41BhuAvB+6 g2a36nn3zBnbxiMKFMbeGJjLFrjoTC5FOT87tGc/xT5VZGkhqUsvjzBIdQKXreHMk7vI2j1MIqyu XwdTWJnhREAYv9Mw1ugvzQ4zktXXupeoyNiIAhgSnikB/wxfJZmhiJqV+uNXSLG+p9FQdW0aryWq eXG41PcjJkAmE2sYqO7TdPB7cilDtdUJZtFWb/HeF8QPsYo4yk2EHC1nt/COM0Q9ct0ChoUCsgDq Bt7XZR/0hSoGeh1AGeCkvsTedoIaKr6/DXvu7A1CtBaVIlJeMhCr+iqnOjC+9Bw/pS1J6qpsUmI1 Nz/OrwztLDgEcU8kM83l91t2/1WErqpmMpGHOhAr5udvYLQL1LL082iQVYd257BprLp5l9JbGBMZ 4UyYNeXduijaSD512J2u0Cb0gKW7xFSnbrHTMJjgsD7d6HoQUAZGYZA9miwUUukxm1ZnyAG8bsk6 SXKZtdt+WwymolxGpgHQmGpWbRi89qU1X9lQnj6QfxDpiZRQuQ9kUDsBR7rtsav5JPFwMZVwRLvt Q53OyGMbje1APaoYsyGNKiWaZSygjwy/+WyQ+uVlKEe1ktp6VLqstjIaEobE/gia7T3iw9dfh0Fm mnc9nVEO2qZPSq2lOOsY1Y0M9NtqehBTdMvoBhHc+giTf0DFYtkOxrmxx/TpPRow9r1FLVzCnu2I Fu3CYjvRHx+e+Ny4OSAhNuURboZWvkfZjN50rkldsjCsab2mauYeq6AwiR5lF/SRPg65wK+mVDnK oQ55iVIWjMqImNCqXJMKobrqmSf7fkSgpyBYezWk/AsxXD8XBJPJuBD5wGFT5vwYHoNunkslaPSh 9xAgxUWLxLQoOtwOd/ve6PA4fvpcWIXYFy+ydt/zvyTqfxYxVS1TJ81JALtNAUc+OTJ6K9Ga2bI8 HScadhfkGHq0RfAo7BdI0/gaoTLgnFKWzDxHTdwBP9oA7S9wpd9QDUpqrqZ0kEAOAmJOep4rjZnw ssuLJW/vvkAKWyiZtiJj0yurxSuQcUnIy1yv3ZSiRR/PEODmGUuJYBARN8RFbvzqGD+SPHBNvCHF bDLfqsnQ5y97qIaBZH+KrfTNpR/zvviNxXwEZyns21gCXw7EcORWQLF/2iqqzH7/VnUsDJgizkPA dTqCTbHzt2lzKAljUp5/H96pgGSyzS+NZTUst0b5RquxpciLnlV05DZfcuF6dY5vaaiyIiymb8Os 2y5hH5QAgvQufnVlbZpwRnjuJD6q045+vqsOdHLR30l2eedyBvOuMDCSeLGsZKsVX2k/V6kLY+4X vEB6wHay9OimR+saQMwxxo4tUmrkm+1Yxt9TRuIqoWcNPtbyyiHxq7OsfqhO6PcubKdA9aduwM7f qhMPgoLj5kfl2kj2Sw8MCLk4tKXOUi4GBDW6KwemdvcuogCa4HbaZLyBmXO79r7CrbUJhIiq/VSL AbNuhj5rZoxTD/LTGUVXgcYqfTTOM+oS4xxOGGVnjmFk5pUtVEKftKdGZWSDeJX1McdyQSj+Bsat 2EjUTzNwDEgThqdPKltl/l6HFTjp6J9FeYlgkPOh2Zd2YGNDGXr8Ia2kXKir9GHWl0n+bcOcMQyw GXZZGw/nd4PmS8D5ZRWxvxCLZjf7Aux9KStiaPMRA5cbOOfsmRemWCLUGI3ZAeGF3tLmbscAtzxF mLWRzd9UxChztpoi3+fAM4LceEQKzWXIrL7JGuBlsRYKZ/ICAYu19TPYDoCF7xatsDUFYYomIbnl VsFOYEKzWMqmWHbbdDFFFd62CiZ3Ycvt2PXAL78cptxrS0npKNXJ7bAPowhjKQh1555owA7Ovuo/ tihwMeD0M+EVq+o2aL7ZTH+HryQJ3sKgilNeF9b6wgIJRCeyD9Oe0+LKxOjzk0JO8Y3yBzlkdkjJ cU1QqMhOeIF9Ms4fG+zMPGzgMOOVZQRxxBnGpT28/+UNcAQ5a1+H9mfSwyLXBppmfk0tlbLji4Op 3g963F3jgGHe3QuPHu4u/rN8jgy/DFp9FXrkts/Bp3/oKCpG9TJNudCFxQGhiGMp3SAx9P6OSlNY gUixqXBxCmVcE916+mp7Y6lTW00qqhAcH1j4a+8lMKEiAEeovNcALXT3jVjjvauIJ+QwlrTskFFx cIc14D2qPYc6VCURmt2UTt3+nJaShClqLzq8sLBz0N+IC/l6NSe/gL9Fe/HPS2nDtIFb4k+I1IrC fZQVfOlT02W77NF7OpbMZmevmQEB1KWw19bJ+k5UsaXp5GzOXR2ozJLEF1nAbsWv2sT2hVRd2+F1 OxYGzEJ4g0k/BZBOqYHyvrhsDZ6WKiUWusbAKwsqfGvro0S4ONPDrIYB39X8y0S34TWLrDDKaIgR GZMeUTCFyil3fIofUw7ah0RborOyuaAX0IWyYT5khDSroqf8Girn9ulzkO3JL1hYnWLC1yUfSe9T ANaHw1E7TmpZQTpYSUiFXQz7WDni0NtzX/B0a5NpxjHM8JNkvy/1mnDHHqkhoWrnd0TIbjRyEkwp MivhUnxnfgThEwck1e1m7vWqZbMYzAMmFt9pGahj6bND5xfGdWbf2j4z3FKmJ1Ynti/sERY2X0cD v9uXwJOORgvAjBYE3pehQ7hYWl8Oqpud5CIpfZadtp5ulremkYFkETMSwbQKOx6mCjZqDPNJszuW PUYuelwzItIUkJbUlNHF3evuF3XdpHLaYWk33DWJ1RnJjHlPO7RpHL4IFCur9Jr3zGZpKshgNf3Y rS8/TpQAO3XBaayyZTr43E5AJvZMWoXNcNgEhOCc5ny8gQi0LSNnZSuoa/8vgScyrmBI1HA8GAe1 zqtvaUiUqT2ouxPhMITQULhtBcdpWswODYDA6EWi3N1CbrKnMOITjRJLgx4eB9bHV1jy5xHPS0kD bsDimNrHfU/zfgfdoeQ4q9vFOh3jQPrTgLpUb+XFSpPL89HBv4XnSWpHZ3A= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XU18WcCwTkt8jmY17aSll+jT4Z6pheiPyJyC1wueaYPnrAnvdRitRwC2FZLTtyA3iOsooKeCkCm9 d9/Zraqvhw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UQSz4M9+5VPqMHgHgpXva4tn5lfEbO+7aCEqBJB7qFG1vCLhGbP9P4YX0ap8juXr/WSSQyITqYOv LCdM3fGnhwNwvHW38JgpLPX26/ESf8NhU/YpQN4MndkyM4+Kpub+43tZyeXzuktExAm1MieCB0wG lcM3YAsGo/ml46ZlbSo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GGjuEe318hF7wzeNenfLANT8PxNoxRQEM0UhXdHhDZ8K+UVlNB0gKATyF821DOQ8i8P904p1Z5Uo tNpU+FXcZse2c5BiOZ8cnQy7XWVoci9BIKvhCEWjuzrhCMoBvkbLCOsm4qYLTX5oRGWoMOh1kxvX xtMfX5Q39S8PUZIJHn5MvvH5JcfXmshS2KDAmaqSs+1XsLJQoo6S+XNVG4a9leNyNeV2xT1aF9TM AlPxfdM6I70GooYqevv8ToH2R6iffqxXqXwk9ssveYXnrFnFLcaVdn5NF9cgCfIETO2KVjE7Fr0a xrgpJuAWztXnhtCYZmiD125uc/nJXlubZpQI4A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bwZCMIMOdvqKigzonKJZ/wVcuTnfMuM+mgeuNeebAmal25OTZNnkEEsmce9f4FNIKtZn2fpJDWwr m0D2CllxeRkuBpohE3q0iLSuhgR2I+t6PnSoQWBKhrOg9N6438ffk8VR3a5nGtbqgQMNOUVQoUTz ycLSP+EDlh4X/o7Urc4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gs+s8Lv6587sfU3glljYMPYgBrLOkciLuo9k4YbcxDz/UnyDZKekVBEAyEw+woEJoXqIAygzyxK+ jESe3Cr6cif4DnfdQOUdvPEUj5oixoZcbokdKrioy/imrWIocjtpu8Ge0v96xJbsLBuC0kUhl/D1 Ug/6cHELlcMicv2SZj6p4IoGjZDLB3XLVBrhCAvIabR7XuEZqIuYCR+CvIVVEKn/10tMJGGNkXuO I9jViZDcJ3x9NM/6wtaskVJmqjyKSH47b1YAXlrDlNP+4U1dK1luKHRKcsjE5hYpGsC3LGrxpoBU ZdIBV2wjmXZaflgK7sYRmKg8ZKYd8R2ig9d7sg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6656) `protect data_block OPA+qikcDvffarX1faJnyruWVmJHqPnFqpKj60bsrX6011IZr4tjv9g/cL2GlhxNfhi32LN0IGtt z9PN77MX0G8dBlZ/o56jYSfFVrTfvECKuX2x0WoHKT8ypztlmDjval8Vh512DrPGGF3THZyqYeFF JY3KOwK66yQuH7Ayl5LcgoVTDdYQbAvfXkDCtGQlapiPHpESKRWot0fQjmdo5HcVyrNTOiaYiuOi jyRHtPXUs6Zh6KD1MKdOm27aj1d3cu7XKsceLhzdMI4ysfiyOdtOXmNAA/s8f08Mm/93yiBdihv+ 6MPeM+dRJtCJnMFsBSwZb/pNiJ5Xrs50j/g5IblCv7Ka/2BtNmrj4Of5Kr1/iS+nqQoeaIYSzq7d ttegmJQ46PSPMhmI9YWl7eFV9/QlI5P5lAdJnuBnQYCYsskX1hw3QD8DXaegi7yWQo/05RJJ3WLc lBlCt4VvRbVXUwv74ycVgblh1AmZBPKVyyU75thXvDLxWQRcp9ZeTOGt1C0ztXyhQZIl6VV2yRRB M/l2BTtevDmpxxx7KgHJNrQtRQScsuAyC4mf8lW2acRfq/UV+h21UvdXHacw+J960y0hysEMsQHa 6NwgcaAtgsQMAwWBm7PLe3TFOTBWFDR1C+Et2h3fkn/poEFAxduFtAqkznFagFXvnQwjmuay21pZ uk3olkJyph1xv6doUzCIeGnRZqTU9zVIns/R/yODwfFsLW7g+1mH8ArWjZm3hnd3ND1NcArXKmvS yQQr8uzABkS2dKx0nefE5zJOUWKNC/9sj4xTBLiHUsu6BpDrJOvNohOHsJ/yEpHmcnIt0lE3bscs hygzrsEX3JF4LtYjv9LzX3bCjA43jHIqyrgfScUHbFoVZANtJhF5OMrsh0O6QENvEVO43tLJSzFj cViFbBed2POdd0l2EqGwK6JTJgky8FZMTiR7+wbj0Nx9J3SryVvvnfB6TQdwQ6L0Fe0LV6n9xa8z bUWI1jgtZdhVmlHzyj8QWgWMOcjE0n2bk+C4qj1GxNvTkyXLZuP3DefRmmxy+BsiVK1iaWve17zL L7lvPe9vCZQXnTktqfZ9TeZmM8yFBP+xV01Hk5VeCFcS4DTdxwW02efG5z3nK+okUceIXkQkrSIC yauChunbus2H80jNOBoiC6jDcTMsKq9L0wFXs1OI9The3NV2kv6++Iqyyoj/9DPwd9JrdhxT4/PB GnW9rNyQ0P3SkK4R44zSysvYuvuoWLwT1lHHWuJfOx7+OnjJAh/wD1lBJPwTTqBvipmVihTTXmTU nIG/usTXuDJWd9+CRN4Ywcp9hFjyb1fA3DoPCTsKz1ZGER+pwjk2fJNe7CruIkpe8+HpDqpYiuGp VAyu9f5NQsSz8vqm8e+hgq0GcIDCYwdDNVGKy5HfY+DRZvuVVyQr7juf79PQwWHEe/6qKS4RMAul b4HflxoRxWo3Zg7/IVP95CBAdyjWcHo7blQqiHBvAwfXWZuYVx1+HPtY96pkTWXEKVKrqz6fZ9i0 fxQHes1RRZ+S40+ZxCSEstuKNZnjfLlRUm06tGSFfPFrYzaduCy43nfprIkoV31Mcf4bupFjq5tE aV0aJCLhmGaIMZ71Fp1Wy74MVe79BA3xjLD+owVYVAP9qke6skWVRqpKw/Ub/g2aRCbt6iOGOd2J mqKkbBd0oGHYU1RBIdtIQ9KbEzXNDL7H0FlsFpuYlb9zQELBD4aEjpPK+CKbmm2NphRGT8Nlkivz Z5tSXE2q0QKpmXKs/ZOO8JjO0U0rZZiDwF4zEZ1kWuDs69rZIdGskr69nr9gg5iGK7YaAuiNCCRO MXMFrsY6zzwiqanOJAJXGiXugjThEB4Vc4o7SfBJ/P31zmVaXLPApmIWkJ94zgofSvCQBR+3K2J1 1Wlb1kizx9Ak+bL1oeLQmppRHj+w54ezcWAF1Km++ubcvOmrBR5kpFXXtrOFKVlisg5fH2EO4vZk KwtltbLbwlcoGAapPpVat7wX2PMnv2zdDDU8M63K44kSf+Q7Fy++vNgrzCPmsUToIClVZHGVMruG SAhOSoIec+R90YkeafFLsWHTaszanVUub6ZLI7AKJORD3LrGKnCJSg+YJmCzHo5OFL/RG7sDmWPI lF7bKN5nRw1GJkMjoLI5LmxsAJWWFyGiUQ1HW3ycFg5XWfpuSw8Y/duWyXShXk0XSz7Hujmrrp1Z 3BWO7Bk3DjWOWvHROiShoEF3w3d3IRXdRtVkDUN4ZkMwMXgWIMQvtYalNfgrDyzlu9zvA3Sh2hLu JtVCJluOtsXz6VJvtg6ZX7AQaHBhHdvH1senGRL2j6AjrcXYvf+JcO58a6tEBvyMxjfo6HD3pC6H yv5X4An1fg4IAeE4lUWpV9x9ObieVXnhc7pls2io/PZ2V2Qio8EM5jNabi/Xq2ZKXyOuL5Bv1zxr rKljiV8O67xqRYudQ1wuJQi5V8BEmchNafqI75aqhyzsL69Kjq34J9PRZe7hXirvngBPHCZUUAMh LR0U3U5G2+ivXL8vM/Z49983yOOdTFqDJgI3QcvKwo0f29VGeHF06+QuOLw6Mxv6vqaTy52h3T9D HrOHGfgtmrrFkLzfx7JUHCb6tTWGmcC1znYnSUY4QIQHxc2blpV+WWN7GcbKfW4E0cTWUbg8u71d qap5pqZwuGR4Wm0X/SXuuH/QAEL8ayiNEUmSZB2tPw/kQ5tN1D07CtBwo1IHtQHcRJBmCTFzr2RU EPbYLEdB/RRQn5HBAJikI+5SGgFJrZWO8oiq71ioczhUoPqtJNUJM0f8KbkgpoFd91w1QM4Vf3fe 7XwIBJqX9miJ6JrzD6k4K73SFRoAAd+zRo/0in66xeWKKv1/f0J+zNm+kaIRODi3C0FdLc4u6TSX URyGYBQYbSlh5ZBezoDl1ClzEK7Pq7uyfCSAogU5gkzxHF0zCLxkBf3nP+tJ3/9izjf/WmJ6YTqj yu5qgDfSbAzXOS24kKNtaxmCcrCdlpDcYr4a91KvtZ47mdjIHzY34OQKs7jrtoH1LThxwWLSzgIF wkqINFEG9kHavepVZ3TWzb4dm8fOqkilzKddbG3IHPa9YHUV95NJQ3XAfDbjH0KRr3hb+9r1My2B MTTBCWEpXzXljl8vNB7OM4upATZnTWYWVf2fZG4AC/HSrAqPSODWIvvCweAc0O+nJRan69j2Yx/r Z5K7oMMidygJ+OMAgJ5nl9rs8osAVEnDGyFKTdGZfD5jIzyyJ9UP12wfn4iLq+lkrpM8zj1wkA2F 61YT4Mzj02C5bPTS3tRaJLBGaSdrWjWMcDT0/XcdWXyt2WRmv0rQPMjS0CGhQnWDcTU/hwZ11dax 0mTRiuf0m9Nv/O6mmujDdfGKHVzPlD/eEaQC6IbB+U0qrq4LdRDk8Feap6+A3TCUCM3wdh4QeAtq vTswHY5gGwSfPC+fPLMNmyVQ2BOT5dJ9T6J1waaRI5Y/MpfW6+UYTASceeIsfOBhlvT7/RAK6UPW nbxpTrokOg3yWn8Q5L2nRkoia21ZwPCc4zozAWIoIEAEeu1yoMHgpGbAZBH0tawDA4SY6PLj6kCM M/hk5XHm3z4EI220HU8YAf+Ovu1YcMULINqNV32mrwLQsrpxWhy2QQAKhFbi0PEW8PnawUoEx4IE Ouv2l/2LqmTlYfhRIhxwuMxQT2Y4lv5W58KKLb7aqhX7dXZZkmqj2Hwyw8GW3qIDjiqcAA9fwroy Heb6D5JW74WnjyrrbC3Qb1ZgQG8dQHQeY0I7y0qhkcg3NfZmkW9q614zH8xcFNGhWyY1frhMtlfV Lr+G8k7gDwqcMgaohVl2ho2rYCNXBhSWHeRJc7vLtNnE8bAgE9+Hd1497OLTj+P/gPYxJP9a9YII sdRffA0Mj5Uboxv8LH/6zX/qvHIt19qVmtQNxCQ9yXF92wqaAT4Q+DgW11weokpyv5PZ10RSyRGp fsURIu27qYludHe7jKftiN1VAX0MwJT+1wrjJcQiTh9TN34N49RHNenS4gb+BkNIdM1TANYdpse6 b17vcys8EAacMvuT94Id/187zuO0L3uxvAamV/89rb0O/9jr5T3NoVHJa625Kk+NZqigQFDtShWW j6KzrtEkzuC1duuPU8EqW5h4dASeysZw/o9bb0UdUxSAkx92V25qWpj52SXJcXgj4GWlofmSrj/g 5PUY9YQqMvagI4YCROlOu/C9Fs4QEmSa8Z6wQwtX5ZXbsDhTWkfulxFCrx09yj9eGXth+N59G2D7 QjHEP7IFYSsCpPp//XNgRFdQc3LOCwr3w380rcsKzq/tb9BoR9/3xZ8agA8Od/cvWRM9O17bjjyK yoQf3OWZT9m2HO8WSRagCTJZH8onFrW1meQx1ad2TKtLiam+kBJCZ0kaSv63ejPbFlIDBmRnWxq5 13mrYbRRUPrRB/w7KYe1/45Kod/0DVw6kYu7K5gA9Ogq0rTwu58I/rui95/dJKUhErMsjadjWw9T yA5gxC8vEgbNg0BZb5ZJHuXTKLwE8n6ajYuIY3eWtddNPpQadWWsK2jmNueLYSdQTnjopfl5Ddl5 iwRSEpp//Ti9MoGZoGWBrgpMEeW13Chrs1HvKfAJFzeynLyaVuttjduzIZHAoA9uVn9perZnCE5P BPeMeBcm6yHSplGVIP/ZmAnKbOHUxan81ls9NJ6mSLdBYehMeUJDWIUkdl6FVG9L+QPJd8AI2Q4J nPXlAMoy2k/NfPEmUyjh/VDgwQ38+biS9hJaDBp6qgvM+jqpAE2CaHP9NyKIuGr6gpPLEhNPT2Dp b5uRuoNS9jwAEEJBlvI8j6mVFNBe3rWmZ4HH9de5JKV46+ZE0T0+V7sdFPBcVEQde3HKna5q4Def WXIuY4D7xYyEGu9SxZz9CgtyntBDRlUZAAburKeQCgl0D0WN8wSTLd2kczVxLOaDUodlPr2rpvtI 6oYgw18Js4+f0jZjMi785nQtKBhCjfV2JQDC37fwL0UWzLJ0lG9K/FVH4cm7Lg2GboW9DinAslA+ RFeeVlok2a3V0C4ApSzCZgAuSiPvd0n0eNcsGmmqL9rsOsXZnjlU0KCM5gXYwzkEeN81+dyVWaFM ie9jrShWEZucd+PBC2JZktbBhcc5zH5KelNu7GA/w7Jeo6sBNekksmAM5WNU+kg6FYTkGPUkGfpa qu4vfE5vx2TsFpOeedLPSfWG/IRn7ueQkhxGAYeejBHdpH8gIZTQd04tPWADIjtHxhyH7zi7GRaq 0J2b02mu2nEpMoXzcEdSxLFdw61CjqpULKPsw2ZoqJd11qdWmKrpecumPUM2rRwM1K59VWqAlOkl d0r8lHkd605E4wP2TjmYMkMfGewCt5NjXebDq+dWaDK1tNZ5D33IhOtPnKtFisx1RHADAsFAfC4t ojtzVNe1L6XqfkYDH66wY/FM72Yaf5vk25j8aWZTGhttL3DtzkPGskBar1kz9Fem54qszZFu8lHc 6bcKSlmiIpd+aRelNvJ+11TYVMh6uJwqgE/iZLgN8CQtDV+9Ku/dAwvNG8FGsD3dbF6TgxKnShjF DYzlkAdzeCCR1fXVTmlCsQ3u4WLy3S9sO6RCIhuRT+xJR5nkd+JX65QWBG1B9fLglFwLCh69QMl+ eUNNLP+Lvoh9rENLe3hVu+A5IAJoCnZCO0H++2mqlmSHhOZMN/8dDUyymg2VTobjXGZnROV6LAB2 q76ylgZ+501OuxsS9z2AH9qiGqsCljj1z2zRTuXs89DaIwyjfAqrXmozOz+hk+rvq9nmrezg9V42 vUM3Lg2C3voFf9AXrHC1hdTRxUuUE3JDRI4yEf6+NWy1Jzd0gX9NMP/zbw3hG6CmIFkDBtKpDvQs Y0X9bflZ5nLV97DNGsA7y0kXd8y96laqj9JGlPJvpHLUTIssNJZmQRSEtKK0La4wbG41BhuAvB+6 g2a36nn3zBnbxiMKFMbeGJjLFrjoTC5FOT87tGc/xT5VZGkhqUsvjzBIdQKXreHMk7vI2j1MIqyu XwdTWJnhREAYv9Mw1ugvzQ4zktXXupeoyNiIAhgSnikB/wxfJZmhiJqV+uNXSLG+p9FQdW0aryWq eXG41PcjJkAmE2sYqO7TdPB7cilDtdUJZtFWb/HeF8QPsYo4yk2EHC1nt/COM0Q9ct0ChoUCsgDq Bt7XZR/0hSoGeh1AGeCkvsTedoIaKr6/DXvu7A1CtBaVIlJeMhCr+iqnOjC+9Bw/pS1J6qpsUmI1 Nz/OrwztLDgEcU8kM83l91t2/1WErqpmMpGHOhAr5udvYLQL1LL082iQVYd257BprLp5l9JbGBMZ 4UyYNeXduijaSD512J2u0Cb0gKW7xFSnbrHTMJjgsD7d6HoQUAZGYZA9miwUUukxm1ZnyAG8bsk6 SXKZtdt+WwymolxGpgHQmGpWbRi89qU1X9lQnj6QfxDpiZRQuQ9kUDsBR7rtsav5JPFwMZVwRLvt Q53OyGMbje1APaoYsyGNKiWaZSygjwy/+WyQ+uVlKEe1ktp6VLqstjIaEobE/gia7T3iw9dfh0Fm mnc9nVEO2qZPSq2lOOsY1Y0M9NtqehBTdMvoBhHc+giTf0DFYtkOxrmxx/TpPRow9r1FLVzCnu2I Fu3CYjvRHx+e+Ny4OSAhNuURboZWvkfZjN50rkldsjCsab2mauYeq6AwiR5lF/SRPg65wK+mVDnK oQ55iVIWjMqImNCqXJMKobrqmSf7fkSgpyBYezWk/AsxXD8XBJPJuBD5wGFT5vwYHoNunkslaPSh 9xAgxUWLxLQoOtwOd/ve6PA4fvpcWIXYFy+ydt/zvyTqfxYxVS1TJ81JALtNAUc+OTJ6K9Ga2bI8 HScadhfkGHq0RfAo7BdI0/gaoTLgnFKWzDxHTdwBP9oA7S9wpd9QDUpqrqZ0kEAOAmJOep4rjZnw ssuLJW/vvkAKWyiZtiJj0yurxSuQcUnIy1yv3ZSiRR/PEODmGUuJYBARN8RFbvzqGD+SPHBNvCHF bDLfqsnQ5y97qIaBZH+KrfTNpR/zvviNxXwEZyns21gCXw7EcORWQLF/2iqqzH7/VnUsDJgizkPA dTqCTbHzt2lzKAljUp5/H96pgGSyzS+NZTUst0b5RquxpciLnlV05DZfcuF6dY5vaaiyIiymb8Os 2y5hH5QAgvQufnVlbZpwRnjuJD6q045+vqsOdHLR30l2eedyBvOuMDCSeLGsZKsVX2k/V6kLY+4X vEB6wHay9OimR+saQMwxxo4tUmrkm+1Yxt9TRuIqoWcNPtbyyiHxq7OsfqhO6PcubKdA9aduwM7f qhMPgoLj5kfl2kj2Sw8MCLk4tKXOUi4GBDW6KwemdvcuogCa4HbaZLyBmXO79r7CrbUJhIiq/VSL AbNuhj5rZoxTD/LTGUVXgcYqfTTOM+oS4xxOGGVnjmFk5pUtVEKftKdGZWSDeJX1McdyQSj+Bsat 2EjUTzNwDEgThqdPKltl/l6HFTjp6J9FeYlgkPOh2Zd2YGNDGXr8Ia2kXKir9GHWl0n+bcOcMQyw GXZZGw/nd4PmS8D5ZRWxvxCLZjf7Aux9KStiaPMRA5cbOOfsmRemWCLUGI3ZAeGF3tLmbscAtzxF mLWRzd9UxChztpoi3+fAM4LceEQKzWXIrL7JGuBlsRYKZ/ICAYu19TPYDoCF7xatsDUFYYomIbnl VsFOYEKzWMqmWHbbdDFFFd62CiZ3Ycvt2PXAL78cptxrS0npKNXJ7bAPowhjKQh1555owA7Ovuo/ tihwMeD0M+EVq+o2aL7ZTH+HryQJ3sKgilNeF9b6wgIJRCeyD9Oe0+LKxOjzk0JO8Y3yBzlkdkjJ cU1QqMhOeIF9Ms4fG+zMPGzgMOOVZQRxxBnGpT28/+UNcAQ5a1+H9mfSwyLXBppmfk0tlbLji4Op 3g963F3jgGHe3QuPHu4u/rN8jgy/DFp9FXrkts/Bp3/oKCpG9TJNudCFxQGhiGMp3SAx9P6OSlNY gUixqXBxCmVcE916+mp7Y6lTW00qqhAcH1j4a+8lMKEiAEeovNcALXT3jVjjvauIJ+QwlrTskFFx cIc14D2qPYc6VCURmt2UTt3+nJaShClqLzq8sLBz0N+IC/l6NSe/gL9Fe/HPS2nDtIFb4k+I1IrC fZQVfOlT02W77NF7OpbMZmevmQEB1KWw19bJ+k5UsaXp5GzOXR2ozJLEF1nAbsWv2sT2hVRd2+F1 OxYGzEJ4g0k/BZBOqYHyvrhsDZ6WKiUWusbAKwsqfGvro0S4ONPDrIYB39X8y0S34TWLrDDKaIgR GZMeUTCFyil3fIofUw7ah0RborOyuaAX0IWyYT5khDSroqf8Girn9ulzkO3JL1hYnWLC1yUfSe9T ANaHw1E7TmpZQTpYSUiFXQz7WDni0NtzX/B0a5NpxjHM8JNkvy/1mnDHHqkhoWrnd0TIbjRyEkwp MivhUnxnfgThEwck1e1m7vWqZbMYzAMmFt9pGahj6bND5xfGdWbf2j4z3FKmJ1Ynti/sERY2X0cD v9uXwJOORgvAjBYE3pehQ7hYWl8Oqpud5CIpfZadtp5ulremkYFkETMSwbQKOx6mCjZqDPNJszuW PUYuelwzItIUkJbUlNHF3evuF3XdpHLaYWk33DWJ1RnJjHlPO7RpHL4IFCur9Jr3zGZpKshgNf3Y rS8/TpQAO3XBaayyZTr43E5AJvZMWoXNcNgEhOCc5ny8gQi0LSNnZSuoa/8vgScyrmBI1HA8GAe1 zqtvaUiUqT2ouxPhMITQULhtBcdpWswODYDA6EWi3N1CbrKnMOITjRJLgx4eB9bHV1jy5xHPS0kD bsDimNrHfU/zfgfdoeQ4q9vFOh3jQPrTgLpUb+XFSpPL89HBv4XnSWpHZ3A= `protect end_protected
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2080.vhd,v 1.2 2001-10-26 16:29:45 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b04x00p20n01i02080ent IS END c07s02b04x00p20n01i02080ent; ARCHITECTURE c07s02b04x00p20n01i02080arch OF c07s02b04x00p20n01i02080ent IS TYPE real_vector is array (INTEGER range <>) of REAL; BEGIN TESTING: PROCESS VARIABLE target : real_vector (1 to 10) ; VARIABLE slice_1 : real_vector (1 to 4) := (1.0,2.0,3.0,4.0); VARIABLE slice_2 : real_vector (-2 to 4) := (5.0,6.0,7.0,8.0,9.0,10.0,11.0); BEGIN target (2 to 8):= slice_1 ( 1 to 3 ) & slice_2 ( -1 to 2 ); assert NOT(target(2 to 8) = (1.0,2.0,3.0,6.0,7.0,8.0,9.0)) report "***PASSED TEST: c07s02b04x00p20n01i02080" severity NOTE; assert (target(2 to 8) = (1.0,2.0,3.0,6.0,7.0,8.0,9.0)) report "***FAILED TEST: c07s02b04x00p20n01i02080 - One dimensional array of REAL type concatenation failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b04x00p20n01i02080arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2080.vhd,v 1.2 2001-10-26 16:29:45 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b04x00p20n01i02080ent IS END c07s02b04x00p20n01i02080ent; ARCHITECTURE c07s02b04x00p20n01i02080arch OF c07s02b04x00p20n01i02080ent IS TYPE real_vector is array (INTEGER range <>) of REAL; BEGIN TESTING: PROCESS VARIABLE target : real_vector (1 to 10) ; VARIABLE slice_1 : real_vector (1 to 4) := (1.0,2.0,3.0,4.0); VARIABLE slice_2 : real_vector (-2 to 4) := (5.0,6.0,7.0,8.0,9.0,10.0,11.0); BEGIN target (2 to 8):= slice_1 ( 1 to 3 ) & slice_2 ( -1 to 2 ); assert NOT(target(2 to 8) = (1.0,2.0,3.0,6.0,7.0,8.0,9.0)) report "***PASSED TEST: c07s02b04x00p20n01i02080" severity NOTE; assert (target(2 to 8) = (1.0,2.0,3.0,6.0,7.0,8.0,9.0)) report "***FAILED TEST: c07s02b04x00p20n01i02080 - One dimensional array of REAL type concatenation failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b04x00p20n01i02080arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2080.vhd,v 1.2 2001-10-26 16:29:45 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b04x00p20n01i02080ent IS END c07s02b04x00p20n01i02080ent; ARCHITECTURE c07s02b04x00p20n01i02080arch OF c07s02b04x00p20n01i02080ent IS TYPE real_vector is array (INTEGER range <>) of REAL; BEGIN TESTING: PROCESS VARIABLE target : real_vector (1 to 10) ; VARIABLE slice_1 : real_vector (1 to 4) := (1.0,2.0,3.0,4.0); VARIABLE slice_2 : real_vector (-2 to 4) := (5.0,6.0,7.0,8.0,9.0,10.0,11.0); BEGIN target (2 to 8):= slice_1 ( 1 to 3 ) & slice_2 ( -1 to 2 ); assert NOT(target(2 to 8) = (1.0,2.0,3.0,6.0,7.0,8.0,9.0)) report "***PASSED TEST: c07s02b04x00p20n01i02080" severity NOTE; assert (target(2 to 8) = (1.0,2.0,3.0,6.0,7.0,8.0,9.0)) report "***FAILED TEST: c07s02b04x00p20n01i02080 - One dimensional array of REAL type concatenation failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b04x00p20n01i02080arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2979.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s03b01x00p07n01i02979ent IS END c02s03b01x00p07n01i02979ent; ARCHITECTURE c02s03b01x00p07n01i02979arch OF c02s03b01x00p07n01i02979ent IS type newt is (one,two,three,four); function "mod" (constant c1,c2 : in integer) return newt is begin assert (c1=10) report "Error in association of left operator" severity failure; assert (c2=20) report "Error in association of right operator" severity failure; return three; end; BEGIN TESTING: PROCESS variable n1 : newt; BEGIN wait for 5 ns; n1 := two; assert (n1=two) report "Error in initial conditions detected" severity failure; n1:= "mod"(10,20); assert NOT( n1=three ) report "***PASSED TEST: c02s03b01x00p07n01i02979" severity NOTE; assert ( n1=three ) report "***FAILED TEST: c02s03b01x00p07n01i02979 - Error in call to operloaded operator." severity ERROR; wait; END PROCESS TESTING; END c02s03b01x00p07n01i02979arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2979.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s03b01x00p07n01i02979ent IS END c02s03b01x00p07n01i02979ent; ARCHITECTURE c02s03b01x00p07n01i02979arch OF c02s03b01x00p07n01i02979ent IS type newt is (one,two,three,four); function "mod" (constant c1,c2 : in integer) return newt is begin assert (c1=10) report "Error in association of left operator" severity failure; assert (c2=20) report "Error in association of right operator" severity failure; return three; end; BEGIN TESTING: PROCESS variable n1 : newt; BEGIN wait for 5 ns; n1 := two; assert (n1=two) report "Error in initial conditions detected" severity failure; n1:= "mod"(10,20); assert NOT( n1=three ) report "***PASSED TEST: c02s03b01x00p07n01i02979" severity NOTE; assert ( n1=three ) report "***FAILED TEST: c02s03b01x00p07n01i02979 - Error in call to operloaded operator." severity ERROR; wait; END PROCESS TESTING; END c02s03b01x00p07n01i02979arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2979.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s03b01x00p07n01i02979ent IS END c02s03b01x00p07n01i02979ent; ARCHITECTURE c02s03b01x00p07n01i02979arch OF c02s03b01x00p07n01i02979ent IS type newt is (one,two,three,four); function "mod" (constant c1,c2 : in integer) return newt is begin assert (c1=10) report "Error in association of left operator" severity failure; assert (c2=20) report "Error in association of right operator" severity failure; return three; end; BEGIN TESTING: PROCESS variable n1 : newt; BEGIN wait for 5 ns; n1 := two; assert (n1=two) report "Error in initial conditions detected" severity failure; n1:= "mod"(10,20); assert NOT( n1=three ) report "***PASSED TEST: c02s03b01x00p07n01i02979" severity NOTE; assert ( n1=three ) report "***FAILED TEST: c02s03b01x00p07n01i02979 - Error in call to operloaded operator." severity ERROR; wait; END PROCESS TESTING; END c02s03b01x00p07n01i02979arch;
------------------------------------------------------------------------------- --! @file AEAD_Core.vhd --! @brief Authenticated encryption unit core template module. --! User should modification to the default generics based on the --! implemented cipher. --! @project CAESAR Candidate Evaluation --! @author Ekawat (ice) Homsirikamol --! @copyright Copyright (c) 2015 Cryptographic Engineering Research Group --! ECE Department, George Mason University Fairfax, VA, U.S.A. --! All rights Reserved. --! @license This project is released under the GNU Public License. --! The license and distribution terms for this file may be --! found in the file LICENSE in this distribution or at --! http://www.gnu.org/licenses/gpl-3.0.txt --! @note This is publicly available encryption source code that falls --! under the License Exception TSU (Technology and software- --! —unrestricted) ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity AEAD_Core is generic ( G_W : integer := 32; --! Public data width (bits) G_SW : integer := 32; --! Secret data width (bits) G_NPUB_SIZE : integer := 128; --! IV or Nonce size (bits) G_NSEC_ENABLE : integer := 0; --! Enable NSEC port G_NSEC_SIZE : integer := 1; --! NSEC width (bits) G_ABLK_SIZE : integer := 128; --! Authenticated Data Block size (bits) G_DBLK_SIZE : integer := 128; --! Data Block size (bits) G_KEY_SIZE : integer := 128; --! Key size (bits) G_RDKEY_ENABLE : integer := 0; --! Enable rdkey port (also disables key port) G_RDKEY_SIZE : integer := 1; --! Roundkey size (bits) G_TAG_SIZE : integer := 128; --! Tag size (bits) G_BS_BYTES : integer := 4; --! The number of bits required to hold block size expressed in bytes = log2_ceil(max(G_ABLK_SIZE,G_DBLK_SIZE)/8) G_LOADLEN_ENABLE : integer := 0; --! Enable load length section G_PAD : integer := 1; --! Enable padding G_PAD_STYLE : integer := 1; --! Padding mode G_PAD_AD : integer := 1; --! (G_PAD's sub option) Enable AD Padding G_PAD_D : integer := 1; --! (G_PAD's sub option) Enable Data padding G_CTR_AD_SIZE : integer := 16; --! Maximum AD len value G_CTR_D_SIZE : integer := 16; --! Maximum data len value G_PLAINTEXT_MODE : integer := 0; --! Plaintext Mode G_CIPHERTEXT_MODE : integer := 0; --! Ciphertext mode G_REVERSE_DBLK : integer := 0 --! Reverse block order (for message only) ); port ( --! Global signals clk : in std_logic; rst : in std_logic; --! Data in signals pdi : in std_logic_vector(G_W -1 downto 0); pdi_valid : in std_logic; pdi_ready : out std_logic; --! Key signals sdi : in std_logic_vector(G_SW -1 downto 0); sdi_valid : in std_logic; sdi_ready : out std_logic; --! Data out signals do : out std_logic_vector(G_W -1 downto 0); do_ready : in std_logic; do_valid : out std_logic; --! FIFO signals bypass_fifo_wr : out std_logic; bypass_fifo_rd : out std_logic; bypass_fifo_full : in std_logic; bypass_fifo_empty : in std_logic; bypass_fifo_data : in std_logic_vector(G_W -1 downto 0); aux_fifo_din : out std_logic_vector(G_W -1 downto 0); aux_fifo_ctrl : out std_logic_vector(4 -1 downto 0); aux_fifo_dout : in std_logic_vector(G_W -1 downto 0); aux_fifo_status : in std_logic_vector(3 -1 downto 0) ); end AEAD_Core; ------------------------------------------------------------------------------- --! @brief Architecture definition of AEAD_Core ------------------------------------------------------------------------------- architecture structure of AEAD_Core is --! Signals from input processor signal npub : std_logic_vector(G_NPUB_SIZE -1 downto 0); signal nsec : std_logic_vector(G_NSEC_SIZE -1 downto 0); signal key : std_logic_vector(G_KEY_SIZE -1 downto 0); signal rdkey : std_logic_vector(G_RDKEY_SIZE -1 downto 0); signal bdi : std_logic_vector(G_DBLK_SIZE -1 downto 0); signal exp_tag : std_logic_vector(G_TAG_SIZE -1 downto 0); signal len_a : std_logic_vector(G_CTR_AD_SIZE -1 downto 0); signal len_d : std_logic_vector(G_CTR_D_SIZE -1 downto 0); signal key_ready : std_logic; signal key_updated : std_logic; signal key_needs_update : std_logic; signal rdkey_ready : std_logic; signal rdkey_read : std_logic; signal npub_ready : std_logic; signal npub_read : std_logic; signal nsec_ready : std_logic; signal nsec_read : std_logic; signal bdi_ready : std_logic; signal bdi_proc : std_logic; signal bdi_ad : std_logic; signal bdi_nsec : std_logic; signal bdi_pad : std_logic; signal bdi_decrypt : std_logic; signal bdi_eot : std_logic; signal bdi_eoi : std_logic; signal bdi_read : std_logic; signal bdi_size : std_logic_vector(G_BS_BYTES -1 downto 0); signal bdi_valid_bytes : std_logic_vector(G_DBLK_SIZE/8 -1 downto 0); signal bdi_pad_loc : std_logic_vector(G_DBLK_SIZE/8 -1 downto 0); signal bdi_nodata : std_logic; signal exp_tag_ready : std_logic; --! Signals to output processor signal bdo_ready : std_logic; signal bdo_write : std_logic; signal bdo : std_logic_vector(G_DBLK_SIZE -1 downto 0); signal bdo_size : std_logic_vector(G_BS_BYTES+1 -1 downto 0); signal bdo_nsec : std_logic; signal tag_ready : std_logic; signal tag_write : std_logic; signal tag : std_logic_vector(G_TAG_SIZE -1 downto 0); signal msg_auth_done : std_logic; signal msg_auth_valid : std_logic; begin u_input: entity work.PreProcessor(structure) generic map ( G_W => G_W , G_SW => G_SW , G_NPUB_SIZE => G_NPUB_SIZE , G_NSEC_ENABLE => G_NSEC_ENABLE , G_NSEC_SIZE => G_NSEC_SIZE , G_ABLK_SIZE => G_ABLK_SIZE , G_DBLK_SIZE => G_DBLK_SIZE , G_KEY_SIZE => G_KEY_SIZE , G_RDKEY_ENABLE => G_RDKEY_ENABLE , G_RDKEY_SIZE => G_RDKEY_SIZE , G_TAG_SIZE => G_TAG_SIZE , G_BS_BYTES => G_BS_BYTES , G_LOADLEN_ENABLE => G_LOADLEN_ENABLE , G_PAD => G_PAD , G_PAD_STYLE => G_PAD_STYLE , G_PAD_AD => G_PAD_AD , G_PAD_D => G_PAD_D , G_CTR_AD_SIZE => G_CTR_AD_SIZE , G_CTR_D_SIZE => G_CTR_D_SIZE , G_PLAINTEXT_MODE => G_PLAINTEXT_MODE , G_CIPHERTEXT_MODE => G_CIPHERTEXT_MODE, G_REVERSE_DBLK => G_REVERSE_DBLK ) port map ( clk => clk , rst => rst , --! External pdi => pdi , pdi_valid => pdi_valid , pdi_ready => pdi_ready , sdi => sdi , sdi_valid => sdi_valid , sdi_ready => sdi_ready , --! Datapath npub => npub , nsec => nsec , key => key , rdkey => rdkey , bdi => bdi , exp_tag => exp_tag , len_a => len_a , len_d => len_d , --! Controller key_ready => key_ready , key_updated => key_updated , key_needs_update => key_needs_update , rdkey_ready => rdkey_ready , rdkey_read => rdkey_read , npub_ready => npub_ready , npub_read => npub_read , nsec_ready => nsec_ready , nsec_read => nsec_read , bdi_ready => bdi_ready , bdi_proc => bdi_proc , bdi_ad => bdi_ad , bdi_nsec => bdi_nsec , bdi_pad => bdi_pad , bdi_decrypt => bdi_decrypt , bdi_eot => bdi_eot , bdi_eoi => bdi_eoi , bdi_nodata => bdi_nodata , bdi_read => bdi_read , bdi_size => bdi_size , bdi_valid_bytes => bdi_valid_bytes , bdi_pad_loc => bdi_pad_loc , exp_tag_ready => exp_tag_ready , msg_auth_done => msg_auth_done , --! FIFO bypass_fifo_wr => bypass_fifo_wr , bypass_fifo_full => bypass_fifo_full ); u_cc: entity work.CipherCore(structure) generic map ( G_NPUB_SIZE => G_NPUB_SIZE , G_NSEC_SIZE => G_NSEC_SIZE , G_DBLK_SIZE => G_DBLK_SIZE , G_KEY_SIZE => G_KEY_SIZE , G_RDKEY_SIZE => G_RDKEY_SIZE , G_TAG_SIZE => G_TAG_SIZE , G_BS_BYTES => G_BS_BYTES , G_CTR_AD_SIZE => G_CTR_AD_SIZE , G_CTR_D_SIZE => G_CTR_D_SIZE ) port map ( clk => clk , rst => rst , npub => npub , nsec => nsec , key => key , rdkey => rdkey , bdi => bdi , exp_tag => exp_tag , len_a => len_a , len_d => len_d , key_ready => key_ready , key_updated => key_updated , key_needs_update => key_needs_update , rdkey_ready => rdkey_ready , rdkey_read => rdkey_read , npub_ready => npub_ready , npub_read => npub_read , nsec_ready => nsec_ready , nsec_read => nsec_read , bdi_ready => bdi_ready , bdi_proc => bdi_proc , bdi_ad => bdi_ad , bdi_nsec => bdi_nsec , bdi_pad => bdi_pad , bdi_decrypt => bdi_decrypt , bdi_eot => bdi_eot , bdi_eoi => bdi_eoi , bdi_read => bdi_read , bdi_size => bdi_size , bdi_valid_bytes => bdi_valid_bytes , bdi_pad_loc => bdi_pad_loc , bdi_nodata => bdi_nodata , exp_tag_ready => exp_tag_ready , msg_auth_done => msg_auth_done , bdo_write => bdo_write , bdo_ready => bdo_ready , bdo => bdo , bdo_size => bdo_size , bdo_nsec => bdo_nsec , tag_write => tag_write , tag_ready => tag_ready , tag => tag , msg_auth_valid => msg_auth_valid ); u_output: entity work.PostProcessor(structure) generic map ( G_W => G_W , G_DBLK_SIZE => G_DBLK_SIZE , G_BS_BYTES => G_BS_BYTES , G_TAG_SIZE => G_TAG_SIZE , G_NSEC_ENABLE => G_NSEC_ENABLE , G_LOADLEN_ENABLE => G_LOADLEN_ENABLE , G_CIPHERTEXT_MODE => G_CIPHERTEXT_MODE, G_REVERSE_DBLK => G_REVERSE_DBLK , G_PAD => G_PAD , G_PAD_D => G_PAD_D ) port map ( --! Global clk => clk , rst => rst , --! External do => do , do_ready => do_ready , do_valid => do_valid , --! Processor bdo_ready => bdo_ready , bdo_write => bdo_write , bdo_data => bdo , bdo_size => bdo_size , bdo_nsec => bdo_nsec , tag_ready => tag_ready , tag_write => tag_write , tag_data => tag , msg_auth_done => msg_auth_done , msg_auth_valid => msg_auth_valid , --! FIFOs bypass_fifo_empty => bypass_fifo_empty, bypass_fifo_rd => bypass_fifo_rd , bypass_fifo_data => bypass_fifo_data , aux_fifo_din => aux_fifo_din , aux_fifo_ctrl => aux_fifo_ctrl , aux_fifo_dout => aux_fifo_dout , aux_fifo_status => aux_fifo_status ); end structure;
------------------------------------------------------------------------------- --! @file AEAD_Core.vhd --! @brief Authenticated encryption unit core template module. --! User should modification to the default generics based on the --! implemented cipher. --! @project CAESAR Candidate Evaluation --! @author Ekawat (ice) Homsirikamol --! @copyright Copyright (c) 2015 Cryptographic Engineering Research Group --! ECE Department, George Mason University Fairfax, VA, U.S.A. --! All rights Reserved. --! @license This project is released under the GNU Public License. --! The license and distribution terms for this file may be --! found in the file LICENSE in this distribution or at --! http://www.gnu.org/licenses/gpl-3.0.txt --! @note This is publicly available encryption source code that falls --! under the License Exception TSU (Technology and software- --! —unrestricted) ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity AEAD_Core is generic ( G_W : integer := 32; --! Public data width (bits) G_SW : integer := 32; --! Secret data width (bits) G_NPUB_SIZE : integer := 128; --! IV or Nonce size (bits) G_NSEC_ENABLE : integer := 0; --! Enable NSEC port G_NSEC_SIZE : integer := 1; --! NSEC width (bits) G_ABLK_SIZE : integer := 128; --! Authenticated Data Block size (bits) G_DBLK_SIZE : integer := 128; --! Data Block size (bits) G_KEY_SIZE : integer := 128; --! Key size (bits) G_RDKEY_ENABLE : integer := 0; --! Enable rdkey port (also disables key port) G_RDKEY_SIZE : integer := 1; --! Roundkey size (bits) G_TAG_SIZE : integer := 128; --! Tag size (bits) G_BS_BYTES : integer := 4; --! The number of bits required to hold block size expressed in bytes = log2_ceil(max(G_ABLK_SIZE,G_DBLK_SIZE)/8) G_LOADLEN_ENABLE : integer := 0; --! Enable load length section G_PAD : integer := 1; --! Enable padding G_PAD_STYLE : integer := 1; --! Padding mode G_PAD_AD : integer := 1; --! (G_PAD's sub option) Enable AD Padding G_PAD_D : integer := 1; --! (G_PAD's sub option) Enable Data padding G_CTR_AD_SIZE : integer := 16; --! Maximum AD len value G_CTR_D_SIZE : integer := 16; --! Maximum data len value G_PLAINTEXT_MODE : integer := 0; --! Plaintext Mode G_CIPHERTEXT_MODE : integer := 0; --! Ciphertext mode G_REVERSE_DBLK : integer := 0 --! Reverse block order (for message only) ); port ( --! Global signals clk : in std_logic; rst : in std_logic; --! Data in signals pdi : in std_logic_vector(G_W -1 downto 0); pdi_valid : in std_logic; pdi_ready : out std_logic; --! Key signals sdi : in std_logic_vector(G_SW -1 downto 0); sdi_valid : in std_logic; sdi_ready : out std_logic; --! Data out signals do : out std_logic_vector(G_W -1 downto 0); do_ready : in std_logic; do_valid : out std_logic; --! FIFO signals bypass_fifo_wr : out std_logic; bypass_fifo_rd : out std_logic; bypass_fifo_full : in std_logic; bypass_fifo_empty : in std_logic; bypass_fifo_data : in std_logic_vector(G_W -1 downto 0); aux_fifo_din : out std_logic_vector(G_W -1 downto 0); aux_fifo_ctrl : out std_logic_vector(4 -1 downto 0); aux_fifo_dout : in std_logic_vector(G_W -1 downto 0); aux_fifo_status : in std_logic_vector(3 -1 downto 0) ); end AEAD_Core; ------------------------------------------------------------------------------- --! @brief Architecture definition of AEAD_Core ------------------------------------------------------------------------------- architecture structure of AEAD_Core is --! Signals from input processor signal npub : std_logic_vector(G_NPUB_SIZE -1 downto 0); signal nsec : std_logic_vector(G_NSEC_SIZE -1 downto 0); signal key : std_logic_vector(G_KEY_SIZE -1 downto 0); signal rdkey : std_logic_vector(G_RDKEY_SIZE -1 downto 0); signal bdi : std_logic_vector(G_DBLK_SIZE -1 downto 0); signal exp_tag : std_logic_vector(G_TAG_SIZE -1 downto 0); signal len_a : std_logic_vector(G_CTR_AD_SIZE -1 downto 0); signal len_d : std_logic_vector(G_CTR_D_SIZE -1 downto 0); signal key_ready : std_logic; signal key_updated : std_logic; signal key_needs_update : std_logic; signal rdkey_ready : std_logic; signal rdkey_read : std_logic; signal npub_ready : std_logic; signal npub_read : std_logic; signal nsec_ready : std_logic; signal nsec_read : std_logic; signal bdi_ready : std_logic; signal bdi_proc : std_logic; signal bdi_ad : std_logic; signal bdi_nsec : std_logic; signal bdi_pad : std_logic; signal bdi_decrypt : std_logic; signal bdi_eot : std_logic; signal bdi_eoi : std_logic; signal bdi_read : std_logic; signal bdi_size : std_logic_vector(G_BS_BYTES -1 downto 0); signal bdi_valid_bytes : std_logic_vector(G_DBLK_SIZE/8 -1 downto 0); signal bdi_pad_loc : std_logic_vector(G_DBLK_SIZE/8 -1 downto 0); signal bdi_nodata : std_logic; signal exp_tag_ready : std_logic; --! Signals to output processor signal bdo_ready : std_logic; signal bdo_write : std_logic; signal bdo : std_logic_vector(G_DBLK_SIZE -1 downto 0); signal bdo_size : std_logic_vector(G_BS_BYTES+1 -1 downto 0); signal bdo_nsec : std_logic; signal tag_ready : std_logic; signal tag_write : std_logic; signal tag : std_logic_vector(G_TAG_SIZE -1 downto 0); signal msg_auth_done : std_logic; signal msg_auth_valid : std_logic; begin u_input: entity work.PreProcessor(structure) generic map ( G_W => G_W , G_SW => G_SW , G_NPUB_SIZE => G_NPUB_SIZE , G_NSEC_ENABLE => G_NSEC_ENABLE , G_NSEC_SIZE => G_NSEC_SIZE , G_ABLK_SIZE => G_ABLK_SIZE , G_DBLK_SIZE => G_DBLK_SIZE , G_KEY_SIZE => G_KEY_SIZE , G_RDKEY_ENABLE => G_RDKEY_ENABLE , G_RDKEY_SIZE => G_RDKEY_SIZE , G_TAG_SIZE => G_TAG_SIZE , G_BS_BYTES => G_BS_BYTES , G_LOADLEN_ENABLE => G_LOADLEN_ENABLE , G_PAD => G_PAD , G_PAD_STYLE => G_PAD_STYLE , G_PAD_AD => G_PAD_AD , G_PAD_D => G_PAD_D , G_CTR_AD_SIZE => G_CTR_AD_SIZE , G_CTR_D_SIZE => G_CTR_D_SIZE , G_PLAINTEXT_MODE => G_PLAINTEXT_MODE , G_CIPHERTEXT_MODE => G_CIPHERTEXT_MODE, G_REVERSE_DBLK => G_REVERSE_DBLK ) port map ( clk => clk , rst => rst , --! External pdi => pdi , pdi_valid => pdi_valid , pdi_ready => pdi_ready , sdi => sdi , sdi_valid => sdi_valid , sdi_ready => sdi_ready , --! Datapath npub => npub , nsec => nsec , key => key , rdkey => rdkey , bdi => bdi , exp_tag => exp_tag , len_a => len_a , len_d => len_d , --! Controller key_ready => key_ready , key_updated => key_updated , key_needs_update => key_needs_update , rdkey_ready => rdkey_ready , rdkey_read => rdkey_read , npub_ready => npub_ready , npub_read => npub_read , nsec_ready => nsec_ready , nsec_read => nsec_read , bdi_ready => bdi_ready , bdi_proc => bdi_proc , bdi_ad => bdi_ad , bdi_nsec => bdi_nsec , bdi_pad => bdi_pad , bdi_decrypt => bdi_decrypt , bdi_eot => bdi_eot , bdi_eoi => bdi_eoi , bdi_nodata => bdi_nodata , bdi_read => bdi_read , bdi_size => bdi_size , bdi_valid_bytes => bdi_valid_bytes , bdi_pad_loc => bdi_pad_loc , exp_tag_ready => exp_tag_ready , msg_auth_done => msg_auth_done , --! FIFO bypass_fifo_wr => bypass_fifo_wr , bypass_fifo_full => bypass_fifo_full ); u_cc: entity work.CipherCore(structure) generic map ( G_NPUB_SIZE => G_NPUB_SIZE , G_NSEC_SIZE => G_NSEC_SIZE , G_DBLK_SIZE => G_DBLK_SIZE , G_KEY_SIZE => G_KEY_SIZE , G_RDKEY_SIZE => G_RDKEY_SIZE , G_TAG_SIZE => G_TAG_SIZE , G_BS_BYTES => G_BS_BYTES , G_CTR_AD_SIZE => G_CTR_AD_SIZE , G_CTR_D_SIZE => G_CTR_D_SIZE ) port map ( clk => clk , rst => rst , npub => npub , nsec => nsec , key => key , rdkey => rdkey , bdi => bdi , exp_tag => exp_tag , len_a => len_a , len_d => len_d , key_ready => key_ready , key_updated => key_updated , key_needs_update => key_needs_update , rdkey_ready => rdkey_ready , rdkey_read => rdkey_read , npub_ready => npub_ready , npub_read => npub_read , nsec_ready => nsec_ready , nsec_read => nsec_read , bdi_ready => bdi_ready , bdi_proc => bdi_proc , bdi_ad => bdi_ad , bdi_nsec => bdi_nsec , bdi_pad => bdi_pad , bdi_decrypt => bdi_decrypt , bdi_eot => bdi_eot , bdi_eoi => bdi_eoi , bdi_read => bdi_read , bdi_size => bdi_size , bdi_valid_bytes => bdi_valid_bytes , bdi_pad_loc => bdi_pad_loc , bdi_nodata => bdi_nodata , exp_tag_ready => exp_tag_ready , msg_auth_done => msg_auth_done , bdo_write => bdo_write , bdo_ready => bdo_ready , bdo => bdo , bdo_size => bdo_size , bdo_nsec => bdo_nsec , tag_write => tag_write , tag_ready => tag_ready , tag => tag , msg_auth_valid => msg_auth_valid ); u_output: entity work.PostProcessor(structure) generic map ( G_W => G_W , G_DBLK_SIZE => G_DBLK_SIZE , G_BS_BYTES => G_BS_BYTES , G_TAG_SIZE => G_TAG_SIZE , G_NSEC_ENABLE => G_NSEC_ENABLE , G_LOADLEN_ENABLE => G_LOADLEN_ENABLE , G_CIPHERTEXT_MODE => G_CIPHERTEXT_MODE, G_REVERSE_DBLK => G_REVERSE_DBLK , G_PAD => G_PAD , G_PAD_D => G_PAD_D ) port map ( --! Global clk => clk , rst => rst , --! External do => do , do_ready => do_ready , do_valid => do_valid , --! Processor bdo_ready => bdo_ready , bdo_write => bdo_write , bdo_data => bdo , bdo_size => bdo_size , bdo_nsec => bdo_nsec , tag_ready => tag_ready , tag_write => tag_write , tag_data => tag , msg_auth_done => msg_auth_done , msg_auth_valid => msg_auth_valid , --! FIFOs bypass_fifo_empty => bypass_fifo_empty, bypass_fifo_rd => bypass_fifo_rd , bypass_fifo_data => bypass_fifo_data , aux_fifo_din => aux_fifo_din , aux_fifo_ctrl => aux_fifo_ctrl , aux_fifo_dout => aux_fifo_dout , aux_fifo_status => aux_fifo_status ); end structure;
------------------------------------------------------------------------------- --! @file AEAD_Core.vhd --! @brief Authenticated encryption unit core template module. --! User should modification to the default generics based on the --! implemented cipher. --! @project CAESAR Candidate Evaluation --! @author Ekawat (ice) Homsirikamol --! @copyright Copyright (c) 2015 Cryptographic Engineering Research Group --! ECE Department, George Mason University Fairfax, VA, U.S.A. --! All rights Reserved. --! @license This project is released under the GNU Public License. --! The license and distribution terms for this file may be --! found in the file LICENSE in this distribution or at --! http://www.gnu.org/licenses/gpl-3.0.txt --! @note This is publicly available encryption source code that falls --! under the License Exception TSU (Technology and software- --! —unrestricted) ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity AEAD_Core is generic ( G_W : integer := 32; --! Public data width (bits) G_SW : integer := 32; --! Secret data width (bits) G_NPUB_SIZE : integer := 128; --! IV or Nonce size (bits) G_NSEC_ENABLE : integer := 0; --! Enable NSEC port G_NSEC_SIZE : integer := 1; --! NSEC width (bits) G_ABLK_SIZE : integer := 128; --! Authenticated Data Block size (bits) G_DBLK_SIZE : integer := 128; --! Data Block size (bits) G_KEY_SIZE : integer := 128; --! Key size (bits) G_RDKEY_ENABLE : integer := 0; --! Enable rdkey port (also disables key port) G_RDKEY_SIZE : integer := 1; --! Roundkey size (bits) G_TAG_SIZE : integer := 128; --! Tag size (bits) G_BS_BYTES : integer := 4; --! The number of bits required to hold block size expressed in bytes = log2_ceil(max(G_ABLK_SIZE,G_DBLK_SIZE)/8) G_LOADLEN_ENABLE : integer := 0; --! Enable load length section G_PAD : integer := 1; --! Enable padding G_PAD_STYLE : integer := 1; --! Padding mode G_PAD_AD : integer := 1; --! (G_PAD's sub option) Enable AD Padding G_PAD_D : integer := 1; --! (G_PAD's sub option) Enable Data padding G_CTR_AD_SIZE : integer := 16; --! Maximum AD len value G_CTR_D_SIZE : integer := 16; --! Maximum data len value G_PLAINTEXT_MODE : integer := 0; --! Plaintext Mode G_CIPHERTEXT_MODE : integer := 0; --! Ciphertext mode G_REVERSE_DBLK : integer := 0 --! Reverse block order (for message only) ); port ( --! Global signals clk : in std_logic; rst : in std_logic; --! Data in signals pdi : in std_logic_vector(G_W -1 downto 0); pdi_valid : in std_logic; pdi_ready : out std_logic; --! Key signals sdi : in std_logic_vector(G_SW -1 downto 0); sdi_valid : in std_logic; sdi_ready : out std_logic; --! Data out signals do : out std_logic_vector(G_W -1 downto 0); do_ready : in std_logic; do_valid : out std_logic; --! FIFO signals bypass_fifo_wr : out std_logic; bypass_fifo_rd : out std_logic; bypass_fifo_full : in std_logic; bypass_fifo_empty : in std_logic; bypass_fifo_data : in std_logic_vector(G_W -1 downto 0); aux_fifo_din : out std_logic_vector(G_W -1 downto 0); aux_fifo_ctrl : out std_logic_vector(4 -1 downto 0); aux_fifo_dout : in std_logic_vector(G_W -1 downto 0); aux_fifo_status : in std_logic_vector(3 -1 downto 0) ); end AEAD_Core; ------------------------------------------------------------------------------- --! @brief Architecture definition of AEAD_Core ------------------------------------------------------------------------------- architecture structure of AEAD_Core is --! Signals from input processor signal npub : std_logic_vector(G_NPUB_SIZE -1 downto 0); signal nsec : std_logic_vector(G_NSEC_SIZE -1 downto 0); signal key : std_logic_vector(G_KEY_SIZE -1 downto 0); signal rdkey : std_logic_vector(G_RDKEY_SIZE -1 downto 0); signal bdi : std_logic_vector(G_DBLK_SIZE -1 downto 0); signal exp_tag : std_logic_vector(G_TAG_SIZE -1 downto 0); signal len_a : std_logic_vector(G_CTR_AD_SIZE -1 downto 0); signal len_d : std_logic_vector(G_CTR_D_SIZE -1 downto 0); signal key_ready : std_logic; signal key_updated : std_logic; signal key_needs_update : std_logic; signal rdkey_ready : std_logic; signal rdkey_read : std_logic; signal npub_ready : std_logic; signal npub_read : std_logic; signal nsec_ready : std_logic; signal nsec_read : std_logic; signal bdi_ready : std_logic; signal bdi_proc : std_logic; signal bdi_ad : std_logic; signal bdi_nsec : std_logic; signal bdi_pad : std_logic; signal bdi_decrypt : std_logic; signal bdi_eot : std_logic; signal bdi_eoi : std_logic; signal bdi_read : std_logic; signal bdi_size : std_logic_vector(G_BS_BYTES -1 downto 0); signal bdi_valid_bytes : std_logic_vector(G_DBLK_SIZE/8 -1 downto 0); signal bdi_pad_loc : std_logic_vector(G_DBLK_SIZE/8 -1 downto 0); signal bdi_nodata : std_logic; signal exp_tag_ready : std_logic; --! Signals to output processor signal bdo_ready : std_logic; signal bdo_write : std_logic; signal bdo : std_logic_vector(G_DBLK_SIZE -1 downto 0); signal bdo_size : std_logic_vector(G_BS_BYTES+1 -1 downto 0); signal bdo_nsec : std_logic; signal tag_ready : std_logic; signal tag_write : std_logic; signal tag : std_logic_vector(G_TAG_SIZE -1 downto 0); signal msg_auth_done : std_logic; signal msg_auth_valid : std_logic; begin u_input: entity work.PreProcessor(structure) generic map ( G_W => G_W , G_SW => G_SW , G_NPUB_SIZE => G_NPUB_SIZE , G_NSEC_ENABLE => G_NSEC_ENABLE , G_NSEC_SIZE => G_NSEC_SIZE , G_ABLK_SIZE => G_ABLK_SIZE , G_DBLK_SIZE => G_DBLK_SIZE , G_KEY_SIZE => G_KEY_SIZE , G_RDKEY_ENABLE => G_RDKEY_ENABLE , G_RDKEY_SIZE => G_RDKEY_SIZE , G_TAG_SIZE => G_TAG_SIZE , G_BS_BYTES => G_BS_BYTES , G_LOADLEN_ENABLE => G_LOADLEN_ENABLE , G_PAD => G_PAD , G_PAD_STYLE => G_PAD_STYLE , G_PAD_AD => G_PAD_AD , G_PAD_D => G_PAD_D , G_CTR_AD_SIZE => G_CTR_AD_SIZE , G_CTR_D_SIZE => G_CTR_D_SIZE , G_PLAINTEXT_MODE => G_PLAINTEXT_MODE , G_CIPHERTEXT_MODE => G_CIPHERTEXT_MODE, G_REVERSE_DBLK => G_REVERSE_DBLK ) port map ( clk => clk , rst => rst , --! External pdi => pdi , pdi_valid => pdi_valid , pdi_ready => pdi_ready , sdi => sdi , sdi_valid => sdi_valid , sdi_ready => sdi_ready , --! Datapath npub => npub , nsec => nsec , key => key , rdkey => rdkey , bdi => bdi , exp_tag => exp_tag , len_a => len_a , len_d => len_d , --! Controller key_ready => key_ready , key_updated => key_updated , key_needs_update => key_needs_update , rdkey_ready => rdkey_ready , rdkey_read => rdkey_read , npub_ready => npub_ready , npub_read => npub_read , nsec_ready => nsec_ready , nsec_read => nsec_read , bdi_ready => bdi_ready , bdi_proc => bdi_proc , bdi_ad => bdi_ad , bdi_nsec => bdi_nsec , bdi_pad => bdi_pad , bdi_decrypt => bdi_decrypt , bdi_eot => bdi_eot , bdi_eoi => bdi_eoi , bdi_nodata => bdi_nodata , bdi_read => bdi_read , bdi_size => bdi_size , bdi_valid_bytes => bdi_valid_bytes , bdi_pad_loc => bdi_pad_loc , exp_tag_ready => exp_tag_ready , msg_auth_done => msg_auth_done , --! FIFO bypass_fifo_wr => bypass_fifo_wr , bypass_fifo_full => bypass_fifo_full ); u_cc: entity work.CipherCore(structure) generic map ( G_NPUB_SIZE => G_NPUB_SIZE , G_NSEC_SIZE => G_NSEC_SIZE , G_DBLK_SIZE => G_DBLK_SIZE , G_KEY_SIZE => G_KEY_SIZE , G_RDKEY_SIZE => G_RDKEY_SIZE , G_TAG_SIZE => G_TAG_SIZE , G_BS_BYTES => G_BS_BYTES , G_CTR_AD_SIZE => G_CTR_AD_SIZE , G_CTR_D_SIZE => G_CTR_D_SIZE ) port map ( clk => clk , rst => rst , npub => npub , nsec => nsec , key => key , rdkey => rdkey , bdi => bdi , exp_tag => exp_tag , len_a => len_a , len_d => len_d , key_ready => key_ready , key_updated => key_updated , key_needs_update => key_needs_update , rdkey_ready => rdkey_ready , rdkey_read => rdkey_read , npub_ready => npub_ready , npub_read => npub_read , nsec_ready => nsec_ready , nsec_read => nsec_read , bdi_ready => bdi_ready , bdi_proc => bdi_proc , bdi_ad => bdi_ad , bdi_nsec => bdi_nsec , bdi_pad => bdi_pad , bdi_decrypt => bdi_decrypt , bdi_eot => bdi_eot , bdi_eoi => bdi_eoi , bdi_read => bdi_read , bdi_size => bdi_size , bdi_valid_bytes => bdi_valid_bytes , bdi_pad_loc => bdi_pad_loc , bdi_nodata => bdi_nodata , exp_tag_ready => exp_tag_ready , msg_auth_done => msg_auth_done , bdo_write => bdo_write , bdo_ready => bdo_ready , bdo => bdo , bdo_size => bdo_size , bdo_nsec => bdo_nsec , tag_write => tag_write , tag_ready => tag_ready , tag => tag , msg_auth_valid => msg_auth_valid ); u_output: entity work.PostProcessor(structure) generic map ( G_W => G_W , G_DBLK_SIZE => G_DBLK_SIZE , G_BS_BYTES => G_BS_BYTES , G_TAG_SIZE => G_TAG_SIZE , G_NSEC_ENABLE => G_NSEC_ENABLE , G_LOADLEN_ENABLE => G_LOADLEN_ENABLE , G_CIPHERTEXT_MODE => G_CIPHERTEXT_MODE, G_REVERSE_DBLK => G_REVERSE_DBLK , G_PAD => G_PAD , G_PAD_D => G_PAD_D ) port map ( --! Global clk => clk , rst => rst , --! External do => do , do_ready => do_ready , do_valid => do_valid , --! Processor bdo_ready => bdo_ready , bdo_write => bdo_write , bdo_data => bdo , bdo_size => bdo_size , bdo_nsec => bdo_nsec , tag_ready => tag_ready , tag_write => tag_write , tag_data => tag , msg_auth_done => msg_auth_done , msg_auth_valid => msg_auth_valid , --! FIFOs bypass_fifo_empty => bypass_fifo_empty, bypass_fifo_rd => bypass_fifo_rd , bypass_fifo_data => bypass_fifo_data , aux_fifo_din => aux_fifo_din , aux_fifo_ctrl => aux_fifo_ctrl , aux_fifo_dout => aux_fifo_dout , aux_fifo_status => aux_fifo_status ); end structure;
------------------------------------------------------------------------------- --! @file AEAD_Core.vhd --! @brief Authenticated encryption unit core template module. --! User should modification to the default generics based on the --! implemented cipher. --! @project CAESAR Candidate Evaluation --! @author Ekawat (ice) Homsirikamol --! @copyright Copyright (c) 2015 Cryptographic Engineering Research Group --! ECE Department, George Mason University Fairfax, VA, U.S.A. --! All rights Reserved. --! @license This project is released under the GNU Public License. --! The license and distribution terms for this file may be --! found in the file LICENSE in this distribution or at --! http://www.gnu.org/licenses/gpl-3.0.txt --! @note This is publicly available encryption source code that falls --! under the License Exception TSU (Technology and software- --! —unrestricted) ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity AEAD_Core is generic ( G_W : integer := 32; --! Public data width (bits) G_SW : integer := 32; --! Secret data width (bits) G_NPUB_SIZE : integer := 128; --! IV or Nonce size (bits) G_NSEC_ENABLE : integer := 0; --! Enable NSEC port G_NSEC_SIZE : integer := 1; --! NSEC width (bits) G_ABLK_SIZE : integer := 128; --! Authenticated Data Block size (bits) G_DBLK_SIZE : integer := 128; --! Data Block size (bits) G_KEY_SIZE : integer := 128; --! Key size (bits) G_RDKEY_ENABLE : integer := 0; --! Enable rdkey port (also disables key port) G_RDKEY_SIZE : integer := 1; --! Roundkey size (bits) G_TAG_SIZE : integer := 128; --! Tag size (bits) G_BS_BYTES : integer := 4; --! The number of bits required to hold block size expressed in bytes = log2_ceil(max(G_ABLK_SIZE,G_DBLK_SIZE)/8) G_LOADLEN_ENABLE : integer := 0; --! Enable load length section G_PAD : integer := 1; --! Enable padding G_PAD_STYLE : integer := 1; --! Padding mode G_PAD_AD : integer := 1; --! (G_PAD's sub option) Enable AD Padding G_PAD_D : integer := 1; --! (G_PAD's sub option) Enable Data padding G_CTR_AD_SIZE : integer := 16; --! Maximum AD len value G_CTR_D_SIZE : integer := 16; --! Maximum data len value G_PLAINTEXT_MODE : integer := 0; --! Plaintext Mode G_CIPHERTEXT_MODE : integer := 0; --! Ciphertext mode G_REVERSE_DBLK : integer := 0 --! Reverse block order (for message only) ); port ( --! Global signals clk : in std_logic; rst : in std_logic; --! Data in signals pdi : in std_logic_vector(G_W -1 downto 0); pdi_valid : in std_logic; pdi_ready : out std_logic; --! Key signals sdi : in std_logic_vector(G_SW -1 downto 0); sdi_valid : in std_logic; sdi_ready : out std_logic; --! Data out signals do : out std_logic_vector(G_W -1 downto 0); do_ready : in std_logic; do_valid : out std_logic; --! FIFO signals bypass_fifo_wr : out std_logic; bypass_fifo_rd : out std_logic; bypass_fifo_full : in std_logic; bypass_fifo_empty : in std_logic; bypass_fifo_data : in std_logic_vector(G_W -1 downto 0); aux_fifo_din : out std_logic_vector(G_W -1 downto 0); aux_fifo_ctrl : out std_logic_vector(4 -1 downto 0); aux_fifo_dout : in std_logic_vector(G_W -1 downto 0); aux_fifo_status : in std_logic_vector(3 -1 downto 0) ); end AEAD_Core; ------------------------------------------------------------------------------- --! @brief Architecture definition of AEAD_Core ------------------------------------------------------------------------------- architecture structure of AEAD_Core is --! Signals from input processor signal npub : std_logic_vector(G_NPUB_SIZE -1 downto 0); signal nsec : std_logic_vector(G_NSEC_SIZE -1 downto 0); signal key : std_logic_vector(G_KEY_SIZE -1 downto 0); signal rdkey : std_logic_vector(G_RDKEY_SIZE -1 downto 0); signal bdi : std_logic_vector(G_DBLK_SIZE -1 downto 0); signal exp_tag : std_logic_vector(G_TAG_SIZE -1 downto 0); signal len_a : std_logic_vector(G_CTR_AD_SIZE -1 downto 0); signal len_d : std_logic_vector(G_CTR_D_SIZE -1 downto 0); signal key_ready : std_logic; signal key_updated : std_logic; signal key_needs_update : std_logic; signal rdkey_ready : std_logic; signal rdkey_read : std_logic; signal npub_ready : std_logic; signal npub_read : std_logic; signal nsec_ready : std_logic; signal nsec_read : std_logic; signal bdi_ready : std_logic; signal bdi_proc : std_logic; signal bdi_ad : std_logic; signal bdi_nsec : std_logic; signal bdi_pad : std_logic; signal bdi_decrypt : std_logic; signal bdi_eot : std_logic; signal bdi_eoi : std_logic; signal bdi_read : std_logic; signal bdi_size : std_logic_vector(G_BS_BYTES -1 downto 0); signal bdi_valid_bytes : std_logic_vector(G_DBLK_SIZE/8 -1 downto 0); signal bdi_pad_loc : std_logic_vector(G_DBLK_SIZE/8 -1 downto 0); signal bdi_nodata : std_logic; signal exp_tag_ready : std_logic; --! Signals to output processor signal bdo_ready : std_logic; signal bdo_write : std_logic; signal bdo : std_logic_vector(G_DBLK_SIZE -1 downto 0); signal bdo_size : std_logic_vector(G_BS_BYTES+1 -1 downto 0); signal bdo_nsec : std_logic; signal tag_ready : std_logic; signal tag_write : std_logic; signal tag : std_logic_vector(G_TAG_SIZE -1 downto 0); signal msg_auth_done : std_logic; signal msg_auth_valid : std_logic; begin u_input: entity work.PreProcessor(structure) generic map ( G_W => G_W , G_SW => G_SW , G_NPUB_SIZE => G_NPUB_SIZE , G_NSEC_ENABLE => G_NSEC_ENABLE , G_NSEC_SIZE => G_NSEC_SIZE , G_ABLK_SIZE => G_ABLK_SIZE , G_DBLK_SIZE => G_DBLK_SIZE , G_KEY_SIZE => G_KEY_SIZE , G_RDKEY_ENABLE => G_RDKEY_ENABLE , G_RDKEY_SIZE => G_RDKEY_SIZE , G_TAG_SIZE => G_TAG_SIZE , G_BS_BYTES => G_BS_BYTES , G_LOADLEN_ENABLE => G_LOADLEN_ENABLE , G_PAD => G_PAD , G_PAD_STYLE => G_PAD_STYLE , G_PAD_AD => G_PAD_AD , G_PAD_D => G_PAD_D , G_CTR_AD_SIZE => G_CTR_AD_SIZE , G_CTR_D_SIZE => G_CTR_D_SIZE , G_PLAINTEXT_MODE => G_PLAINTEXT_MODE , G_CIPHERTEXT_MODE => G_CIPHERTEXT_MODE, G_REVERSE_DBLK => G_REVERSE_DBLK ) port map ( clk => clk , rst => rst , --! External pdi => pdi , pdi_valid => pdi_valid , pdi_ready => pdi_ready , sdi => sdi , sdi_valid => sdi_valid , sdi_ready => sdi_ready , --! Datapath npub => npub , nsec => nsec , key => key , rdkey => rdkey , bdi => bdi , exp_tag => exp_tag , len_a => len_a , len_d => len_d , --! Controller key_ready => key_ready , key_updated => key_updated , key_needs_update => key_needs_update , rdkey_ready => rdkey_ready , rdkey_read => rdkey_read , npub_ready => npub_ready , npub_read => npub_read , nsec_ready => nsec_ready , nsec_read => nsec_read , bdi_ready => bdi_ready , bdi_proc => bdi_proc , bdi_ad => bdi_ad , bdi_nsec => bdi_nsec , bdi_pad => bdi_pad , bdi_decrypt => bdi_decrypt , bdi_eot => bdi_eot , bdi_eoi => bdi_eoi , bdi_nodata => bdi_nodata , bdi_read => bdi_read , bdi_size => bdi_size , bdi_valid_bytes => bdi_valid_bytes , bdi_pad_loc => bdi_pad_loc , exp_tag_ready => exp_tag_ready , msg_auth_done => msg_auth_done , --! FIFO bypass_fifo_wr => bypass_fifo_wr , bypass_fifo_full => bypass_fifo_full ); u_cc: entity work.CipherCore(structure) generic map ( G_NPUB_SIZE => G_NPUB_SIZE , G_NSEC_SIZE => G_NSEC_SIZE , G_DBLK_SIZE => G_DBLK_SIZE , G_KEY_SIZE => G_KEY_SIZE , G_RDKEY_SIZE => G_RDKEY_SIZE , G_TAG_SIZE => G_TAG_SIZE , G_BS_BYTES => G_BS_BYTES , G_CTR_AD_SIZE => G_CTR_AD_SIZE , G_CTR_D_SIZE => G_CTR_D_SIZE ) port map ( clk => clk , rst => rst , npub => npub , nsec => nsec , key => key , rdkey => rdkey , bdi => bdi , exp_tag => exp_tag , len_a => len_a , len_d => len_d , key_ready => key_ready , key_updated => key_updated , key_needs_update => key_needs_update , rdkey_ready => rdkey_ready , rdkey_read => rdkey_read , npub_ready => npub_ready , npub_read => npub_read , nsec_ready => nsec_ready , nsec_read => nsec_read , bdi_ready => bdi_ready , bdi_proc => bdi_proc , bdi_ad => bdi_ad , bdi_nsec => bdi_nsec , bdi_pad => bdi_pad , bdi_decrypt => bdi_decrypt , bdi_eot => bdi_eot , bdi_eoi => bdi_eoi , bdi_read => bdi_read , bdi_size => bdi_size , bdi_valid_bytes => bdi_valid_bytes , bdi_pad_loc => bdi_pad_loc , bdi_nodata => bdi_nodata , exp_tag_ready => exp_tag_ready , msg_auth_done => msg_auth_done , bdo_write => bdo_write , bdo_ready => bdo_ready , bdo => bdo , bdo_size => bdo_size , bdo_nsec => bdo_nsec , tag_write => tag_write , tag_ready => tag_ready , tag => tag , msg_auth_valid => msg_auth_valid ); u_output: entity work.PostProcessor(structure) generic map ( G_W => G_W , G_DBLK_SIZE => G_DBLK_SIZE , G_BS_BYTES => G_BS_BYTES , G_TAG_SIZE => G_TAG_SIZE , G_NSEC_ENABLE => G_NSEC_ENABLE , G_LOADLEN_ENABLE => G_LOADLEN_ENABLE , G_CIPHERTEXT_MODE => G_CIPHERTEXT_MODE, G_REVERSE_DBLK => G_REVERSE_DBLK , G_PAD => G_PAD , G_PAD_D => G_PAD_D ) port map ( --! Global clk => clk , rst => rst , --! External do => do , do_ready => do_ready , do_valid => do_valid , --! Processor bdo_ready => bdo_ready , bdo_write => bdo_write , bdo_data => bdo , bdo_size => bdo_size , bdo_nsec => bdo_nsec , tag_ready => tag_ready , tag_write => tag_write , tag_data => tag , msg_auth_done => msg_auth_done , msg_auth_valid => msg_auth_valid , --! FIFOs bypass_fifo_empty => bypass_fifo_empty, bypass_fifo_rd => bypass_fifo_rd , bypass_fifo_data => bypass_fifo_data , aux_fifo_din => aux_fifo_din , aux_fifo_ctrl => aux_fifo_ctrl , aux_fifo_dout => aux_fifo_dout , aux_fifo_status => aux_fifo_status ); end structure;
--Copyright (C) 2016 Siavoosh Payandeh Azad library ieee; use ieee.std_logic_1164.all; entity XBAR is generic ( DATA_WIDTH: integer := 8 ); port ( North_in: in std_logic_vector(DATA_WIDTH-1 downto 0); East_in: in std_logic_vector(DATA_WIDTH-1 downto 0); West_in: in std_logic_vector(DATA_WIDTH-1 downto 0); South_in: in std_logic_vector(DATA_WIDTH-1 downto 0); Local_in: in std_logic_vector(DATA_WIDTH-1 downto 0); North_vc_in: in std_logic_vector(DATA_WIDTH-1 downto 0); East_vc_in: in std_logic_vector(DATA_WIDTH-1 downto 0); West_vc_in: in std_logic_vector(DATA_WIDTH-1 downto 0); South_vc_in: in std_logic_vector(DATA_WIDTH-1 downto 0); Local_vc_in: in std_logic_vector(DATA_WIDTH-1 downto 0); sel: in std_logic_vector (9 downto 0); Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0) ); end; architecture behavior of XBAR is begin process(sel, North_in, East_in, West_in, South_in, Local_in, North_vc_in, East_vc_in, West_vc_in, South_vc_in, Local_vc_in) begin case(sel) is when "0000000001" => Data_out <= Local_in; when "0000000010" => Data_out <= South_in; when "0000000100" => Data_out <= West_in; when "0000001000" => Data_out <= East_in; when "0000010000" => Data_out <= North_in; when "0000100000" => Data_out <= Local_vc_in; when "0001000000" => Data_out <= South_vc_in; when "0010000000" => Data_out <= West_vc_in; when "0100000000" => Data_out <= East_vc_in; when others => Data_out <= North_vc_in; end case; end process; end;
------------------------------------------------------------------------------- -- Title : Testbench for design "Core" -- Project : ------------------------------------------------------------------------------- -- File : Core_tb.vhd -- Author : Johann Glaser -- Company : -- Created : 2013-12-21 -- Last update: 2013-12-21 -- Platform : -- Standard : VHDL'87 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2013 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2013-12-21 1.0 hansi Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.UartPkg.all; ------------------------------------------------------------------------------- entity Chip_Eval_tb is end Chip_Eval_tb; ------------------------------------------------------------------------------- architecture behavior of Chip_Eval_tb is component Chip port ( Reset_n_i : in std_logic; Clk_i : in std_logic; Cpu_En_i : in std_logic; Dbg_En_i : in std_logic; -- Dbg_UART_RxD_i : in std_logic; -- Dbg_UART_TxD_o : out std_logic; Dbg_SCL_i : in std_logic; Dbg_SDA_b : inout std_logic; P1_b : inout std_logic_vector(7 downto 0); P2_b : inout std_logic_vector(7 downto 0); UartRxD_i : in std_logic; UartTxD_o : out std_logic; SCK_o : out std_logic; MOSI_o : out std_logic; MISO_i : in std_logic; Inputs_i : in std_logic_vector(7 downto 0); Outputs_o : out std_logic_vector(7 downto 0); SPIMISO_i : in std_logic; SPIMOSI_o : out std_logic; SPISCK_o : out std_logic; I2CSCL_b : out std_logic; I2CSDA_b : inout std_logic; -- OneWire_b : inout std_logic; -- PWM_i : in std_logic; -- SENT_i : in std_logic; -- SPC_b : inout std_logic; AdcConvComplete_i : in std_logic; AdcDoConvert_o : out std_logic; AdcValue_i : in std_logic_vector(9 downto 0)); end component; component adt7310_model port ( SCLK_i : in std_logic; DOUT_o : out std_logic; DIN_i : in std_logic; CS_n_i : in std_logic; CT_n_o : out std_logic; INT_n_o : out std_logic; Temp_i : in real); end component; -- component ports -- Reset signal Reset_n_i : std_logic := '0'; -- Clock signal Clk_i : std_logic := '1'; signal Cpu_En_i : std_logic := '1'; signal Dbg_En_i : std_logic := '0'; -- signal Dbg_UART_RxD_i : std_logic; -- signal Dbg_UART_TxD_o : std_logic; signal Dbg_SCL_i : std_logic; signal Dbg_SDA_b : std_logic; signal P1_b : std_logic_vector(7 downto 0); signal P2_b : std_logic_vector(7 downto 0); signal UartRxD_i : std_logic; signal UartTxD_o : std_logic; signal SCK_o : std_logic; signal MOSI_o : std_logic; signal MISO_i : std_logic; signal Inputs_i : std_logic_vector(7 downto 0); signal Outputs_o : std_logic_vector(7 downto 0); signal SPIMISO_i : std_logic; signal SPIMOSI_o : std_logic; signal SPISCK_o : std_logic; signal I2CSCL_b : std_logic; signal I2CSDA_b : std_logic; -- signal OneWire_b : std_logic; -- signal PWM_i : std_logic; -- signal SENT_i : std_logic; -- signal SPC_b : std_logic; signal AdcConvComplete_i : std_logic := '0'; signal AdcDoConvert_o : std_logic; signal AdcValue_i : std_logic_vector(9 downto 0) := (others => '0'); signal INCLK_s : std_logic := '1'; -- ADT7310 component ports signal ADT7310CS_n_o : std_logic; signal CT_n_s : std_logic; signal INT_n_s : std_logic; signal Temp_s : real := 23.7; component Uart generic ( MaxDataWidth : integer range 5 to 9; MaxSpeedDividerWidth : integer range 2 to 32; TxFifoAdressWidth : integer range 2 to 10; RxFifoAdressWidth : integer range 2 to 10; Oversampling : integer range 2 to 2); port ( TxData_i : in STD_LOGIC_VECTOR((MaxDataWidth-1) downto 0); TxWr_i : in STD_LOGIC; TxEmpty_o : out STD_LOGIC; TxFull_o : out STD_LOGIC; RxData_o : out STD_LOGIC_VECTOR((MaxDataWidth-1) downto 0); RxRd_i : in STD_LOGIC; RxFull_o : out STD_LOGIC; RxEmpty_o : out STD_LOGIC; BitsSelect_i : in BitSelectionType; ParityOn_i : in STD_LOGIC; ParityEvenOdd_i : in ParityType; SpeedDivider_i : in STD_LOGIC_VECTOR((MaxSpeedDividerWidth-1) downto 0); Clk_i : in STD_LOGIC; Reset_i_n : in STD_LOGIC; ErrorReset_i : in STD_LOGIC; RxParityErrorIndicator_o : out STD_LOGIC; RxStopBitErrorIndicator_o : out STD_LOGIC; RxBufferFullErrorIndicator_o : out STD_LOGIC; TxD_o : out STD_LOGIC; RxD_i : in STD_LOGIC; ScanEnable_i : in std_logic; ScanClk_i : in std_logic; ScanDataIn_i : in std_logic; ScanDataOut_o : out std_logic ); end component; constant MaxDataWidth : integer range 5 to 9 := 8; constant MaxSpeedDividerWidth : integer range 2 to 32 := 16; constant TxFifoAdressWidth : integer range 2 to 10 := 4; constant RxFifoAdressWidth : integer range 2 to 10 := 4; constant Oversampling : integer range 2 to 2 := 2; -- clock_freq/(2**Oversampling * Baudrate) -- 4MHz/(2**2 * 9600) = 104.1666 rounded --> 104 -- baudrate = clock_frequency / (2**Oversampling * SpeedDivider) = 4MHz / (2**2 * 104) = 9615.4 -- error: (9615.4-9600)/9600 = -0.0016 constant SpeedDivider : integer := 104; signal TxData_i : STD_LOGIC_VECTOR((MaxDataWidth-1) downto 0) := (others => '0'); signal TxWr_i : STD_LOGIC := '0'; signal TxEmpty_o : STD_LOGIC; signal TxFull_o : STD_LOGIC; signal RxData_o : STD_LOGIC_VECTOR((MaxDataWidth-1) downto 0); signal RxRd_i : STD_LOGIC; signal RxFull_o : STD_LOGIC; signal RxEmpty_o : STD_LOGIC; signal BitsSelect_i : BitSelectionType := Sel8Bits; signal ParityOn_i : STD_LOGIC := '0'; signal ParityEvenOdd_i : ParityType := Even; signal SpeedDivider_i : STD_LOGIC_VECTOR((MaxSpeedDividerWidth-1) downto 0) := std_logic_vector(to_unsigned(SpeedDivider,MaxSpeedDividerWidth)); signal ErrorReset_i : STD_LOGIC := '0'; signal RxParityErrorIndicator_o : STD_LOGIC; signal RxStopBitErrorIndicator_o : STD_LOGIC; signal RxBufferFullErrorIndicator_o : STD_LOGIC; signal ScanEnable_i : std_logic := '0'; signal ScanClk_i : std_logic := '0'; signal ScanDataIn_i : std_logic := '0'; signal ScanDataOut_o : std_logic; signal UartRx : std_logic_vector(7 downto 0) := (others => '0'); signal UartCh : character := NUL; constant ClkPeriode : time := 250 ns; -- 4 MHz begin -- behavior -- component instantiation DUT: Chip port map ( Reset_n_i => Reset_n_i, Clk_i => Clk_i, Cpu_En_i => Cpu_En_i, Dbg_En_i => Dbg_En_i, -- Dbg_UART_RxD_i => Dbg_UART_RxD_i, -- Dbg_UART_TxD_o => Dbg_UART_TxD_o, Dbg_SCL_i => Dbg_SCL_i, Dbg_SDA_b => Dbg_SDA_b, P1_b => P1_b, P2_b => P2_b, UartRxD_i => UartRxD_i, UartTxD_o => UartTxD_o, SCK_o => SCK_o, MOSI_o => MOSI_o, MISO_i => MISO_i, Inputs_i => Inputs_i, Outputs_o => Outputs_o, SPIMISO_i => SPIMISO_i, SPIMOSI_o => SPIMOSI_o, SPISCK_o => SPISCK_o, I2CSCL_b => I2CSCL_b, I2CSDA_b => I2CSDA_b, -- OneWire_b => OneWire_b, -- PWM_i => PWM_i, -- SENT_i => SENT_i, -- SPC_b => SPC_b, AdcConvComplete_i => AdcConvComplete_i, AdcDoConvert_o => AdcDoConvert_o, AdcValue_i => AdcValue_i ); ADT7310CS_n_o <= to_X01(P1_b(0)); adt7310_1: adt7310_model port map ( SCLK_i => SCK_o, DOUT_o => MISO_i, DIN_i => MOSI_o, CS_n_i => ADT7310CS_n_o, CT_n_o => CT_n_s, INT_n_o => INT_n_s, Temp_i => Temp_s); Uart_1: Uart generic map ( MaxDataWidth => MaxDataWidth, MaxSpeedDividerWidth => MaxSpeedDividerWidth, TxFifoAdressWidth => TxFifoAdressWidth, RxFifoAdressWidth => RxFifoAdressWidth, Oversampling => Oversampling ) port map ( TxData_i => TxData_i, TxWr_i => TxWr_i, TxEmpty_o => TxEmpty_o, TxFull_o => TxFull_o, RxData_o => RxData_o, RxRd_i => RxRd_i, RxFull_o => RxFull_o, RxEmpty_o => RxEmpty_o, BitsSelect_i => BitsSelect_i, ParityOn_i => ParityOn_i, ParityEvenOdd_i => ParityEvenOdd_i, SpeedDivider_i => SpeedDivider_i, Clk_i => Clk_i, Reset_i_n => Reset_n_i, ErrorReset_i => ErrorReset_i, RxParityErrorIndicator_o => RxParityErrorIndicator_o, RxStopBitErrorIndicator_o => RxStopBitErrorIndicator_o, RxBufferFullErrorIndicator_o => RxBufferFullErrorIndicator_o, TxD_o => UartRxD_i, RxD_i => UartTxD_o, ScanEnable_i => ScanEnable_i, ScanClk_i => ScanClk_i, ScanDataIn_i => ScanDataIn_i, ScanDataOut_o => ScanDataOut_o ); -- Dbg_UART_RxD_i <= '1'; Dbg_SCL_i <= 'H'; Dbg_SDA_b <= 'H'; P1_b <= (others => 'H'); P2_b <= (1 => INCLK_s, others => 'H'); Inputs_i <= (others => '0'); -- Strange, chip-chip_top-a.vhd instantiates a BUDD16P (Open Drain Output -- Buffer). In c35_IOLIB_4M.v its "PAD" is defined as "output", and so is the -- I2CSCL_b port of the chip entity in chip-e.v and in routing/output/chip.v. -- The pad cell drives either '0' or 'Z', but the additional driver of 'H' on -- that wire (see below) does not change its value to 'H'. :-( With I2CSDA_b -- this works. Probably the ports should be defined as inout, but I don't -- want to modify the IOLIB. I2CSCL_b <= 'H'; I2CSDA_b <= 'H'; -- OneWire_b <= 'H'; -- SPC_b <= 'H'; -- clock generation Clk_i <= not Clk_i after ClkPeriode/2.0; -- set RTC clock as TimerA INCLK, SmartFusion uses a divider of 3051 --> f = 100MHz/(3051+1) = 32765.4 Hz INCLK_s <= not INCLK_s after (1.0 sec/32765.4/2.0); -- read Uart_1 FIFO UartRx_Proc: process begin RxRd_i <= '0'; wait for 0.2*ClkPeriode; while true loop RxRd_i <= not RxEmpty_o; if RxEmpty_o = '0' then -- store to variable, because as soon as RxRd_i is '1', RxData_o gives the next value from the FIFO UartRx <= RxData_o; UartCh <= character'val(to_integer(unsigned(RxData_o))); --report "Received '" & character'val(to_integer(unsigned(RxData_o))) & "'" severity note; end if; wait for ClkPeriode; end loop; end process UartRx_Proc; -- waveform generation WaveGen_Proc: process procedure PutChar ( constant Ch : in character) is begin -- PutChar TxWr_i <= '1'; TxData_i <= std_logic_vector(to_unsigned(character'pos(Ch),8)); wait for ClkPeriode; TxWr_i <= '0'; end PutChar; begin wait for 5.2*ClkPeriode; Reset_n_i <= '1'; Temp_s <= 23.7; -- degree C wait until UartCh = 'I'; -- 'I'nit complete wait for 100*ClkPeriode; -- configure SPI bus divider -- PutChar('y'); -- set busdivider = 2 --> 4 Clk_i cycles for 1 SCK_o cycle -- PutChar('x'); -- set busdivider = 4 --> 8 Clk_i cycles for 1 SCK_o cycle -- PutChar('c'); -- set busdivider = 8 --> 16 Clk_i cycles for 1 SCK_o cycle PutChar('v'); -- set busdivider = 16 --> 32 Clk_i cycles for 1 SCK_o cycle wait for (1+8+1+1) * (2**2 * SpeedDivider) * ClkPeriode; wait for 100*ClkPeriode; -- configure measurement cycle time -- PutChar('0'); -- set cycletime = 0 PutChar('a'); -- set cycletime = 5ms -- PutChar('s'); -- set cycletime = 10ms -- PutChar('d'); -- set cycletime = 20ms -- PutChar('f'); -- set cycletime = 33ms -- PutChar('g'); -- set cycletime = 40ms -- PutChar('h'); -- set cycletime = 50ms -- PutChar('j'); -- set cycletime = 100ms -- PutChar('k'); -- set cycletime = 200ms -- PutChar('l'); -- set cycletime = 255 wait for (1+8+1+1) * (2**2 * SpeedDivider) * ClkPeriode; wait for 100*ClkPeriode; -- configure threshold -- TODO -- configure main frequency (used to simulate ADT7310 measurement delay) -- the value is only important for the PIC16LF727 -- done with setup, start measurement PutChar('C'); -- setup 'C'omplete wait until UartCh = 'R'; -- 'R'eady -- wait for 5000*ClkPeriode; -- -- -- The digital value is 128*Temp_s (plus/minus rounding to nearest -- -- modulo 8). The threshold for too large changes is 30 (see -- -- sensorfsm.vhd). -- -- 23.7°C --> 3032 -- -- 25.7°C --> 3288 (delta: | 256| > 30) -- -- 25.6°C --> 3280 (delta: | -8| < 30) -- -- 25.5°C --> 3264 (delta: | -24| < 30) -- -- 25.4°C --> 3248 (delta: | -40| >= 30) -- -- -- new sensor value with large difference -> notify required -- wait for 3*ClkPeriode; -- 3 cycle -- Temp_s <= 25.7; -- -- -- new sensor value with small difference -> no notification -- wait for 3*ClkPeriode; -- 3 cycle -- Temp_s <= 25.6; -- -- -- new sensor value with small difference -> no notification -- wait for 3*ClkPeriode; -- 3 cycle -- Temp_s <= 25.5; -- -- -- new sensor value with large difference -> notify required -- wait for 3*ClkPeriode; -- 3 cycle -- Temp_s <= 25.4; wait for 50 ms; report "### Simulation Finished ###" severity failure; end process WaveGen_Proc; end behavior;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA entity asym_delay is end entity asym_delay; architecture test of asym_delay is signal a, z : bit; begin -- code from book asym_delay : process (a) is constant Tpd_01 : time := 800 ps; constant Tpd_10 : time := 500 ps; begin if a = '1' then z <= transport a after Tpd_01; else -- a = '0' z <= transport a after Tpd_10; end if; end process asym_delay; -- end code from book stimulus : process is begin a <= '1' after 2000 ps, '0' after 4000 ps, '1' after 6000 ps, '0' after 6200 ps; wait; end process stimulus; end architecture test;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA entity asym_delay is end entity asym_delay; architecture test of asym_delay is signal a, z : bit; begin -- code from book asym_delay : process (a) is constant Tpd_01 : time := 800 ps; constant Tpd_10 : time := 500 ps; begin if a = '1' then z <= transport a after Tpd_01; else -- a = '0' z <= transport a after Tpd_10; end if; end process asym_delay; -- end code from book stimulus : process is begin a <= '1' after 2000 ps, '0' after 4000 ps, '1' after 6000 ps, '0' after 6200 ps; wait; end process stimulus; end architecture test;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA entity asym_delay is end entity asym_delay; architecture test of asym_delay is signal a, z : bit; begin -- code from book asym_delay : process (a) is constant Tpd_01 : time := 800 ps; constant Tpd_10 : time := 500 ps; begin if a = '1' then z <= transport a after Tpd_01; else -- a = '0' z <= transport a after Tpd_10; end if; end process asym_delay; -- end code from book stimulus : process is begin a <= '1' after 2000 ps, '0' after 4000 ps, '1' after 6000 ps, '0' after 6200 ps; wait; end process stimulus; end architecture test;
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------- -- Description : See library quick reference (under 'doc') and README-file(s) --------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; use work.transaction_pkg.all; --========================================================================================== --========================================================================================== package vvc_cmd_pkg is alias t_operation is work.transaction_pkg.t_operation; --========================================================================================== -- t_vvc_cmd_record -- - Record type used for communication with the VVC --========================================================================================== type t_vvc_cmd_record is record -- VVC dedicated fields data_array : t_slv_array(0 to C_VVC_CMD_DATA_MAX_BYTES-1)(7 downto 0); data_array_length : natural; num_bytes_read : natural; -- Common VVC fields operation : t_operation; proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); data_routing : t_data_routing; cmd_idx : natural; command_type : t_immediate_or_queued; msg_id : t_msg_id; gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed gen_boolean : boolean; -- Generic boolean timeout : time; alert_level : t_alert_level; delay : time; quietness : t_quietness; parent_msg_id_panel : t_msg_id_panel; end record; constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := ( data_array => (others => (others => '0')), data_array_length => 0, num_bytes_read => 0, -- Common VVC fields operation => NO_OPERATION, proc_call => (others => NUL), msg => (others => NUL), data_routing => NA, cmd_idx => 0, command_type => NO_COMMAND_TYPE, msg_id => NO_ID, gen_integer_array => (others => -1), gen_boolean => false, timeout => 0 ns, alert_level => FAILURE, delay => 0 ns, quietness => NON_QUIET, parent_msg_id_panel => C_UNUSED_MSG_ID_PANEL ); --========================================================================================== -- shared_vvc_cmd -- - Shared variable used for transmitting VVC commands --========================================================================================== shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; --========================================================================================== -- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response : -- -- - Used for storing the result of a BFM procedure called by the VVC, -- so that the result can be transported from the VVC to for example a sequencer via -- fetch_result() as described in uvvm_vvc_framework/Common_VVC_Methods QuickRef. -- - t_vvc_result includes the return value of the procedure in the BFM. It can also -- be defined as a record if multiple values shall be transported from the BFM --========================================================================================== type t_vvc_result is record data_array : t_slv_array(0 to C_VVC_CMD_DATA_MAX_BYTES-1)(7 downto 0); data_array_length : natural; end record; type t_vvc_result_queue_element is record cmd_idx : natural; -- from UVVM handshake mechanism result : t_vvc_result; end record; type t_vvc_response is record fetch_is_accepted : boolean; transaction_result : t_transaction_result; result : t_vvc_result; end record; shared variable shared_vvc_response : t_vvc_response; --========================================================================================== -- t_last_received_cmd_idx : -- - Used to store the last queued cmd in VVC interpreter. --========================================================================================== type t_last_received_cmd_idx is array (t_channel range <>,natural range <>) of integer; --========================================================================================== -- shared_vvc_last_received_cmd_idx -- - Shared variable used to get last queued index from VVC to sequencer --========================================================================================== shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => (others => -1)); --========================================================================================== -- Procedures --========================================================================================== function to_string( result : t_vvc_result ) return string; function to_string( bytes : t_slv_array ) return string; function gmii_match( constant actual : in t_slv_array; constant expected : in t_slv_array ) return boolean; end package vvc_cmd_pkg; package body vvc_cmd_pkg is -- Custom to_string overload needed when result is of a record type function to_string( result : t_vvc_result ) return string is begin return to_string(result.data_array'length) & " Bytes"; end; function to_string( bytes : t_slv_array ) return string is begin return to_string(bytes'length) & " Bytes"; end function to_string; -- Compares two GMII byte arrays and returns true if they are equal (used in scoreboard) function gmii_match( constant actual : in t_slv_array; constant expected : in t_slv_array ) return boolean is begin return (actual = expected); end function gmii_match; end package body vvc_cmd_pkg;
entity TEST_NG is end TEST_NG; architecture MODEL of TEST_NG is type ADDR_SIGNALS_TYPE is record ADDR : bit_vector(31 downto 0); end record; constant ADDR_SIGNALS_DONTCARE : ADDR_SIGNALS_TYPE := ( ADDR => (others => '0') ); type DATA_SIGNALS_TYPE is record DATA : bit_vector(31 downto 0); end record; constant DATA_SIGNALS_DONTCARE : DATA_SIGNALS_TYPE := ( DATA => (others => '0') ); type SIGNALS_TYPE is record ADDR : ADDR_SIGNALS_TYPE; DATA : DATA_SIGNALS_TYPE; end record; constant SIGNALS_DONTCARE : SIGNALS_TYPE := ( ADDR => ADDR_SIGNALS_DONTCARE, DATA => DATA_SIGNALS_DONTCARE ); function GEN_INIT_SIGNALS return SIGNALS_TYPE is begin return SIGNALS_DONTCARE; end function; signal signals : SIGNALS_TYPE; begin signals <= GEN_INIT_SIGNALS; -- Crash here in eval_op_copy end MODEL;
-- ------------------------------------------------------------- -- -- Entity Declaration for inst_a_e -- -- Generated -- by: wig -- on: Sat Mar 3 09:45:57 2007 -- cmd: /cygdrive/c/Documents and Settings/wig/My Documents/work/MIX/mix_0.pl -nodelta ../../udc.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: inst_a_e-e.vhd,v 1.1 2007/03/03 11:17:34 wig Exp $ -- $Date: 2007/03/03 11:17:34 $ -- $Log: inst_a_e-e.vhd,v $ -- Revision 1.1 2007/03/03 11:17:34 wig -- Extended ::udc: language dependent %AINS% and %PINS%: e.g. <VHDL>...</VHDL> -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.101 2007/03/01 16:28:38 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.47 , [email protected] -- (C) 2003,2005 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/enty -- -- -- Start of Generated Entity inst_a_e -- entity inst_a_e is HOOK: global hook in entity -- Generics: -- No Generated Generics for Entity inst_a_e -- Generated Port Declaration: port( -- Generated Port for Entity inst_a_e p_mix_signal_aa_ba_go : out std_ulogic; p_mix_signal_bb_ab_gi : in std_ulogic_vector(7 downto 0) -- End of Generated Port for Entity inst_a_e ); end inst_a_e; -- -- End of Generated Entity inst_a_e -- -- --!End of Entity/ies -- --------------------------------------------------------------
-- Copyright 2017 Google Inc. -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity spi_sd_card is Port ( elk_D : inout std_logic_vector(7 downto 0); elk_nINFC : in std_logic; elk_A7 : in std_logic; elk_A6 : in std_logic; elk_A5 : in std_logic; elk_A4 : in std_logic; elk_A2 : in std_logic; elk_A1 : in std_logic; elk_A0 : in std_logic; elk_nRST : in std_logic; elk_RnW : in std_logic; elk_PHI0 : in std_logic; tube_A0 : out std_logic; tube_A1 : out std_logic; -- serial TXD tube_A2 : in std_logic; -- serial RXD tube_D : inout std_logic_vector(7 downto 0); -- D5 = /SS -- D6 = MOSI -- D7 = SCK -- D0 = MISO tube_nRST : out std_logic; -- serial RTS tube_nTUBE : out std_logic; tube_RnW : out std_logic; tube_PHI0 : out std_logic ); end spi_sd_card; architecture Behavioural of spi_sd_card is ---- Serial port ---- signal TXD : std_logic := '1'; -- output from CPLD/Electron signal RXD : std_logic; -- input to CPLD/Electron signal RTS : std_logic := '1'; -- request for data from PC signal CTS : std_logic; -- PC is allowing us to send signal invert_serial : std_logic := '1'; -- invert serial port for UPURS -- chip selects signal nSERIAL_IO : std_logic; -- '0' when A = &FCB1 ---- SPI --- signal MOSI : std_logic := '1'; signal MISO : std_logic; signal SCK : std_logic := '1'; signal nSS : std_logic := '0'; signal A_lower : std_logic_vector(7 downto 0); ---- Memory mapped SPI registers ---- -- chip selects signal nSPI : std_logic; -- '0' when A = &FCD0 signal nSPI_STATUS : std_logic; -- '0' when A = &FCD1 -- data register signal REG : std_logic_vector(7 downto 0) := x"00"; -- transfer in progress when '1' signal transfer_in_progress : std_logic := '0'; -- transfer bit counter signal bit_count : std_logic_vector(3 downto 0) := (others => '0'); -- delay bit, to make everything slower signal delay : std_logic_vector(3 downto 0) := (others => '0'); ---- Plus 1 workalike registers ---- -- chip selects signal nDATA : std_logic; -- '0' when A = &FC71/FCC1 signal nSTATUS : std_logic; -- '0' when A = &FC72/FCC2 begin -- mappings to actual pins tube_D(5) <= nSS; tube_D(6) <= MOSI; tube_D(7) <= SCK; MISO <= tube_D(0); --tube_A1 <= elk_PHI0; -- DEBUG: show clock --tube_A1 <= '1' when nSERIAL_IO='0' and elk_PHI0='1' else '0'; -- DEBUG: show reg accesses tube_A1 <= TXD; -- tx output RXD <= tube_A2; -- rx input tube_nRST <= RTS; -- permit remote station to send when RTS=1 CTS <= '1'; -- assume we can always send to the remote station -- address comparison convenience (note missing A3 in elk_pi_tube_direct r1) A_lower <= elk_A7 & elk_A6 & elk_A5 & elk_A4 & '0' & elk_A2 & elk_A1 & elk_A0; ---- Bit-banged serial port for UPURS --- nSERIAL_IO <= '0' when (elk_nINFC = '0' and A_lower = x"B1") else '1'; ---- Memory-mapped SPI ---- nSPI <= '0' when (elk_nINFC = '0' and A_lower = x"D0") else '1'; nSPI_STATUS <= '0' when (elk_nINFC = '0' and A_lower = x"D1") else '1'; ---- Plus 1 parallel port emulation ---- -- Uncomment to use the Plus 1 registers; this will conflict if you -- are using this with a real Plus 1 though. -- nDATA <= '0' when (elk_nINFC = '0' and A_lower = x"71") else '1'; -- nSTATUS <= '0' when (elk_nINFC = '0' and A_lower = x"72") else '1'; nDATA <= '0' when (elk_nINFC = '0' and A_lower = x"C1") else '1'; nSTATUS <= '0' when (elk_nINFC = '0' and A_lower = x"C2") else '1'; ---- Data bus ---- elk_D <= -- Serial port (RXD xor invert_serial) & "11111" & CTS & "1" when (nSERIAL_IO = '0' and elk_RnW = '1') else -- Memory-mapped SPI x"4" & bit_count when (nSPI = '0' and elk_RnW = '1' and transfer_in_progress = '1') else REG when (nSPI = '0' and elk_RnW = '1') else "0000000" & transfer_in_progress when (nSPI_STATUS = '0' and elk_RnW = '1') else -- Plus 1 parallel port MISO & "0000000" when (nSTATUS = '0' and elk_RnW = '1') else -- default "ZZZZZZZZ"; -- handle writes process (elk_PHI0) begin if falling_edge(elk_PHI0) then -- Serial port: Electron is writing RTS and TXD bits if nSERIAL_IO = '0' and elk_RnW = '0' then RTS <= elk_D(6); TXD <= elk_D(0) xor invert_serial; --TXD <= elk_D(7) xor invert_serial; -- DEBUG allow upurs to echo chars back end if; -- Memory-mapped and bit-banged SPI if transfer_in_progress = '1' then -- first priority: service any current SPI transfers if delay /= "0000" then delay <= std_logic_vector(unsigned(delay) + 1); else delay <= "0000"; -- 0000 for no delay, 1111 for 1 cycle, ..., 0001 for 15 cycles if SCK = '1' then -- change MOSI on falling edge MOSI <= REG(7); SCK <= '0'; else -- read MISO on rising edge SCK <= '1'; REG <= REG(6 downto 0) & MISO; if bit_count = "0111" then transfer_in_progress <= '0'; else bit_count <= std_logic_vector(unsigned(bit_count) + 1); end if; end if; end if; elsif nSPI = '0' and elk_RnW = '0' then -- the Electron is writing to &FCD0 to start a transfer REG <= elk_D; transfer_in_progress <= '1'; bit_count <= "0000"; SCK <= '1'; elsif nDATA = '0' and elk_RnW = '0' then -- the electron is writing to the data register (&FC71/&FCC1) MOSI <= elk_D(0); SCK <= elk_D(1); end if; end if; end process; end Behavioural;
-- -*- vhdl -*- ------------------------------------------------------------------------------- -- Copyright (c) 2012, The CARPE Project, All rights reserved. -- -- See the AUTHORS file for individual contributors. -- -- -- -- Copyright and related rights are licensed under the Solderpad -- -- Hardware License, Version 0.51 (the "License"); you may not use this -- -- file except in compliance with the License. You may obtain a copy of -- -- the License at http://solderpad.org/licenses/SHL-0.51. -- -- -- -- Unless required by applicable law or agreed to in writing, software, -- -- hardware and materials distributed under this License is distributed -- -- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, -- -- either express or implied. See the License for the specific language -- -- governing permissions and limitations under the License. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity syncram_2r1w is generic ( addr_bits : natural := 5; data_bits : natural := 32; write_first : boolean := true ); port ( clk : in std_ulogic; we : in std_ulogic; waddr : in std_ulogic_vector((addr_bits-1) downto 0); wdata : in std_ulogic_vector((data_bits-1) downto 0); re1 : in std_ulogic; raddr1 : in std_ulogic_vector((addr_bits-1) downto 0); rdata1 : out std_ulogic_vector((data_bits-1) downto 0); re2 : in std_ulogic; raddr2 : in std_ulogic_vector((addr_bits-1) downto 0); rdata2 : out std_ulogic_vector((data_bits-1) downto 0) ); end;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity vgaseq is Port (CLK : in STD_LOGIC; SE : in STD_LOGIC; ROW_BASE : in STD_LOGIC_VECTOR ( 7 downto 0); CURSOR_ROW : in STD_LOGIC_VECTOR ( 7 downto 0); CURSOR_COL : in STD_LOGIC_VECTOR ( 7 downto 0); X : in STD_LOGIC_VECTOR (15 downto 0); Y : in STD_LOGIC_VECTOR (15 downto 0); B9 : in STD_LOGIC := '0'; VRAM0Read : out STD_LOGIC := '0'; VRAM0Addr : out STD_LOGIC_VECTOR (10 downto 0); VRAM0Data : in STD_LOGIC_VECTOR ( 8 downto 0); VRAM1Read : out STD_LOGIC := '0'; VRAM1Addr : out STD_LOGIC_VECTOR (10 downto 0); VRAM1Data : in STD_LOGIC_VECTOR ( 8 downto 0); VRAM2Read : out STD_LOGIC := '0'; VRAM2Addr : out STD_LOGIC_VECTOR (10 downto 0); VRAM2Data : in STD_LOGIC_VECTOR ( 8 downto 0); VRAM3Read : out STD_LOGIC := '0'; VRAM3Addr : out STD_LOGIC_VECTOR (10 downto 0); VRAM3Data : in STD_LOGIC_VECTOR ( 8 downto 0); Color : out STD_LOGIC_VECTOR ( 3 downto 0) := "0000"); end vgaseq; architecture Dataflow of vgaseq is signal row_base_i : integer range 0 to 10240; signal row_indx : integer range 0 to 10240; signal row : integer range 0 to 10240; signal col : integer range 0 to 10240; signal addr : integer range 0 to 10240; signal fg : std_logic_vector (3 downto 0); signal bg : std_logic_vector (3 downto 0); signal tcolor : std_logic_vector (3 downto 0); signal xcolor : std_logic_vector (3 downto 0); signal font_index : integer range 0 to 255; signal font_row : integer range 0 to 15; signal font_addr : integer range 0 to 4095; signal font_bit : integer range 0 to 15; signal fg_or_bg : STD_LOGIC; signal cursor_vis : boolean := true; signal cursor_ctr : integer range 0 to 20000000 := 0; begin -- character place on screen: row_base_i <= conv_integer(unsigned(ROW_BASE)); row_indx <= conv_integer(unsigned(Y))/16; -- row = y/16; row <= row_base_i + row_indx when row_base_i + row_indx < 25 else row_base_i + row_indx - 25; col <= conv_integer(unsigned(X))/8; -- col = x/8; addr <= row*80+col; -- the address of the character in VRAM0. -- setup VRAM0 and VRAM1 signals: VRAM0Read <= SE; VRAM0Addr <= conv_std_logic_vector( addr, VRAM0Addr'length ); VRAM1Read <= SE; VRAM1Addr <= conv_std_logic_vector( addr, VRAM1Addr'length ); -- VRAM0Data contains the character, VRAM1Data contains colors fg(0) <= VRAM1Data(0); fg(1) <= VRAM1Data(1); fg(2) <= VRAM1Data(2); fg(3) <= VRAM1Data(3); bg(0) <= VRAM1Data(4); bg(1) <= VRAM1Data(5); bg(2) <= VRAM1Data(6); bg(3) <= VRAM1Data(7); -- Font parameters: font_index <= conv_integer(unsigned(VRAM0Data)); font_row <= conv_integer(unsigned(Y)) mod 16; font_addr <= font_index*8+font_row/2; -- Setup VRAM2 signals: VRAM2Read <= SE when (conv_integer(unsigned(Y)) mod 2) = 0 else '0'; VRAM3Read <= SE when (conv_integer(unsigned(Y)) mod 2) = 1 else '0'; VRAM2Addr <= conv_std_logic_vector(font_addr, VRAM2Addr'length); VRAM3Addr <= conv_std_logic_vector(font_addr, VRAM3Addr'length); -- VRAM2Data contains a font row. font_bit <= (8-(conv_integer(unsigned(X)) mod 8)) when B9='0' else 0; fg_or_bg <= VRAM2Data(font_bit) or VRAM3Data(font_bit); -- select color: with fg_or_bg select tcolor <= fg when '1', bg when others; -- apply cursor xcolor <= fg when (row_indx = conv_integer(cursor_row) and col = conv_integer(cursor_col) and cursor_vis and font_row > 13) else tcolor; -- fetch color and update cursor counter process(CLK) begin if (CLK='1' and CLK'event) then color <= xcolor; if (cursor_ctr = 14000000) then cursor_ctr <= 0; cursor_vis <= NOT cursor_vis; else cursor_ctr <= cursor_ctr + 1; end if; end if; end process; end Dataflow;
architecture rtl of fifo is alias designator : subtype_indication is name; alias designator is name; alias designator : subtype_indication is name; alias designator : (subtype_indication)is name; begin end architecture rtl;
------------------------------------------------------------------------------- -- Title : Testbench for design "encoder_module" ------------------------------------------------------------------------------- -- Author : Fabian Greif <fabian@kleinvieh> -- Platform : Spartan 3 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.servo_module_pkg.all; use work.bus_pkg.all; ------------------------------------------------------------------------------- entity servo_module_tb is end servo_module_tb; ------------------------------------------------------------------------------- architecture tb of servo_module_tb is -- component generics constant BASE_ADDRESS : positive := 16#0100#; constant SERVO_COUNT : positive := 11; -- component ports signal servo : std_logic_vector(SERVO_COUNT-1 downto 0); signal bus_o : busdevice_out_type; signal bus_i : busdevice_in_type := (addr => (others => '0'), data => (others => '0'), we => '0', re => '0'); signal clk : std_logic := '0'; begin -- component instantiation DUT : servo_module generic map ( BASE_ADDRESS => BASE_ADDRESS, SERVO_COUNT => SERVO_COUNT) port map ( servo_p => servo, bus_o => bus_o, bus_i => bus_i, clk => clk); -- clock generation clk <= not clk after 10 NS; waveform : process begin wait for 20 NS; for i in 0 to SERVO_COUNT-1 loop wait until rising_edge(clk); bus_i.addr <= std_logic_vector( unsigned'(resize(x"0100", bus_i.addr'length)) + i); bus_i.data <= x"7fff"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; end loop; wait for 40 ns; wait until rising_edge(clk); bus_i.addr <= std_logic_vector( unsigned'(resize(x"0102", bus_i.addr'length))); bus_i.data <= x"ffff"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; wait until rising_edge(clk); bus_i.addr <= std_logic_vector( unsigned'(resize(x"0103", bus_i.addr'length))); bus_i.data <= x"0002"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; wait until rising_edge(clk); bus_i.addr <= std_logic_vector( unsigned'(resize(x"0109", bus_i.addr'length))); bus_i.data <= x"0000"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; wait until rising_edge(clk); wait for 3000 US; -- Change servo[1] during the signaling time. This change should become -- active with the next periode. wait until rising_edge(clk); bus_i.addr <= std_logic_vector( unsigned'(resize(x"0101", bus_i.addr'length))); bus_i.data <= x"0000"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; wait until rising_edge(clk); wait until rising_edge(clk); bus_i.addr <= std_logic_vector( unsigned'(resize(x"0109", bus_i.addr'length))); bus_i.data <= x"7fff"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; wait until rising_edge(clk); end process waveform; end tb;
------------------------------------------------------------------------------- -- Title : Testbench for design "encoder_module" ------------------------------------------------------------------------------- -- Author : Fabian Greif <fabian@kleinvieh> -- Platform : Spartan 3 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.servo_module_pkg.all; use work.bus_pkg.all; ------------------------------------------------------------------------------- entity servo_module_tb is end servo_module_tb; ------------------------------------------------------------------------------- architecture tb of servo_module_tb is -- component generics constant BASE_ADDRESS : positive := 16#0100#; constant SERVO_COUNT : positive := 11; -- component ports signal servo : std_logic_vector(SERVO_COUNT-1 downto 0); signal bus_o : busdevice_out_type; signal bus_i : busdevice_in_type := (addr => (others => '0'), data => (others => '0'), we => '0', re => '0'); signal clk : std_logic := '0'; begin -- component instantiation DUT : servo_module generic map ( BASE_ADDRESS => BASE_ADDRESS, SERVO_COUNT => SERVO_COUNT) port map ( servo_p => servo, bus_o => bus_o, bus_i => bus_i, clk => clk); -- clock generation clk <= not clk after 10 NS; waveform : process begin wait for 20 NS; for i in 0 to SERVO_COUNT-1 loop wait until rising_edge(clk); bus_i.addr <= std_logic_vector( unsigned'(resize(x"0100", bus_i.addr'length)) + i); bus_i.data <= x"7fff"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; end loop; wait for 40 ns; wait until rising_edge(clk); bus_i.addr <= std_logic_vector( unsigned'(resize(x"0102", bus_i.addr'length))); bus_i.data <= x"ffff"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; wait until rising_edge(clk); bus_i.addr <= std_logic_vector( unsigned'(resize(x"0103", bus_i.addr'length))); bus_i.data <= x"0002"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; wait until rising_edge(clk); bus_i.addr <= std_logic_vector( unsigned'(resize(x"0109", bus_i.addr'length))); bus_i.data <= x"0000"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; wait until rising_edge(clk); wait for 3000 US; -- Change servo[1] during the signaling time. This change should become -- active with the next periode. wait until rising_edge(clk); bus_i.addr <= std_logic_vector( unsigned'(resize(x"0101", bus_i.addr'length))); bus_i.data <= x"0000"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; wait until rising_edge(clk); wait until rising_edge(clk); bus_i.addr <= std_logic_vector( unsigned'(resize(x"0109", bus_i.addr'length))); bus_i.data <= x"7fff"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; wait until rising_edge(clk); end process waveform; end tb;
------------------------------------------------------- -- Design Name : adclb -- File Name : adclb.vhd -- Function : I2C ADC w/ alarms -- Author : Michael Eller ------------------------------------------------------- -- Standard libraries library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity adclb is port ( reset :in std_logic; -- reset ADC clk :in std_logic; -- clock clrb :in std_logic; -- clear interrupt bit scl :out std_logic; -- I2C clock sdai :in std_logic; -- data in sdao :out std_logic; -- data out sda_oe :out std_logic; -- master control of sda line min_flag :out std_logic; -- whether the threshold has been met max_flag :out std_logic; -- whether the threshold has been met diff_flag :out std_logic; -- whether the threshold has been met max :out std_logic_vector (7 downto 0); -- max value read from ADC min :out std_logic_vector (7 downto 0); -- min value read from ADC value :inout std_logic_vector (7 downto 0) -- data sent back to master ); end entity; architecture rtl of adclb is signal datao :std_logic_vector (7 downto 0); -- data output signal datai :std_logic_vector (7 downto 0); -- data input signal amux :std_logic_vector (7 downto 0); -- address selection signal dmux :std_logic_vector (7 downto 0); -- data selection signal max_seen :std_logic_vector (7 downto 0); -- maximum value seen so far signal min_seen :std_logic_vector (7 downto 0); -- minimum value seen so far signal val :std_logic_vector (7 downto 0); -- internal signal for value read signal diff :std_logic_vector (8 downto 0); -- difference between min and max signal count :std_logic_vector (6 downto 0); -- clock counter signal bit_cnt :std_logic_vector (6 downto 0); -- bit counter signal init_cnt :std_logic_vector (2 downto 0); -- initialization counter signal state :std_logic_vector (3 downto 0); -- the current state we're in signal upd_cnt :std_logic_vector (10 downto 0); -- uptime counter signal max_i :std_logic; -- internal max flag signal min_i :std_logic; -- internal min flag signal diff_i :std_logic; -- internal difference flag signal count_half:std_logic; -- midway state counter signal count_end :std_logic; -- state counter signal sdao_i :std_logic; -- internal data out signal upd_i :std_logic; -- internal uptime counter finish flag -- VAR = NUM * (2550Ω/(10000Ω+2550Ω)) / 3.3V * 255 -- actual read value seems to be -- 50-60 mv high in signle test case constant MAX_THRESHOLD :integer := 192; -- 12.23 V 0xC0 constant MIN_THRESHOLD :integer := 184; -- 11.72 V 0xB4 constant DIFF_THRESHOLD :integer := 4; -- 0.25 V difference begin sdao<=sdao_i; max<=max_seen; min<=min_seen; diff_flag<=diff_i or min_i or max_i; value<=val; count_proc: process (clk, reset) begin if reset = '1' then count <= "0000000"; count_end<='0'; count_half<='0'; bit_cnt <= "0000000"; init_cnt <= "000"; sda_oe<='0'; -- disable data output -- Merely maintaining a large 7 bit counter -- Counting to 127 elsif clk'event and clk='1' then count <= count+'1'; if count="0111110" then count_half<='1'; else count_half<='0'; end if; if count="1111110" then count_end<='1'; -- high every 1280 ns for 1 tick else -- only high for one tick count_end<='0'; end if; -- Increment to next state -- state 0101 lives for 1280 ns -- Initialization timer if state="0101" and count_end='1' then if init_cnt="110" then -- turn off init_cnt init_cnt<="000"; else init_cnt<=init_cnt+'1'; end if; end if; -- Reset bit_cnt at states 1,5,9,d -- Intended for only d and 9, but doesn't matter for 1 and 5 if state(1 downto 0)="01" then bit_cnt<="0000000"; -- Increment bit_cnt if in stage 3 or b elsif state(1 downto 0)="11" and count_end='1' then bit_cnt<=bit_cnt+'1'; end if; -- count_end is the state transition timer -- count_half means we're in the middle of a state -- good time to transmit data if count_half='1' then if state="1100" and bit_cnt="0011010" then -- NAK from state 0d12 0hC 0011010 sdao_i<='1'; --NAK elsif bit_cnt="0011010" then sdao_i<=sdao_i; --NAK elsif state(2 downto 0)="000" then sdao_i<='1'; -- NAK elsif state(2 downto 0)="101" then sdao_i<='1'; -- NAK elsif state="1100" and bit_cnt="0010010" then sdao_i<='1'; -- NAK; done reading -- just finished reading 2 bytes elsif state="1011" and bit_cnt="0010010" then sdao_i<='0'; -- ACK to receive next byte elsif bit_cnt="0010010" then sdao_i<=sdao_i; -- Remain at previous state elsif state(2 downto 0)="001" then sdao_i<='0'; -- NAK else sdao_i<=datao(7); -- Transfer the data end if; end if; -- count_half marks state change if count_half='1' then -- bit_cnt is 8 or 17 or if done with init with bit_cnt at 0x1A 0d26 -- after 1 packet or 3 packets in case of init -- just finished address byte if bit_cnt="0001000" then --(state(3)='1' and bit_cnt="011011") then -- waiting for slave ACK confirming address sda_oe<='0'; -- packets 4,5,6,7,8,9,10 -- bit_cnt > 8, /= 17 (redundancy from above), /=45, /=54, /=63, /=72, /=81 -- end of 9-bit packets -- ony if in active reading/writing (state b/c) sda_oe<='0'; -- bit_cnt marks number of bits that have already been transmitted -- when bit_cnt is 8, a full byte has already been processed elsif state(3)='1' and bit_cnt>"0001000" and bit_cnt/="0010001" and bit_cnt/="0011010" then -- Allows for slave to drive SDA and ACK sda_oe<='0'; else -- Otherwise, bring control to master -- Corresponding ACK from master after each of 8 bits read sda_oe<='1'; end if; end if; -- toggle sclock high when in states 2,A,4,C -- keep high when sleeping (state 8) to prepare for start bit if state(2 downto 0)="010" or state(2 downto 0)="100" then scl<='0'; else scl<='1'; end if; end if; end process; state_proc: process (clk, reset) begin if reset = '1' then state <= (others=>'0'); -- set to state 0 elsif clk'event and clk='1' then -- count_end occurs when count reaches 127 -- 2.56 us if count_end='1' then -- if we're still in initialization, increment state -- states 0,1,2,3 if state(3 downto 2)= "00" then state <= state+'1'; elsif state = "0100" then -- after reading 27 bits -- go from state 4 to 5 if bit_cnt="0011011" then -- 0x1B 0d27 state <= "0101"; -- now in state 5 else state <= "0011"; -- not 27 bits read: go to state 3 end if; elsif state = "0101" then -- if in state 5 after 2.56 us if init_cnt="110" then -- once initialization is done, go to state 8 state <= "1000"; else state <= "0001"; -- otherwise go to state 1 end if; elsif (state = "1000" and upd_i='1') or (state(3 downto 2)="10" and state(1 downto 0)/="00") then -- upd_i time is 2622.72 us -- if in state 8 after waiting for upd_i time, or in state 9,a,b -- go to next state state <= state+'1'; elsif state = "1100" then -- after reading 27 bits in state c, -- go to state d -- change to reading 27 bits for ADC if bit_cnt="0011010" then state <= "1101"; else -- otherwise, go back to reading in state b state <= "1011"; end if; elsif state = "1101" then -- go from d to 8 -- not done reading yet state <= "1000"; end if; end if; end if; end process; dio_proc: process begin wait until clk'event and clk='1'; if state="1011" and count_half='1' then -- v Reading the data v datai<=datai(6 downto 0) & sdai; -- ^ Reading the data ^ end if; -- before writes, in state 1 or 9 if state="1001" and count_half='1' then -- Slave address check datao<="10100011"; -- chip address, read -- read from states b or 3 every count_half elsif state(2 downto 0)="011" and count_half='1' then -- shift left one bit datao<=datao(6 downto 0) & '0'; end if; if reset='1' or clrb='1' then upd_cnt <= (others=>'0'); elsif state="1000" and count_end='1' and upd_i='0' then upd_cnt<=upd_cnt+'1'; end if; if upd_cnt="11111111111" and count_end='1' then -- upd_cnt maxxed every 1.31072 ms -- state changes from == 8 upd_i <= '1'; -- upd_i goes high every 3.13216 ms for 1280 ns elsif count_end='1' then upd_i <= '0'; end if; end process; reg_proc: process(clk, reset) begin -- all the reading is done in state b -- state b, bit_cnt 0d35 if reset='1' then diff_i<='0'; max_i<='0'; min_i<='0'; diff<="000000000"; max_seen<="00000000"; min_seen<="11111111"; val<="00000000"; elsif clk'event and clk='1' then if clrb='1' then diff_i<='0'; max_i<='0'; min_i<='0'; diff<="000000000"; max_seen<="00000000"; min_seen<="11111111"; val<="00000000"; end if; -- shift first 4 after 16 bits if state="1011" and bit_cnt="0010000" and count_end='1' then val(7 downto 4) <= datai(3 downto 0); end if; -- shift last 4 after 25 bits if state="1011" and bit_cnt="0011001" and count_end='1' then val(3 downto 0) <= datai(7 downto 4); end if; if state="1101" and count_end='1' then if (val>max_seen) then max_seen<=val; elsif (val<=max_seen) then max_seen<=max_seen; else max_seen<="00000000"; end if; -- max_seen<="11111110"; if (val<min_seen) then min_seen<=val; elsif (val>=min_seen) then min_seen<=min_seen; else min_seen<="11111111"; end if; end if; -- bit_cnt=62 (0x3E) if state="1000" and count_end='1' then diff<=('0'&max_seen)-('0'&min_seen); -- '0'&#### forces unsigned math else diff<=diff; end if; if state="1000" then if diff>DIFF_THRESHOLD and (diff(8)/='1') then diff_i<='1'; else diff_i<='0'; end if; if max_seen>MAX_THRESHOLD then max_i<='1'; else max_i<='0'; end if; if min_seen<MIN_THRESHOLD then min_i<='1'; else min_i<='0'; end if; end if; end if; end process; end architecture;
--======================================================================================================================== -- Copyright (c) 2018 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ context vvc_context is library bitvis_vip_spi; use bitvis_vip_spi.vvc_cmd_pkg.all; use bitvis_vip_spi.vvc_methods_pkg.all; use bitvis_vip_spi.td_vvc_framework_common_methods_pkg.all; end context;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity bit_set_mask is port ( clk : in std_logic; ra0_addr : in std_logic_vector(4 downto 0); ra0_data : out std_logic_vector(31 downto 0) ); end bit_set_mask; architecture augh of bit_set_mask is -- Embedded RAM type ram_type is array (0 to 31) of std_logic_vector(31 downto 0); signal ram : ram_type := ( "00000000000000000000000000000001", "00000000000000000000000000000010", "00000000000000000000000000000100", "00000000000000000000000000001000", "00000000000000000000000000010000", "00000000000000000000000000100000", "00000000000000000000000001000000", "00000000000000000000000010000000", "00000000000000000000000100000000", "00000000000000000000001000000000", "00000000000000000000010000000000", "00000000000000000000100000000000", "00000000000000000001000000000000", "00000000000000000010000000000000", "00000000000000000100000000000000", "00000000000000001000000000000000", "00000000000000010000000000000000", "00000000000000100000000000000000", "00000000000001000000000000000000", "00000000000010000000000000000000", "00000000000100000000000000000000", "00000000001000000000000000000000", "00000000010000000000000000000000", "00000000100000000000000000000000", "00000001000000000000000000000000", "00000010000000000000000000000000", "00000100000000000000000000000000", "00001000000000000000000000000000", "00010000000000000000000000000000", "00100000000000000000000000000000", "01000000000000000000000000000000", "10000000000000000000000000000000" ); -- Little utility functions to make VHDL syntactically correct -- with the syntax to_integer(unsigned(vector)) when 'vector' is a std_logic. -- This happens when accessing arrays with <= 2 cells, for example. function to_integer(B: std_logic) return integer is variable V: std_logic_vector(0 to 0); begin V(0) := B; return to_integer(unsigned(V)); end; function to_integer(V: std_logic_vector) return integer is begin return to_integer(unsigned(V)); end; begin -- The component is a ROM. -- There is no Write side. -- The Read side (the outputs) ra0_data <= ram( to_integer(ra0_addr) ); end architecture;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity bit_set_mask is port ( clk : in std_logic; ra0_addr : in std_logic_vector(4 downto 0); ra0_data : out std_logic_vector(31 downto 0) ); end bit_set_mask; architecture augh of bit_set_mask is -- Embedded RAM type ram_type is array (0 to 31) of std_logic_vector(31 downto 0); signal ram : ram_type := ( "00000000000000000000000000000001", "00000000000000000000000000000010", "00000000000000000000000000000100", "00000000000000000000000000001000", "00000000000000000000000000010000", "00000000000000000000000000100000", "00000000000000000000000001000000", "00000000000000000000000010000000", "00000000000000000000000100000000", "00000000000000000000001000000000", "00000000000000000000010000000000", "00000000000000000000100000000000", "00000000000000000001000000000000", "00000000000000000010000000000000", "00000000000000000100000000000000", "00000000000000001000000000000000", "00000000000000010000000000000000", "00000000000000100000000000000000", "00000000000001000000000000000000", "00000000000010000000000000000000", "00000000000100000000000000000000", "00000000001000000000000000000000", "00000000010000000000000000000000", "00000000100000000000000000000000", "00000001000000000000000000000000", "00000010000000000000000000000000", "00000100000000000000000000000000", "00001000000000000000000000000000", "00010000000000000000000000000000", "00100000000000000000000000000000", "01000000000000000000000000000000", "10000000000000000000000000000000" ); -- Little utility functions to make VHDL syntactically correct -- with the syntax to_integer(unsigned(vector)) when 'vector' is a std_logic. -- This happens when accessing arrays with <= 2 cells, for example. function to_integer(B: std_logic) return integer is variable V: std_logic_vector(0 to 0); begin V(0) := B; return to_integer(unsigned(V)); end; function to_integer(V: std_logic_vector) return integer is begin return to_integer(unsigned(V)); end; begin -- The component is a ROM. -- There is no Write side. -- The Read side (the outputs) ra0_data <= ram( to_integer(ra0_addr) ); end architecture;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- -- Copyright (C) 2007, Peter C. Wallace, Mesa Electronics -- http://www.mesanet.com -- -- This program is is licensed under a disjunctive dual license giving you -- the choice of one of the two following sets of free software/open source -- licensing terms: -- -- * GNU General Public License (GPL), version 2.0 or later -- * 3-clause BSD License -- -- -- The GNU GPL License: -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA -- -- -- The 3-clause BSD License: -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- * Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- * Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- * Neither the name of Mesa Electronics nor the names of its -- contributors may be used to endorse or promote products -- derived from this software without specific prior written -- permission. -- -- -- Disclaimer: -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- entity boutreg is -- basic output register generic ( size : integer; buswidth : integer; invert : boolean ); port ( clk : in std_logic; ibus : in std_logic_vector(buswidth-1 downto 0); obus : out std_logic_vector(buswidth-1 downto 0); load : in std_logic; read : in std_logic; clear : in std_logic; dout : out std_logic_vector(size -1 downto 0) ); end boutreg; architecture Behavioral of boutreg is signal oreg : std_logic_vector(size -1 downto 0); begin a_basic_out_reg: process (clk,read,oreg) begin if clk'event and clk = '1' then if load = '1' then oreg <= ibus (size -1 downto 0); end if; if clear = '1' then oreg <= (others => '0'); end if; end if; -- clk obus <= (others => 'Z'); if read = '1' then obus(size -1 downto 0) <= oreg; -- port data is right justified obus(buswidth -1 downto size) <= (others => '0'); end if; if invert then dout <= not oreg; else dout <= oreg; end if; end process; end Behavioral;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;